generated individual ycell to be used as a building block
diff --git a/def/morphle_ycell.def b/def/morphle_ycell.def
new file mode 100644
index 0000000..1255ffa
--- /dev/null
+++ b/def/morphle_ycell.def
@@ -0,0 +1,2943 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0
+ ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+
+VIAS 5 ;
+- via2_FR 
++ RECT met2 ( -140 -185 ) ( 140 185 ) 
++ RECT via2 ( -100 -100 ) ( 100 100 ) 
++ RECT met3 ( -165 -165 ) ( 165 165 ) 
+ 
+ ;
+- via4_FR 
++ RECT met4 ( -590 -590 ) ( 590 590 ) 
++ RECT via4 ( -400 -400 ) ( 400 400 ) 
++ RECT met5 ( -710 -710 ) ( 710 710 ) 
+ 
+ ;
+- via_1600x480 
+ 
++ VIARULE M1M2_PR
+  + CUTSIZE 150 150
+  + LAYERS met1 via met2
+  + CUTSPACING 170 170
+  + ENCLOSURE 245 165 55 165
+  + ROWCOL 1 4
+ ;
+- via2_1600x480 
+ 
++ VIARULE M2M3_PR
+  + CUTSIZE 200 200
+  + LAYERS met2 via2 met3
+  + CUTSPACING 200 200
+  + ENCLOSURE 40 140 100 65
+  + ROWCOL 1 4
+ ;
+- via3_1600x480 
+ 
++ VIARULE M3M4_PR
+  + CUTSIZE 200 200
+  + LAYERS met3 via3 met4
+  + CUTSPACING 200 200
+  + ENCLOSURE 100 60 100 140
+  + ROWCOL 1 4
+ ;
+END VIAS
+
+COMPONENTS 388 ;
+- _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+- _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+- _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+- _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+- _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+- _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+- _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+- _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+- _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+- _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+- _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+- _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+- _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+- _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+- _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+- _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+- _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+- _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+- _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+- _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+- _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+- _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+- _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+- _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+- _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+- _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+- _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+- _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+- _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+- _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+- _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+- _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+- _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+- _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+- _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+- _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+- _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+- _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+- _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+- _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+- _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+- _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+- _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+- _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+- _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+- _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+- _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+- _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+- _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+- _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+- _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+- _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+- _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+- _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+- _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+- _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+- _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+- _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+- _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+- _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+- _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+- _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+- _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+- _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+- _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+- _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+- _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+- _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+- _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+- _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+- _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+- _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+- _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+- _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+- _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+- _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+- _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+- _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+- _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+- _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+- _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+- _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+- _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+- _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+- _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+- _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+- _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+- _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+- _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+- _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+- _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+- _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+- _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+- _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+- _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+- _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+- _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+- _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+- _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+- _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+- _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+- _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+- _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+- _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+- _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+- _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+- PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+- PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+- PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+- PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+- PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+- PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+- PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+- PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+- PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+- PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+- PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+- PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+- PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+- PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+- PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+- PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+- PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+- PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+- PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+- PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+- PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+- PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+- PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+- PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+- PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+- PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+- PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+- PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+- PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+- PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+- PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+- PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+- PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+- FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+- FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+- FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+- FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+- FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+- FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+- FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+- FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+- FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+- FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+- FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+- FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+- FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+- FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+- FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+- FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+- FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+- FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+- FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+- FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+- FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+- FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+- FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+- FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+- FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+- FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+- FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+- FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+- FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+- FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+- FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+- FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+- FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+- FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+- FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+- FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+- FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+- FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+- FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+- FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+- FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+- FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+- FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+- FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+- FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+- FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+- FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+- FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+- FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+- FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+- FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+- FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+- FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+- FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+- FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+- FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+- FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+- FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+- FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+- FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+- FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+- FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+- FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+- FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+- FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+- FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+- FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+- FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+- FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+- FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+- FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+- FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+- FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+- FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+- FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+- FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+- FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+- FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+- FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+- FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+- FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+- FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+- FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+- FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+- FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+- FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+- FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+- FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+- FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+- FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+- FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+- FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+- FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+- FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+- FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+- FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+- FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+- FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+- FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+- FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+- FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+- FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+- FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+- FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+- FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+- FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+- FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+- FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+- FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+- FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+- FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+- FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+- FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+- FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+- FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+- FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+- FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+- FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+- FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+- FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+- FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+- FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+- FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+- FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+- FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+- FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+- FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+- FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+- FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+- FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+- FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+- FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+- FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+- FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+- FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+- FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+- FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+- FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+- FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+- FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+- FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+- FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+- FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+- FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+- FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+- FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+- FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+- FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+- FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+- FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+- FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+- FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+- FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+- FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+- FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+- FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+- FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+- FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+- FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+- FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+- FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+- FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+- FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+- FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+- FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+- FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+- FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+- FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+- FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+- FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+- FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+- FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+- FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+- FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+- FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+- FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+- FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+- FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+- FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+- FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+- FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+- FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+- FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+- FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+- FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+- FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+- FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+- FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+- FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+- FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+- FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+- FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+- FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+- FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+- FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+- FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+- FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+- FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+- FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+- FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+- FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+- FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+- FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+- FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+- FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+- FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+- FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+
+PINS 28 ;
+- cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 12650 76210 ) N ;
+- cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6670 2000 ) N ;
+- confclk + NET confclk + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 7590 76210 ) N ;
+- dempty + NET dempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 20010 2000 ) N ;
+- din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 76210 ) N ;
+- din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 54740 ) N ;
+- dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 23460 ) N ;
+- dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 48990 76210 ) N ;
+- hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 23230 76210 ) N ;
+- lempty + NET lempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 13260 ) N ;
+- lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 39100 ) N ;
+- lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 64940 ) N ;
+- lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 38870 76210 ) N ;
+- lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 70380 ) N ;
+- rempty + NET rempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 7820 ) N ;
+- reset + NET reset + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 2530 76210 ) N ;
+- rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 39100 ) N ;
+- rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54510 76210 ) N ;
+- rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 43930 76210 ) N ;
+- rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 59570 76210 ) N ;
+- uempty + NET uempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 17710 76210 ) N ;
+- uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 2000 ) N ;
+- uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 64630 76210 ) N ;
+- uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 47150 2000 ) N ;
+- uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 60490 2000 ) N ;
+- vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 28290 76210 ) N ;
+- VPWR + NET VPWR + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 14873 38080 ) N + SPECIAL ;
+- VGND + NET VGND + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 24226 38080 ) N + SPECIAL ;
+END PINS
+
+SPECIALNETS 2 ;
+- VPWR ( PIN VPWR ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) 
+  + USE POWER ;
+- VGND ( PIN VGND ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) 
+  + USE GROUND ;
+END SPECIALNETS
+
+NETS 121 ;
+- cbitin ( PIN cbitin ) ( _182_ D ) 
+  + ROUTED met2 ( 12650 62050 ) ( 12650 74460 0 )
+    NEW met1 ( 20930 63750 ) ( 21850 63750 )
+    NEW met1 ( 12650 62050 ) ( 20930 62050 )
+    NEW met2 ( 20930 62050 ) ( 20930 63750 )
+    NEW met1 ( 12650 62050 ) M1M2_PR
+    NEW met1 ( 20930 63750 ) M1M2_PR
+    NEW li1 ( 21850 63750 ) L1M1_PR_MR
+    NEW met1 ( 20930 62050 ) M1M2_PR
++ USE SIGNAL ;
+- cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) 
+  + ROUTED met1 ( 12195 52870 ) ( 12650 52870 )
+    NEW met1 ( 12650 52190 ) ( 12650 52870 )
+    NEW met1 ( 6670 52190 ) ( 12650 52190 )
+    NEW met1 ( 15870 50490 ) ( 24150 50490 )
+    NEW met2 ( 15870 50490 ) ( 15870 52190 )
+    NEW met1 ( 12650 52190 ) ( 15870 52190 )
+    NEW met2 ( 25990 50490 ) ( 25990 57630 )
+    NEW met2 ( 25530 50490 ) ( 25990 50490 )
+    NEW met1 ( 24150 50490 ) ( 25530 50490 )
+    NEW met2 ( 6670 3740 0 ) ( 6670 52190 )
+    NEW li1 ( 12195 52870 ) L1M1_PR_MR
+    NEW met1 ( 6670 52190 ) M1M2_PR
+    NEW li1 ( 24150 50490 ) L1M1_PR_MR
+    NEW met1 ( 15870 50490 ) M1M2_PR
+    NEW met1 ( 15870 52190 ) M1M2_PR
+    NEW li1 ( 25990 57630 ) L1M1_PR_MR
+    NEW met1 ( 25990 57630 ) M1M2_PR
+    NEW met1 ( 25530 50490 ) M1M2_PR
+    NEW met1 ( 25990 57630 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) 
+  + ROUTED met2 ( 7590 61030 ) ( 7590 74460 0 )
+    NEW met1 ( 20470 61370 ) ( 20930 61370 )
+    NEW met1 ( 19090 58650 ) ( 20010 58650 )
+    NEW met2 ( 20010 58650 ) ( 20010 58820 )
+    NEW met2 ( 20010 58820 ) ( 20470 58820 )
+    NEW met2 ( 20470 58820 ) ( 20470 61370 )
+    NEW met1 ( 20470 61030 ) ( 20470 61370 )
+    NEW met1 ( 7590 61030 ) ( 20470 61030 )
+    NEW met2 ( 20470 61370 ) ( 20470 63750 )
+    NEW met1 ( 7590 61030 ) M1M2_PR
+    NEW li1 ( 20470 63750 ) L1M1_PR_MR
+    NEW met1 ( 20470 63750 ) M1M2_PR
+    NEW li1 ( 20930 61370 ) L1M1_PR_MR
+    NEW met1 ( 20470 61370 ) M1M2_PR
+    NEW li1 ( 19090 58650 ) L1M1_PR_MR
+    NEW met1 ( 20010 58650 ) M1M2_PR
+    NEW met1 ( 20470 63750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- dempty ( PIN dempty ) ( _093_ A ) 
+  + ROUTED met1 ( 20010 20570 ) ( 28290 20570 )
+    NEW met2 ( 28290 20570 ) ( 28290 33830 )
+    NEW met1 ( 28290 33830 ) ( 28290 34170 )
+    NEW met1 ( 27830 34170 ) ( 28290 34170 )
+    NEW met2 ( 20010 3740 0 ) ( 20010 20570 )
+    NEW met1 ( 20010 20570 ) M1M2_PR
+    NEW met1 ( 28290 20570 ) M1M2_PR
+    NEW met1 ( 28290 33830 ) M1M2_PR
+    NEW li1 ( 27830 34170 ) L1M1_PR_MR
++ USE SIGNAL ;
+- din[0] ( PIN din[0] ) ( _111_ A ) 
+  + ROUTED met2 ( 32430 68340 ) ( 33350 68340 )
+    NEW met2 ( 33350 68340 ) ( 33350 74460 0 )
+    NEW met1 ( 32430 56270 ) ( 45770 56270 )
+    NEW met2 ( 45770 28730 ) ( 45770 56270 )
+    NEW met1 ( 42090 28730 ) ( 45770 28730 )
+    NEW met2 ( 32430 56270 ) ( 32430 68340 )
+    NEW met1 ( 32430 56270 ) M1M2_PR
+    NEW met1 ( 45770 56270 ) M1M2_PR
+    NEW met1 ( 45770 28730 ) M1M2_PR
+    NEW li1 ( 42090 28730 ) L1M1_PR_MR
++ USE SIGNAL ;
+- din[1] ( PIN din[1] ) ( _100_ B1 ) 
+  + ROUTED met1 ( 52210 55250 ) ( 52210 55590 )
+    NEW met1 ( 52210 55250 ) ( 53590 55250 )
+    NEW met2 ( 53590 54740 ) ( 53590 55250 )
+    NEW met3 ( 53590 54740 ) ( 63940 54740 0 )
+    NEW li1 ( 52210 55590 ) L1M1_PR_MR
+    NEW met1 ( 53590 55250 ) M1M2_PR
+    NEW met2 ( 53590 54740 ) via2_FR
++ USE SIGNAL ;
+- dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) 
+  + ROUTED met1 ( 54050 38590 ) ( 56350 38590 )
+    NEW met2 ( 54050 23460 ) ( 54050 38590 )
+    NEW met3 ( 54050 23460 ) ( 63940 23460 0 )
+    NEW met1 ( 48990 34170 ) ( 48990 34510 )
+    NEW met1 ( 48990 34510 ) ( 54050 34510 )
+    NEW li1 ( 56350 38590 ) L1M1_PR_MR
+    NEW met1 ( 54050 38590 ) M1M2_PR
+    NEW met2 ( 54050 23460 ) via2_FR
+    NEW li1 ( 48990 34170 ) L1M1_PR_MR
+    NEW met1 ( 54050 34510 ) M1M2_PR
+    NEW met2 ( 54050 34510 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) 
+  + ROUTED met2 ( 53590 55930 ) ( 53590 57970 )
+    NEW met1 ( 36570 57970 ) ( 53590 57970 )
+    NEW met2 ( 48990 57970 ) ( 48990 74460 0 )
+    NEW li1 ( 53590 55930 ) L1M1_PR_MR
+    NEW met1 ( 53590 55930 ) M1M2_PR
+    NEW met1 ( 53590 57970 ) M1M2_PR
+    NEW li1 ( 36570 57970 ) L1M1_PR_MR
+    NEW met1 ( 48990 57970 ) M1M2_PR
+    NEW met1 ( 53590 55930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 57970 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) 
+  + ROUTED met1 ( 43930 45050 ) ( 45310 45050 )
+    NEW met2 ( 45310 39950 ) ( 45310 45050 )
+    NEW met1 ( 45310 39950 ) ( 48530 39950 )
+    NEW met2 ( 7590 49470 ) ( 7590 53550 )
+    NEW met1 ( 7590 53550 ) ( 45310 53550 )
+    NEW met2 ( 23230 73610 ) ( 23230 74460 0 )
+    NEW met1 ( 22770 73610 ) ( 23230 73610 )
+    NEW met2 ( 22770 56610 ) ( 22770 73610 )
+    NEW met2 ( 22770 56610 ) ( 23690 56610 )
+    NEW met2 ( 23690 53550 ) ( 23690 56610 )
+    NEW met2 ( 45310 45050 ) ( 45310 53550 )
+    NEW li1 ( 43930 45050 ) L1M1_PR_MR
+    NEW met1 ( 45310 45050 ) M1M2_PR
+    NEW met1 ( 45310 39950 ) M1M2_PR
+    NEW li1 ( 48530 39950 ) L1M1_PR_MR
+    NEW li1 ( 7590 49470 ) L1M1_PR_MR
+    NEW met1 ( 7590 49470 ) M1M2_PR
+    NEW met1 ( 7590 53550 ) M1M2_PR
+    NEW met1 ( 45310 53550 ) M1M2_PR
+    NEW met1 ( 23230 73610 ) M1M2_PR
+    NEW met1 ( 22770 73610 ) M1M2_PR
+    NEW met1 ( 23690 53550 ) M1M2_PR
+    NEW met1 ( 7590 49470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 23690 53550 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- lempty ( PIN lempty ) ( _138_ A ) 
+  + ROUTED met3 ( 3220 13260 0 ) ( 8970 13260 )
+    NEW met2 ( 8970 13260 ) ( 8970 31110 )
+    NEW met2 ( 8970 13260 ) via2_FR
+    NEW li1 ( 8970 31110 ) L1M1_PR_MR
+    NEW met1 ( 8970 31110 ) M1M2_PR
+    NEW met1 ( 8970 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- lin[0] ( PIN lin[0] ) ( _139_ A ) 
+  + ROUTED met2 ( 15870 39100 ) ( 15870 47770 )
+    NEW met3 ( 3220 39100 0 ) ( 15870 39100 )
+    NEW met1 ( 13110 47770 ) ( 15870 47770 )
+    NEW li1 ( 13110 47770 ) L1M1_PR_MR
+    NEW met2 ( 15870 39100 ) via2_FR
+    NEW met1 ( 15870 47770 ) M1M2_PR
++ USE SIGNAL ;
+- lin[1] ( PIN lin[1] ) ( _165_ B ) 
+  + ROUTED met3 ( 13340 64260 ) ( 13340 64940 )
+    NEW met3 ( 3220 64940 0 ) ( 13340 64940 )
+    NEW met3 ( 13340 64260 ) ( 16790 64260 )
+    NEW met1 ( 14490 28730 ) ( 14490 29070 )
+    NEW met1 ( 14490 29070 ) ( 16790 29070 )
+    NEW met2 ( 16790 29070 ) ( 16790 64260 )
+    NEW met2 ( 16790 64260 ) via2_FR
+    NEW li1 ( 14490 28730 ) L1M1_PR_MR
+    NEW met1 ( 16790 29070 ) M1M2_PR
++ USE SIGNAL ;
+- lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) 
+  + ROUTED met2 ( 44390 19890 ) ( 44390 20740 )
+    NEW met3 ( 44390 20740 ) ( 44620 20740 )
+    NEW met4 ( 44620 20740 ) ( 44620 47260 )
+    NEW met3 ( 43010 47260 ) ( 44620 47260 )
+    NEW met1 ( 37490 49470 ) ( 43010 49470 )
+    NEW met2 ( 37490 49470 ) ( 37490 58650 )
+    NEW met1 ( 37490 58650 ) ( 38870 58650 )
+    NEW met2 ( 38870 58650 ) ( 38870 74460 0 )
+    NEW met2 ( 12190 55930 ) ( 12190 56610 )
+    NEW met1 ( 12190 56610 ) ( 37490 56610 )
+    NEW met2 ( 43010 47260 ) ( 43010 49470 )
+    NEW li1 ( 44390 19890 ) L1M1_PR_MR
+    NEW met1 ( 44390 19890 ) M1M2_PR
+    NEW met2 ( 44390 20740 ) via2_FR
+    NEW met3 ( 44620 20740 ) M3M4_PR_M
+    NEW met3 ( 44620 47260 ) M3M4_PR_M
+    NEW met2 ( 43010 47260 ) via2_FR
+    NEW met1 ( 43010 49470 ) M1M2_PR
+    NEW met1 ( 37490 49470 ) M1M2_PR
+    NEW met1 ( 37490 58650 ) M1M2_PR
+    NEW met1 ( 38870 58650 ) M1M2_PR
+    NEW li1 ( 12190 55930 ) L1M1_PR_MR
+    NEW met1 ( 12190 55930 ) M1M2_PR
+    NEW met1 ( 12190 56610 ) M1M2_PR
+    NEW met1 ( 37490 56610 ) M1M2_PR
+    NEW met1 ( 44390 19890 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 44390 20740 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 12190 55930 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 37490 56610 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) 
+  + ROUTED met1 ( 12650 55930 ) ( 12650 56270 )
+    NEW met1 ( 48070 63750 ) ( 48990 63750 )
+    NEW met2 ( 48070 63750 ) ( 48070 70380 )
+    NEW met3 ( 48070 70380 ) ( 63940 70380 0 )
+    NEW met1 ( 15410 61370 ) ( 15410 61710 )
+    NEW met1 ( 15410 61710 ) ( 21390 61710 )
+    NEW met1 ( 21390 61710 ) ( 21390 62050 )
+    NEW met1 ( 21390 62050 ) ( 48070 62050 )
+    NEW met2 ( 15870 56270 ) ( 15870 61370 )
+    NEW met1 ( 15410 61370 ) ( 15870 61370 )
+    NEW met1 ( 12650 56270 ) ( 15870 56270 )
+    NEW met2 ( 48070 62050 ) ( 48070 63750 )
+    NEW li1 ( 12650 55930 ) L1M1_PR_MR
+    NEW li1 ( 48990 63750 ) L1M1_PR_MR
+    NEW met1 ( 48070 63750 ) M1M2_PR
+    NEW met2 ( 48070 70380 ) via2_FR
+    NEW li1 ( 15410 61370 ) L1M1_PR_MR
+    NEW met1 ( 48070 62050 ) M1M2_PR
+    NEW met1 ( 15870 56270 ) M1M2_PR
+    NEW met1 ( 15870 61370 ) M1M2_PR
++ USE SIGNAL ;
+- rempty ( PIN rempty ) ( _126_ A ) 
+  + ROUTED met3 ( 55890 7820 ) ( 63940 7820 0 )
+    NEW met1 ( 47610 14110 ) ( 55890 14110 )
+    NEW met2 ( 47610 14110 ) ( 47610 39270 )
+    NEW met1 ( 47610 39270 ) ( 48990 39270 )
+    NEW met1 ( 48990 39270 ) ( 48990 39610 )
+    NEW met1 ( 48990 39610 ) ( 49450 39610 )
+    NEW met2 ( 55890 7820 ) ( 55890 14110 )
+    NEW met2 ( 55890 7820 ) via2_FR
+    NEW met1 ( 55890 14110 ) M1M2_PR
+    NEW met1 ( 47610 14110 ) M1M2_PR
+    NEW met1 ( 47610 39270 ) M1M2_PR
+    NEW li1 ( 49450 39610 ) L1M1_PR_MR
++ USE SIGNAL ;
+- reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) 
+  + ROUTED met1 ( 7590 50150 ) ( 8050 50150 )
+    NEW met1 ( 2530 62050 ) ( 8050 62050 )
+    NEW met2 ( 2530 62050 ) ( 2530 74460 0 )
+    NEW met2 ( 8050 50150 ) ( 8050 65790 )
+    NEW met2 ( 37490 64090 ) ( 37490 65790 )
+    NEW met1 ( 8050 65790 ) ( 37490 65790 )
+    NEW met1 ( 8050 65790 ) M1M2_PR
+    NEW met1 ( 8050 50150 ) M1M2_PR
+    NEW li1 ( 7590 50150 ) L1M1_PR_MR
+    NEW met1 ( 2530 62050 ) M1M2_PR
+    NEW met1 ( 8050 62050 ) M1M2_PR
+    NEW met1 ( 37490 65790 ) M1M2_PR
+    NEW li1 ( 37490 64090 ) L1M1_PR_MR
+    NEW met1 ( 37490 64090 ) M1M2_PR
+    NEW met2 ( 8050 62050 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 37490 64090 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- rin[0] ( PIN rin[0] ) ( _147_ A ) 
+  + ROUTED met1 ( 54970 28730 ) ( 55430 28730 )
+    NEW met2 ( 54970 28730 ) ( 54970 39100 )
+    NEW met3 ( 54970 39100 ) ( 63940 39100 0 )
+    NEW li1 ( 55430 28730 ) L1M1_PR_MR
+    NEW met1 ( 54970 28730 ) M1M2_PR
+    NEW met2 ( 54970 39100 ) via2_FR
++ USE SIGNAL ;
+- rin[1] ( PIN rin[1] ) ( _130_ B1 ) 
+  + ROUTED met1 ( 52670 64090 ) ( 52670 64430 )
+    NEW met1 ( 52670 64430 ) ( 54510 64430 )
+    NEW met2 ( 54510 64430 ) ( 54510 74460 0 )
+    NEW li1 ( 52670 64090 ) L1M1_PR_MR
+    NEW met1 ( 54510 64430 ) M1M2_PR
++ USE SIGNAL ;
+- rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) 
+  + ROUTED met2 ( 43930 64770 ) ( 43930 74460 0 )
+    NEW met1 ( 8050 64770 ) ( 47610 64770 )
+    NEW met1 ( 47610 55590 ) ( 48530 55590 )
+    NEW met2 ( 47610 55590 ) ( 47610 64770 )
+    NEW met3 ( 48530 43180 ) ( 50140 43180 )
+    NEW met4 ( 50140 31620 ) ( 50140 43180 )
+    NEW met3 ( 50140 31620 ) ( 51750 31620 )
+    NEW met2 ( 51750 31110 ) ( 51750 31620 )
+    NEW met1 ( 51750 31110 ) ( 54050 31110 )
+    NEW met2 ( 48530 43180 ) ( 48530 55590 )
+    NEW li1 ( 8050 64770 ) L1M1_PR_MR
+    NEW met1 ( 47610 64770 ) M1M2_PR
+    NEW met1 ( 43930 64770 ) M1M2_PR
+    NEW met1 ( 47610 55590 ) M1M2_PR
+    NEW met1 ( 48530 55590 ) M1M2_PR
+    NEW met2 ( 48530 43180 ) via2_FR
+    NEW met3 ( 50140 43180 ) M3M4_PR_M
+    NEW met3 ( 50140 31620 ) M3M4_PR_M
+    NEW met2 ( 51750 31620 ) via2_FR
+    NEW met1 ( 51750 31110 ) M1M2_PR
+    NEW li1 ( 54050 31110 ) L1M1_PR_MR
+    NEW met1 ( 43930 64770 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) 
+  + ROUTED met1 ( 53590 64770 ) ( 59570 64770 )
+    NEW met2 ( 59570 64770 ) ( 59570 74460 0 )
+    NEW met1 ( 53590 63750 ) ( 53820 63750 )
+    NEW met1 ( 33350 61710 ) ( 53590 61710 )
+    NEW met2 ( 53590 61710 ) ( 53590 64770 )
+    NEW met1 ( 53590 64770 ) M1M2_PR
+    NEW met1 ( 59570 64770 ) M1M2_PR
+    NEW li1 ( 53820 63750 ) L1M1_PR_MR
+    NEW met1 ( 53590 63750 ) M1M2_PR
+    NEW li1 ( 33350 61710 ) L1M1_PR_MR
+    NEW met1 ( 53590 61710 ) M1M2_PR
+    NEW met2 ( 53590 63750 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- uempty ( PIN uempty ) ( _102_ A ) 
+  + ROUTED met1 ( 15410 63750 ) ( 17710 63750 )
+    NEW met2 ( 17710 63750 ) ( 17710 74460 0 )
+    NEW li1 ( 15410 63750 ) L1M1_PR_MR
+    NEW met1 ( 17710 63750 ) M1M2_PR
++ USE SIGNAL ;
+- uin[0] ( PIN uin[0] ) ( _103_ A ) 
+  + ROUTED met2 ( 33350 3740 0 ) ( 33350 9860 )
+    NEW met2 ( 31970 9860 ) ( 33350 9860 )
+    NEW met1 ( 30590 39270 ) ( 31970 39270 )
+    NEW met2 ( 31970 9860 ) ( 31970 39270 )
+    NEW met1 ( 31970 39270 ) M1M2_PR
+    NEW li1 ( 30590 39270 ) L1M1_PR_MR
++ USE SIGNAL ;
+- uin[1] ( PIN uin[1] ) ( _157_ B ) 
+  + ROUTED met1 ( 54970 55250 ) ( 64630 55250 )
+    NEW met2 ( 54970 46750 ) ( 54970 55250 )
+    NEW met2 ( 54510 46750 ) ( 54970 46750 )
+    NEW met2 ( 54510 36550 ) ( 54510 46750 )
+    NEW met1 ( 51750 36550 ) ( 54510 36550 )
+    NEW met2 ( 64630 55250 ) ( 64630 74460 0 )
+    NEW met1 ( 64630 55250 ) M1M2_PR
+    NEW met1 ( 54970 55250 ) M1M2_PR
+    NEW met1 ( 54510 36550 ) M1M2_PR
+    NEW li1 ( 51750 36550 ) L1M1_PR_MR
++ USE SIGNAL ;
+- uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) 
+  + ROUTED met1 ( 48070 32130 ) ( 48530 32130 )
+    NEW met2 ( 48070 32130 ) ( 48070 33660 )
+    NEW met2 ( 48070 33660 ) ( 48990 33660 )
+    NEW met2 ( 48990 33660 ) ( 48990 42330 )
+    NEW met1 ( 48990 42330 ) ( 52670 42330 )
+    NEW met1 ( 39330 25670 ) ( 39330 26010 )
+    NEW met1 ( 39330 26010 ) ( 48530 26010 )
+    NEW met2 ( 48530 26010 ) ( 48530 31620 )
+    NEW met2 ( 48070 31620 ) ( 48530 31620 )
+    NEW met2 ( 48070 31620 ) ( 48070 32130 )
+    NEW met1 ( 47150 20570 ) ( 48530 20570 )
+    NEW met2 ( 48530 20570 ) ( 48530 26010 )
+    NEW met2 ( 47150 3740 0 ) ( 47150 20570 )
+    NEW li1 ( 48530 32130 ) L1M1_PR_MR
+    NEW met1 ( 48070 32130 ) M1M2_PR
+    NEW met1 ( 48990 42330 ) M1M2_PR
+    NEW li1 ( 52670 42330 ) L1M1_PR_MR
+    NEW li1 ( 39330 25670 ) L1M1_PR_MR
+    NEW met1 ( 48530 26010 ) M1M2_PR
+    NEW met1 ( 47150 20570 ) M1M2_PR
+    NEW met1 ( 48530 20570 ) M1M2_PR
++ USE SIGNAL ;
+- uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) 
+  + ROUTED met1 ( 52210 41650 ) ( 52210 41990 )
+    NEW met1 ( 52210 41650 ) ( 60490 41650 )
+    NEW met1 ( 51750 45050 ) ( 54050 45050 )
+    NEW met2 ( 54050 41650 ) ( 54050 45050 )
+    NEW met2 ( 49910 45050 ) ( 49910 55250 )
+    NEW met1 ( 49910 45050 ) ( 51750 45050 )
+    NEW met2 ( 60490 3740 0 ) ( 60490 41650 )
+    NEW li1 ( 52210 41990 ) L1M1_PR_MR
+    NEW met1 ( 60490 41650 ) M1M2_PR
+    NEW li1 ( 51750 45050 ) L1M1_PR_MR
+    NEW met1 ( 54050 45050 ) M1M2_PR
+    NEW met1 ( 54050 41650 ) M1M2_PR
+    NEW li1 ( 49910 55250 ) L1M1_PR_MR
+    NEW met1 ( 49910 55250 ) M1M2_PR
+    NEW met1 ( 49910 45050 ) M1M2_PR
+    NEW met1 ( 54050 41650 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 49910 55250 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) 
+  + ROUTED met2 ( 26910 57460 ) ( 28290 57460 )
+    NEW met2 ( 28290 57460 ) ( 28290 74460 0 )
+    NEW met1 ( 16790 41650 ) ( 19090 41650 )
+    NEW met2 ( 19090 39950 ) ( 19090 41650 )
+    NEW met1 ( 19090 39950 ) ( 26910 39950 )
+    NEW met2 ( 26910 34510 ) ( 26910 57460 )
+    NEW li1 ( 26910 34510 ) L1M1_PR_MR
+    NEW met1 ( 26910 34510 ) M1M2_PR
+    NEW li1 ( 16790 41650 ) L1M1_PR_MR
+    NEW met1 ( 19090 41650 ) M1M2_PR
+    NEW met1 ( 19090 39950 ) M1M2_PR
+    NEW met1 ( 26910 39950 ) M1M2_PR
+    NEW met1 ( 26910 34510 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 26910 39950 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _000_ ( _132_ B ) ( _124_ X ) 
+  + ROUTED met2 ( 35190 42670 ) ( 35190 45220 )
+    NEW met3 ( 31740 45220 ) ( 35190 45220 )
+    NEW met4 ( 31740 45220 ) ( 31740 48620 )
+    NEW met3 ( 25530 48620 ) ( 31740 48620 )
+    NEW met2 ( 25530 48620 ) ( 25530 49470 )
+    NEW met1 ( 15410 49470 ) ( 25530 49470 )
+    NEW li1 ( 35190 42670 ) L1M1_PR_MR
+    NEW met1 ( 35190 42670 ) M1M2_PR
+    NEW met2 ( 35190 45220 ) via2_FR
+    NEW met3 ( 31740 45220 ) M3M4_PR_M
+    NEW met3 ( 31740 48620 ) M3M4_PR_M
+    NEW met2 ( 25530 48620 ) via2_FR
+    NEW met1 ( 25530 49470 ) M1M2_PR
+    NEW li1 ( 15410 49470 ) L1M1_PR_MR
+    NEW met1 ( 35190 42670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _001_ ( _127_ A ) ( _126_ X ) 
+  + ROUTED met2 ( 51290 39950 ) ( 51290 52870 )
+    NEW met1 ( 51290 52870 ) ( 53130 52870 )
+    NEW li1 ( 51290 39950 ) L1M1_PR_MR
+    NEW met1 ( 51290 39950 ) M1M2_PR
+    NEW met1 ( 51290 52870 ) M1M2_PR
+    NEW li1 ( 53130 52870 ) L1M1_PR_MR
+    NEW met1 ( 51290 39950 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) 
+  + ROUTED met1 ( 49450 64090 ) ( 52210 64090 )
+    NEW met1 ( 52210 63750 ) ( 52210 64090 )
+    NEW met2 ( 50830 39100 ) ( 50830 47430 )
+    NEW met2 ( 50830 39100 ) ( 51290 39100 )
+    NEW met2 ( 51290 31450 ) ( 51290 39100 )
+    NEW met1 ( 51290 31450 ) ( 54970 31450 )
+    NEW met1 ( 54970 31110 ) ( 54970 31450 )
+    NEW met1 ( 50830 52530 ) ( 54970 52530 )
+    NEW met2 ( 50830 47430 ) ( 50830 52530 )
+    NEW met1 ( 49450 52530 ) ( 50830 52530 )
+    NEW met2 ( 49450 52530 ) ( 49450 64090 )
+    NEW met1 ( 49450 64090 ) M1M2_PR
+    NEW li1 ( 52210 63750 ) L1M1_PR_MR
+    NEW li1 ( 50830 47430 ) L1M1_PR_MR
+    NEW met1 ( 50830 47430 ) M1M2_PR
+    NEW met1 ( 51290 31450 ) M1M2_PR
+    NEW li1 ( 54970 31110 ) L1M1_PR_MR
+    NEW li1 ( 54970 52530 ) L1M1_PR_MR
+    NEW met1 ( 50830 52530 ) M1M2_PR
+    NEW met1 ( 49450 52530 ) M1M2_PR
+    NEW met1 ( 50830 47430 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) 
+  + ROUTED met2 ( 53590 28730 ) ( 53590 47090 )
+    NEW met1 ( 53590 28730 ) ( 54510 28730 )
+    NEW met2 ( 53590 47090 ) ( 54510 47090 )
+    NEW met2 ( 54510 47090 ) ( 54510 63750 )
+    NEW li1 ( 54510 63750 ) L1M1_PR_MR
+    NEW met1 ( 54510 63750 ) M1M2_PR
+    NEW li1 ( 53590 47090 ) L1M1_PR_MR
+    NEW met1 ( 53590 47090 ) M1M2_PR
+    NEW met1 ( 53590 28730 ) M1M2_PR
+    NEW li1 ( 54510 28730 ) L1M1_PR_MR
+    NEW met1 ( 54510 63750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 53590 47090 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _004_ ( _132_ C ) ( _131_ Y ) 
+  + ROUTED met1 ( 31050 41990 ) ( 34730 41990 )
+    NEW met2 ( 31050 41990 ) ( 31050 47770 )
+    NEW met1 ( 31050 47770 ) ( 31050 48450 )
+    NEW met1 ( 18170 48450 ) ( 31050 48450 )
+    NEW met2 ( 18170 48450 ) ( 18170 60350 )
+    NEW met1 ( 14490 60350 ) ( 18170 60350 )
+    NEW li1 ( 34730 41990 ) L1M1_PR_MR
+    NEW met1 ( 31050 41990 ) M1M2_PR
+    NEW met1 ( 31050 47770 ) M1M2_PR
+    NEW met1 ( 18170 48450 ) M1M2_PR
+    NEW met1 ( 18170 60350 ) M1M2_PR
+    NEW li1 ( 14490 60350 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) 
+  + ROUTED met1 ( 37030 38590 ) ( 37950 38590 )
+    NEW met2 ( 37950 23290 ) ( 37950 38590 )
+    NEW met1 ( 37950 41650 ) ( 38410 41650 )
+    NEW met2 ( 37950 38590 ) ( 37950 41650 )
+    NEW li1 ( 37030 38590 ) L1M1_PR_MR
+    NEW met1 ( 37950 38590 ) M1M2_PR
+    NEW li1 ( 37950 23290 ) L1M1_PR_MR
+    NEW met1 ( 37950 23290 ) M1M2_PR
+    NEW li1 ( 38410 41650 ) L1M1_PR_MR
+    NEW met1 ( 37950 41650 ) M1M2_PR
+    NEW met1 ( 37950 23290 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _006_ ( _134_ B ) ( _133_ Y ) 
+  + ROUTED met1 ( 38410 23970 ) ( 44850 23970 )
+    NEW met2 ( 44850 23970 ) ( 44850 25670 )
+    NEW li1 ( 38410 23970 ) L1M1_PR_MR
+    NEW met1 ( 44850 23970 ) M1M2_PR
+    NEW li1 ( 44850 25670 ) L1M1_PR_MR
+    NEW met1 ( 44850 25670 ) M1M2_PR
+    NEW met1 ( 44850 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _007_ ( _135_ B ) ( _134_ Y ) 
+  + ROUTED met2 ( 43470 37060 ) ( 43930 37060 )
+    NEW met2 ( 43470 36380 ) ( 43470 37060 )
+    NEW met3 ( 43470 36380 ) ( 44390 36380 )
+    NEW met3 ( 44390 33660 ) ( 44390 36380 )
+    NEW met2 ( 44390 26350 ) ( 44390 33660 )
+    NEW met1 ( 44390 26350 ) ( 45310 26350 )
+    NEW met1 ( 20930 53210 ) ( 43930 53210 )
+    NEW met1 ( 20930 52870 ) ( 20930 53210 )
+    NEW met2 ( 43930 37060 ) ( 43930 53210 )
+    NEW met2 ( 43470 36380 ) via2_FR
+    NEW met2 ( 44390 33660 ) via2_FR
+    NEW met1 ( 44390 26350 ) M1M2_PR
+    NEW li1 ( 45310 26350 ) L1M1_PR_MR
+    NEW met1 ( 43930 53210 ) M1M2_PR
+    NEW li1 ( 20930 52870 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _008_ ( _137_ B ) ( _136_ Y ) 
+  + ROUTED met1 ( 17250 36550 ) ( 17250 37230 )
+    NEW met1 ( 17250 37230 ) ( 29210 37230 )
+    NEW met2 ( 29210 37060 ) ( 29210 37230 )
+    NEW met2 ( 29210 37060 ) ( 29670 37060 )
+    NEW met2 ( 29670 28050 ) ( 29670 37060 )
+    NEW met1 ( 29670 28050 ) ( 35650 28050 )
+    NEW met1 ( 35650 28050 ) ( 35650 29410 )
+    NEW met1 ( 35650 29410 ) ( 48990 29410 )
+    NEW li1 ( 17250 36550 ) L1M1_PR_MR
+    NEW met1 ( 29210 37230 ) M1M2_PR
+    NEW met1 ( 29670 28050 ) M1M2_PR
+    NEW li1 ( 48990 29410 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) 
+  + ROUTED met2 ( 13110 47090 ) ( 13110 55930 )
+    NEW met1 ( 13110 55930 ) ( 13340 55930 )
+    NEW met1 ( 12190 31450 ) ( 13110 31450 )
+    NEW met2 ( 13110 31450 ) ( 13110 47090 )
+    NEW met2 ( 13110 28390 ) ( 13110 31450 )
+    NEW met1 ( 14030 47090 ) ( 14030 47430 )
+    NEW met1 ( 14950 28390 ) ( 14950 28730 )
+    NEW met1 ( 13110 28390 ) ( 14950 28390 )
+    NEW met1 ( 13110 47090 ) ( 14030 47090 )
+    NEW met1 ( 13110 47090 ) M1M2_PR
+    NEW met1 ( 13110 55930 ) M1M2_PR
+    NEW li1 ( 13340 55930 ) L1M1_PR_MR
+    NEW li1 ( 12190 31450 ) L1M1_PR_MR
+    NEW met1 ( 13110 31450 ) M1M2_PR
+    NEW met1 ( 13110 28390 ) M1M2_PR
+    NEW li1 ( 14030 47430 ) L1M1_PR_MR
+    NEW li1 ( 14950 28730 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) 
+  + ROUTED met1 ( 22310 55590 ) ( 30130 55590 )
+    NEW met1 ( 30130 55590 ) ( 30130 55930 )
+    NEW met2 ( 27370 18190 ) ( 27370 28730 )
+    NEW met1 ( 27370 18190 ) ( 29210 18190 )
+    NEW met1 ( 29210 17850 ) ( 29210 18190 )
+    NEW met1 ( 22310 46750 ) ( 25530 46750 )
+    NEW met2 ( 25530 28730 ) ( 25530 46750 )
+    NEW met1 ( 25530 28730 ) ( 27370 28730 )
+    NEW met1 ( 14950 46750 ) ( 22310 46750 )
+    NEW met2 ( 22310 46750 ) ( 22310 55590 )
+    NEW met1 ( 22310 55590 ) M1M2_PR
+    NEW li1 ( 30130 55930 ) L1M1_PR_MR
+    NEW li1 ( 27370 28730 ) L1M1_PR_MR
+    NEW met1 ( 27370 28730 ) M1M2_PR
+    NEW met1 ( 27370 18190 ) M1M2_PR
+    NEW li1 ( 29210 17850 ) L1M1_PR_MR
+    NEW met1 ( 22310 46750 ) M1M2_PR
+    NEW met1 ( 25530 46750 ) M1M2_PR
+    NEW met1 ( 25530 28730 ) M1M2_PR
+    NEW li1 ( 14950 46750 ) L1M1_PR_MR
+    NEW met1 ( 27370 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _011_ ( _144_ A ) ( _140_ X ) 
+  + ROUTED met2 ( 29210 29070 ) ( 29210 31110 )
+    NEW met1 ( 29210 31110 ) ( 35650 31110 )
+    NEW li1 ( 29210 29070 ) L1M1_PR_MR
+    NEW met1 ( 29210 29070 ) M1M2_PR
+    NEW met1 ( 29210 31110 ) M1M2_PR
+    NEW li1 ( 35650 31110 ) L1M1_PR_MR
+    NEW met1 ( 29210 29070 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _012_ ( _143_ B ) ( _141_ X ) 
+  + ROUTED met1 ( 21850 40290 ) ( 25990 40290 )
+    NEW met2 ( 21850 40290 ) ( 21850 43010 )
+    NEW li1 ( 25990 40290 ) L1M1_PR_MR
+    NEW met1 ( 21850 40290 ) M1M2_PR
+    NEW li1 ( 21850 43010 ) L1M1_PR_MR
+    NEW met1 ( 21850 43010 ) M1M2_PR
+    NEW met1 ( 21850 43010 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _013_ ( _143_ C ) ( _142_ X ) 
+  + ROUTED met1 ( 20930 41650 ) ( 20930 41990 )
+    NEW met1 ( 20930 41650 ) ( 23690 41650 )
+    NEW met2 ( 23690 41650 ) ( 23690 44710 )
+    NEW met1 ( 23690 44710 ) ( 30130 44710 )
+    NEW met2 ( 30130 44710 ) ( 30130 50490 )
+    NEW met1 ( 30130 50490 ) ( 31510 50490 )
+    NEW li1 ( 20930 41990 ) L1M1_PR_MR
+    NEW met1 ( 23690 41650 ) M1M2_PR
+    NEW met1 ( 23690 44710 ) M1M2_PR
+    NEW met1 ( 30130 44710 ) M1M2_PR
+    NEW met1 ( 30130 50490 ) M1M2_PR
+    NEW li1 ( 31510 50490 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _014_ ( _144_ B ) ( _143_ X ) 
+  + ROUTED met2 ( 36570 31110 ) ( 36570 42330 )
+    NEW met1 ( 31970 42330 ) ( 36570 42330 )
+    NEW met1 ( 31970 42330 ) ( 31970 42670 )
+    NEW met1 ( 23230 42670 ) ( 31970 42670 )
+    NEW met1 ( 23230 42670 ) ( 23230 43010 )
+    NEW met1 ( 22770 43010 ) ( 23230 43010 )
+    NEW li1 ( 36570 31110 ) L1M1_PR_MR
+    NEW met1 ( 36570 31110 ) M1M2_PR
+    NEW met1 ( 36570 42330 ) M1M2_PR
+    NEW li1 ( 22770 43010 ) L1M1_PR_MR
+    NEW met1 ( 36570 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _015_ ( _145_ A ) ( _144_ X ) 
+  + ROUTED met2 ( 7130 63580 ) ( 7130 63750 )
+    NEW met3 ( 7130 63580 ) ( 38180 63580 )
+    NEW met2 ( 37490 32130 ) ( 37490 32300 )
+    NEW met3 ( 37490 32300 ) ( 38180 32300 )
+    NEW met4 ( 38180 32300 ) ( 38180 63580 )
+    NEW met2 ( 7130 63580 ) via2_FR
+    NEW li1 ( 7130 63750 ) L1M1_PR_MR
+    NEW met1 ( 7130 63750 ) M1M2_PR
+    NEW met3 ( 38180 63580 ) M3M4_PR_M
+    NEW li1 ( 37490 32130 ) L1M1_PR_MR
+    NEW met1 ( 37490 32130 ) M1M2_PR
+    NEW met2 ( 37490 32300 ) via2_FR
+    NEW met3 ( 38180 32300 ) M3M4_PR_M
+    NEW met1 ( 7130 63750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 37490 32130 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) 
+  + ROUTED met1 ( 47150 31790 ) ( 54510 31790 )
+    NEW met2 ( 47150 31790 ) ( 47150 39780 )
+    NEW met2 ( 47150 39780 ) ( 47610 39780 )
+    NEW met2 ( 47610 39780 ) ( 47610 54910 )
+    NEW met1 ( 47610 54910 ) ( 47610 55250 )
+    NEW met1 ( 40250 55250 ) ( 47610 55250 )
+    NEW met1 ( 40250 55250 ) ( 40250 55930 )
+    NEW met1 ( 43930 20230 ) ( 45310 20230 )
+    NEW met2 ( 45310 20230 ) ( 45310 31790 )
+    NEW met1 ( 45310 31790 ) ( 47150 31790 )
+    NEW li1 ( 54510 31790 ) L1M1_PR_MR
+    NEW met1 ( 47150 31790 ) M1M2_PR
+    NEW met1 ( 47610 54910 ) M1M2_PR
+    NEW li1 ( 40250 55930 ) L1M1_PR_MR
+    NEW li1 ( 43930 20230 ) L1M1_PR_MR
+    NEW met1 ( 45310 20230 ) M1M2_PR
+    NEW met1 ( 45310 31790 ) M1M2_PR
++ USE SIGNAL ;
+- _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) 
+  + ROUTED met1 ( 43010 20230 ) ( 43010 20570 )
+    NEW met1 ( 43010 20570 ) ( 46690 20570 )
+    NEW met2 ( 46690 20570 ) ( 46690 55930 )
+    NEW met1 ( 41170 55930 ) ( 46690 55930 )
+    NEW met1 ( 46690 28050 ) ( 54970 28050 )
+    NEW li1 ( 43010 20230 ) L1M1_PR_MR
+    NEW met1 ( 46690 20570 ) M1M2_PR
+    NEW met1 ( 46690 55930 ) M1M2_PR
+    NEW li1 ( 41170 55930 ) L1M1_PR_MR
+    NEW li1 ( 54970 28050 ) L1M1_PR_MR
+    NEW met1 ( 46690 28050 ) M1M2_PR
+    NEW met2 ( 46690 28050 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _018_ ( _149_ C1 ) ( _148_ Y ) 
+  + ROUTED met1 ( 29670 26010 ) ( 34730 26010 )
+    NEW met1 ( 34730 48450 ) ( 37030 48450 )
+    NEW met2 ( 37030 48450 ) ( 37030 55930 )
+    NEW met1 ( 37030 55930 ) ( 38410 55930 )
+    NEW met2 ( 34730 26010 ) ( 34730 48450 )
+    NEW li1 ( 29670 26010 ) L1M1_PR_MR
+    NEW met1 ( 34730 26010 ) M1M2_PR
+    NEW met1 ( 34730 48450 ) M1M2_PR
+    NEW met1 ( 37030 48450 ) M1M2_PR
+    NEW met1 ( 37030 55930 ) M1M2_PR
+    NEW li1 ( 38410 55930 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) 
+  + ROUTED met2 ( 38410 39610 ) ( 38410 55250 )
+    NEW met1 ( 35650 55250 ) ( 38410 55250 )
+    NEW met1 ( 37950 20230 ) ( 38410 20230 )
+    NEW met2 ( 38410 20230 ) ( 38410 39610 )
+    NEW li1 ( 38410 39610 ) L1M1_PR_MR
+    NEW met1 ( 38410 39610 ) M1M2_PR
+    NEW met1 ( 38410 55250 ) M1M2_PR
+    NEW li1 ( 35650 55250 ) L1M1_PR_MR
+    NEW li1 ( 37950 20230 ) L1M1_PR_MR
+    NEW met1 ( 38410 20230 ) M1M2_PR
+    NEW met1 ( 38410 39610 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- _020_ ( _151_ B ) ( _150_ Y ) 
+  + ROUTED met1 ( 23230 30430 ) ( 23230 31110 )
+    NEW met1 ( 23230 30430 ) ( 37030 30430 )
+    NEW met2 ( 37030 19550 ) ( 37030 30430 )
+    NEW li1 ( 23230 31110 ) L1M1_PR_MR
+    NEW met1 ( 37030 30430 ) M1M2_PR
+    NEW li1 ( 37030 19550 ) L1M1_PR_MR
+    NEW met1 ( 37030 19550 ) M1M2_PR
+    NEW met1 ( 37030 19550 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _021_ ( _152_ B ) ( _151_ Y ) 
+  + ROUTED met1 ( 15410 34170 ) ( 15870 34170 )
+    NEW met2 ( 15870 31790 ) ( 15870 34170 )
+    NEW met1 ( 15870 31790 ) ( 23230 31790 )
+    NEW li1 ( 15410 34170 ) L1M1_PR_MR
+    NEW met1 ( 15870 34170 ) M1M2_PR
+    NEW met1 ( 15870 31790 ) M1M2_PR
+    NEW li1 ( 23230 31790 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) 
+  + ROUTED met2 ( 8510 39610 ) ( 8510 39780 )
+    NEW met3 ( 8510 39780 ) ( 28290 39780 )
+    NEW met2 ( 28290 39780 ) ( 28290 46750 )
+    NEW met1 ( 8510 36550 ) ( 9890 36550 )
+    NEW met2 ( 8510 36550 ) ( 8510 39610 )
+    NEW li1 ( 8510 39610 ) L1M1_PR_MR
+    NEW met1 ( 8510 39610 ) M1M2_PR
+    NEW met2 ( 8510 39780 ) via2_FR
+    NEW met2 ( 28290 39780 ) via2_FR
+    NEW li1 ( 28290 46750 ) L1M1_PR_MR
+    NEW met1 ( 28290 46750 ) M1M2_PR
+    NEW li1 ( 9890 36550 ) L1M1_PR_MR
+    NEW met1 ( 8510 36550 ) M1M2_PR
+    NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 28290 46750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _023_ ( _155_ B ) ( _154_ Y ) 
+  + ROUTED met2 ( 22770 23630 ) ( 22770 39270 )
+    NEW met1 ( 22770 23630 ) ( 31970 23630 )
+    NEW met1 ( 31970 23290 ) ( 31970 23630 )
+    NEW met1 ( 9890 39270 ) ( 22770 39270 )
+    NEW li1 ( 9890 39270 ) L1M1_PR_MR
+    NEW met1 ( 22770 39270 ) M1M2_PR
+    NEW met1 ( 22770 23630 ) M1M2_PR
+    NEW li1 ( 31970 23290 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _024_ ( _156_ B ) ( _155_ Y ) 
+  + ROUTED met1 ( 22770 28730 ) ( 22770 29070 )
+    NEW met1 ( 22770 29070 ) ( 27830 29070 )
+    NEW met2 ( 27830 23970 ) ( 27830 29070 )
+    NEW met1 ( 27830 23970 ) ( 31510 23970 )
+    NEW li1 ( 22770 28730 ) L1M1_PR_MR
+    NEW met1 ( 27830 29070 ) M1M2_PR
+    NEW met1 ( 27830 23970 ) M1M2_PR
+    NEW li1 ( 31510 23970 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _025_ ( _159_ A ) ( _157_ X ) 
+  + ROUTED met2 ( 50830 25670 ) ( 50830 35870 )
+    NEW met1 ( 50830 35870 ) ( 52670 35870 )
+    NEW li1 ( 50830 25670 ) L1M1_PR_MR
+    NEW met1 ( 50830 25670 ) M1M2_PR
+    NEW met1 ( 50830 35870 ) M1M2_PR
+    NEW li1 ( 52670 35870 ) L1M1_PR_MR
+    NEW met1 ( 50830 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _026_ ( _159_ B ) ( _158_ Y ) 
+  + ROUTED met1 ( 49910 25330 ) ( 50370 25330 )
+    NEW met2 ( 50370 25330 ) ( 50370 41310 )
+    NEW met1 ( 50370 41310 ) ( 50830 41310 )
+    NEW li1 ( 49910 25330 ) L1M1_PR_MR
+    NEW met1 ( 50370 25330 ) M1M2_PR
+    NEW met1 ( 50370 41310 ) M1M2_PR
+    NEW li1 ( 50830 41310 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _027_ ( _161_ B ) ( _160_ Y ) 
+  + ROUTED met2 ( 11730 36210 ) ( 11730 36380 )
+    NEW met2 ( 35190 17850 ) ( 35190 36380 )
+    NEW met3 ( 11730 36380 ) ( 35190 36380 )
+    NEW li1 ( 11730 36210 ) L1M1_PR_MR
+    NEW met1 ( 11730 36210 ) M1M2_PR
+    NEW met2 ( 11730 36380 ) via2_FR
+    NEW met2 ( 35190 36380 ) via2_FR
+    NEW li1 ( 35190 17850 ) L1M1_PR_MR
+    NEW met1 ( 35190 17850 ) M1M2_PR
+    NEW met1 ( 11730 36210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35190 17850 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _028_ ( _162_ B ) ( _161_ Y ) 
+  + ROUTED met1 ( 26450 23290 ) ( 27830 23290 )
+    NEW met2 ( 27830 18530 ) ( 27830 23290 )
+    NEW met1 ( 27830 18530 ) ( 34730 18530 )
+    NEW li1 ( 26450 23290 ) L1M1_PR_MR
+    NEW met1 ( 27830 23290 ) M1M2_PR
+    NEW met1 ( 27830 18530 ) M1M2_PR
+    NEW li1 ( 34730 18530 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _029_ ( _164_ B ) ( _163_ Y ) 
+  + ROUTED met1 ( 9430 33830 ) ( 9430 34170 )
+    NEW met2 ( 23230 26350 ) ( 23230 33830 )
+    NEW met1 ( 9430 33830 ) ( 23230 33830 )
+    NEW li1 ( 9430 34170 ) L1M1_PR_MR
+    NEW met1 ( 23230 33830 ) M1M2_PR
+    NEW li1 ( 23230 26350 ) L1M1_PR_MR
+    NEW met1 ( 23230 26350 ) M1M2_PR
+    NEW met1 ( 23230 26350 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _030_ ( _168_ A ) ( _165_ Y ) 
+  + ROUTED met1 ( 15870 28390 ) ( 28750 28390 )
+    NEW met2 ( 28750 20230 ) ( 28750 28390 )
+    NEW li1 ( 15870 28390 ) L1M1_PR_MR
+    NEW met1 ( 28750 28390 ) M1M2_PR
+    NEW li1 ( 28750 20230 ) L1M1_PR_MR
+    NEW met1 ( 28750 20230 ) M1M2_PR
+    NEW met1 ( 28750 20230 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _031_ ( _168_ B ) ( _167_ X ) 
+  + ROUTED met1 ( 27830 19890 ) ( 27830 20230 )
+    NEW met1 ( 27830 19890 ) ( 28290 19890 )
+    NEW met1 ( 28290 19550 ) ( 28290 19890 )
+    NEW met1 ( 28290 19550 ) ( 31510 19550 )
+    NEW met2 ( 31510 19550 ) ( 31510 37570 )
+    NEW met1 ( 30130 37570 ) ( 31510 37570 )
+    NEW met3 ( 17710 43860 ) ( 30130 43860 )
+    NEW met2 ( 17710 43860 ) ( 17710 55590 )
+    NEW met1 ( 15870 55590 ) ( 17710 55590 )
+    NEW met2 ( 30130 37570 ) ( 30130 43860 )
+    NEW li1 ( 27830 20230 ) L1M1_PR_MR
+    NEW met1 ( 31510 19550 ) M1M2_PR
+    NEW met1 ( 31510 37570 ) M1M2_PR
+    NEW met1 ( 30130 37570 ) M1M2_PR
+    NEW met2 ( 30130 43860 ) via2_FR
+    NEW met2 ( 17710 43860 ) via2_FR
+    NEW met1 ( 17710 55590 ) M1M2_PR
+    NEW li1 ( 15870 55590 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _032_ ( _170_ B ) ( _169_ Y ) 
+  + ROUTED met2 ( 35190 14790 ) ( 35190 17170 )
+    NEW met1 ( 29670 17170 ) ( 35190 17170 )
+    NEW li1 ( 35190 14790 ) L1M1_PR_MR
+    NEW met1 ( 35190 14790 ) M1M2_PR
+    NEW met1 ( 35190 17170 ) M1M2_PR
+    NEW li1 ( 29670 17170 ) L1M1_PR_MR
+    NEW met1 ( 35190 14790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _033_ ( _172_ D ) ( _171_ Y ) 
+  + ROUTED met1 ( 51750 58310 ) ( 54050 58310 )
+    NEW met2 ( 56350 18190 ) ( 56350 47770 )
+    NEW met1 ( 54050 47770 ) ( 56350 47770 )
+    NEW met1 ( 42090 18190 ) ( 56350 18190 )
+    NEW met2 ( 54050 47770 ) ( 54050 58310 )
+    NEW li1 ( 42090 18190 ) L1M1_PR_MR
+    NEW met1 ( 54050 58310 ) M1M2_PR
+    NEW li1 ( 51750 58310 ) L1M1_PR_MR
+    NEW met1 ( 56350 18190 ) M1M2_PR
+    NEW met1 ( 56350 47770 ) M1M2_PR
+    NEW met1 ( 54050 47770 ) M1M2_PR
++ USE SIGNAL ;
+- _034_ ( _173_ C1 ) ( _172_ Y ) 
+  + ROUTED met2 ( 48530 57630 ) ( 48530 63410 )
+    NEW met1 ( 37950 63410 ) ( 37950 63750 )
+    NEW met1 ( 37950 63410 ) ( 48530 63410 )
+    NEW met1 ( 48530 63410 ) M1M2_PR
+    NEW li1 ( 48530 57630 ) L1M1_PR_MR
+    NEW met1 ( 48530 57630 ) M1M2_PR
+    NEW li1 ( 37950 63750 ) L1M1_PR_MR
+    NEW met1 ( 48530 57630 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) 
+  + ROUTED met1 ( 39330 36890 ) ( 49910 36890 )
+    NEW met2 ( 49910 23630 ) ( 49910 36890 )
+    NEW met1 ( 49910 23630 ) ( 50370 23630 )
+    NEW met1 ( 37950 39270 ) ( 37950 39610 )
+    NEW met1 ( 37950 39270 ) ( 39330 39270 )
+    NEW met2 ( 39330 36890 ) ( 39330 39270 )
+    NEW li1 ( 39330 36890 ) L1M1_PR_MR
+    NEW met1 ( 49910 36890 ) M1M2_PR
+    NEW met1 ( 49910 23630 ) M1M2_PR
+    NEW li1 ( 50370 23630 ) L1M1_PR_MR
+    NEW li1 ( 37950 39610 ) L1M1_PR_MR
+    NEW met1 ( 39330 39270 ) M1M2_PR
+    NEW met1 ( 39330 36890 ) M1M2_PR
+    NEW met1 ( 39330 36890 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _036_ ( _176_ B ) ( _175_ Y ) 
+  + ROUTED met1 ( 40250 36210 ) ( 41170 36210 )
+    NEW met2 ( 41170 14790 ) ( 41170 36210 )
+    NEW li1 ( 40250 36210 ) L1M1_PR_MR
+    NEW met1 ( 41170 36210 ) M1M2_PR
+    NEW li1 ( 41170 14790 ) L1M1_PR_MR
+    NEW met1 ( 41170 14790 ) M1M2_PR
+    NEW met1 ( 41170 14790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _037_ ( _178_ A ) ( _176_ Y ) 
+  + ROUTED met2 ( 41630 15470 ) ( 41630 41990 )
+    NEW met1 ( 41630 41990 ) ( 42550 41990 )
+    NEW li1 ( 41630 15470 ) L1M1_PR_MR
+    NEW met1 ( 41630 15470 ) M1M2_PR
+    NEW met1 ( 41630 41990 ) M1M2_PR
+    NEW li1 ( 42550 41990 ) L1M1_PR_MR
+    NEW met1 ( 41630 15470 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _038_ ( _178_ B ) ( _177_ X ) 
+  + ROUTED met2 ( 40710 39950 ) ( 40710 41650 )
+    NEW met1 ( 40710 41650 ) ( 44850 41650 )
+    NEW met1 ( 44850 41650 ) ( 44850 41990 )
+    NEW li1 ( 40710 39950 ) L1M1_PR_MR
+    NEW met1 ( 40710 39950 ) M1M2_PR
+    NEW met1 ( 40710 41650 ) M1M2_PR
+    NEW li1 ( 44850 41990 ) L1M1_PR_MR
+    NEW met1 ( 40710 39950 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _039_ ( _180_ C ) ( _179_ Y ) 
+  + ROUTED met1 ( 17710 25330 ) ( 31050 25330 )
+    NEW met2 ( 31050 25330 ) ( 31050 38590 )
+    NEW met1 ( 29670 38590 ) ( 31050 38590 )
+    NEW met2 ( 29670 38590 ) ( 29670 55930 )
+    NEW li1 ( 17710 25330 ) L1M1_PR_MR
+    NEW met1 ( 31050 25330 ) M1M2_PR
+    NEW met1 ( 31050 38590 ) M1M2_PR
+    NEW met1 ( 29670 38590 ) M1M2_PR
+    NEW li1 ( 29670 55930 ) L1M1_PR_MR
+    NEW met1 ( 29670 55930 ) M1M2_PR
+    NEW met1 ( 29670 55930 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _040_ ( _181_ C ) ( _180_ Y ) 
+  + ROUTED met2 ( 7130 50490 ) ( 7130 55250 )
+    NEW met1 ( 7130 55250 ) ( 31050 55250 )
+    NEW met1 ( 31050 55250 ) ( 31050 55590 )
+    NEW li1 ( 7130 50490 ) L1M1_PR_MR
+    NEW met1 ( 7130 50490 ) M1M2_PR
+    NEW met1 ( 7130 55250 ) M1M2_PR
+    NEW li1 ( 31050 55590 ) L1M1_PR_MR
+    NEW met1 ( 7130 50490 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) 
+  + ROUTED met1 ( 27370 41650 ) ( 27830 41650 )
+    NEW met1 ( 22310 45390 ) ( 27830 45390 )
+    NEW met3 ( 27830 49300 ) ( 27830 49980 )
+    NEW met3 ( 27830 49980 ) ( 43010 49980 )
+    NEW met2 ( 43010 49980 ) ( 43010 50150 )
+    NEW met2 ( 27830 41650 ) ( 27830 49300 )
+    NEW li1 ( 27370 41650 ) L1M1_PR_MR
+    NEW met1 ( 27830 41650 ) M1M2_PR
+    NEW li1 ( 22310 45390 ) L1M1_PR_MR
+    NEW met1 ( 27830 45390 ) M1M2_PR
+    NEW met2 ( 27830 49300 ) via2_FR
+    NEW met2 ( 43010 49980 ) via2_FR
+    NEW li1 ( 43010 50150 ) L1M1_PR_MR
+    NEW met1 ( 43010 50150 ) M1M2_PR
+    NEW met2 ( 27830 45390 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 43010 50150 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _042_ ( _081_ B ) ( _080_ Y ) 
+  + ROUTED met1 ( 33810 33830 ) ( 33810 34170 )
+    NEW met1 ( 32430 33830 ) ( 33810 33830 )
+    NEW met1 ( 32430 33830 ) ( 32430 34170 )
+    NEW met2 ( 32430 34170 ) ( 32430 39780 )
+    NEW met2 ( 31970 39780 ) ( 32430 39780 )
+    NEW met1 ( 31970 47770 ) ( 37950 47770 )
+    NEW met2 ( 37950 47770 ) ( 37950 51170 )
+    NEW met1 ( 37950 51170 ) ( 41170 51170 )
+    NEW met2 ( 31970 39780 ) ( 31970 47770 )
+    NEW li1 ( 33810 34170 ) L1M1_PR_MR
+    NEW met1 ( 32430 34170 ) M1M2_PR
+    NEW met1 ( 31970 47770 ) M1M2_PR
+    NEW met1 ( 37950 47770 ) M1M2_PR
+    NEW met1 ( 37950 51170 ) M1M2_PR
+    NEW li1 ( 41170 51170 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _043_ ( _118_ A ) ( _081_ Y ) 
+  + ROUTED met1 ( 8510 45050 ) ( 8510 45730 )
+    NEW met2 ( 14490 45730 ) ( 14490 47430 )
+    NEW met1 ( 14490 47430 ) ( 16330 47430 )
+    NEW met1 ( 16330 47430 ) ( 16330 47770 )
+    NEW met1 ( 16330 47770 ) ( 30590 47770 )
+    NEW met2 ( 30590 34510 ) ( 30590 47770 )
+    NEW met1 ( 30590 34510 ) ( 34730 34510 )
+    NEW met1 ( 8510 45730 ) ( 14490 45730 )
+    NEW li1 ( 8510 45050 ) L1M1_PR_MR
+    NEW met1 ( 14490 45730 ) M1M2_PR
+    NEW met1 ( 14490 47430 ) M1M2_PR
+    NEW met1 ( 30590 47770 ) M1M2_PR
+    NEW met1 ( 30590 34510 ) M1M2_PR
+    NEW li1 ( 34730 34510 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) 
+( _082_ Y ) 
+  + ROUTED met1 ( 13570 50830 ) ( 13570 51170 )
+    NEW met2 ( 27370 45050 ) ( 27370 52190 )
+    NEW met2 ( 20470 52190 ) ( 20470 56270 )
+    NEW met1 ( 20470 52190 ) ( 27370 52190 )
+    NEW met2 ( 20470 51170 ) ( 20470 52190 )
+    NEW met1 ( 14490 45050 ) ( 14490 45390 )
+    NEW met1 ( 14490 45390 ) ( 16330 45390 )
+    NEW met2 ( 16330 45390 ) ( 16330 51170 )
+    NEW met1 ( 13570 51170 ) ( 20470 51170 )
+    NEW li1 ( 13570 50830 ) L1M1_PR_MR
+    NEW li1 ( 27370 45050 ) L1M1_PR_MR
+    NEW met1 ( 27370 45050 ) M1M2_PR
+    NEW li1 ( 27370 52190 ) L1M1_PR_MR
+    NEW met1 ( 27370 52190 ) M1M2_PR
+    NEW li1 ( 20470 56270 ) L1M1_PR_MR
+    NEW met1 ( 20470 56270 ) M1M2_PR
+    NEW met1 ( 20470 52190 ) M1M2_PR
+    NEW met1 ( 20470 51170 ) M1M2_PR
+    NEW li1 ( 14490 45050 ) L1M1_PR_MR
+    NEW met1 ( 16330 45390 ) M1M2_PR
+    NEW met1 ( 16330 51170 ) M1M2_PR
+    NEW met1 ( 27370 45050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 27370 52190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 20470 56270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 51170 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) 
+( _084_ B ) ( _083_ Y ) 
+  + ROUTED met1 ( 21850 45050 ) ( 26450 45050 )
+    NEW met1 ( 8510 47430 ) ( 8510 48110 )
+    NEW met1 ( 8510 48110 ) ( 21850 48110 )
+    NEW met2 ( 9890 41990 ) ( 9890 48110 )
+    NEW met1 ( 21390 54910 ) ( 25530 54910 )
+    NEW met2 ( 25530 54910 ) ( 25530 64430 )
+    NEW met1 ( 25530 64430 ) ( 40250 64430 )
+    NEW met1 ( 40250 64090 ) ( 40250 64430 )
+    NEW met1 ( 14950 57970 ) ( 16330 57970 )
+    NEW met2 ( 16330 54910 ) ( 16330 57970 )
+    NEW met1 ( 16330 54910 ) ( 21390 54910 )
+    NEW met2 ( 21850 45050 ) ( 21850 54910 )
+    NEW met1 ( 21850 45050 ) M1M2_PR
+    NEW li1 ( 26450 45050 ) L1M1_PR_MR
+    NEW li1 ( 8510 47430 ) L1M1_PR_MR
+    NEW met1 ( 21850 48110 ) M1M2_PR
+    NEW li1 ( 9890 41990 ) L1M1_PR_MR
+    NEW met1 ( 9890 41990 ) M1M2_PR
+    NEW met1 ( 9890 48110 ) M1M2_PR
+    NEW li1 ( 21390 54910 ) L1M1_PR_MR
+    NEW met1 ( 25530 54910 ) M1M2_PR
+    NEW met1 ( 25530 64430 ) M1M2_PR
+    NEW li1 ( 40250 64090 ) L1M1_PR_MR
+    NEW li1 ( 14950 57970 ) L1M1_PR_MR
+    NEW met1 ( 16330 57970 ) M1M2_PR
+    NEW met1 ( 16330 54910 ) M1M2_PR
+    NEW met1 ( 21850 54910 ) M1M2_PR
+    NEW met2 ( 21850 48110 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 9890 41990 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 9890 48110 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 21850 54910 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) 
+  + ROUTED met1 ( 11270 52870 ) ( 11270 53210 )
+    NEW met2 ( 29210 45220 ) ( 29210 45390 )
+    NEW met3 ( 20010 45220 ) ( 29210 45220 )
+    NEW met2 ( 20010 45220 ) ( 20010 53210 )
+    NEW met1 ( 47150 45050 ) ( 48530 45050 )
+    NEW met2 ( 47150 44030 ) ( 47150 45050 )
+    NEW met1 ( 36110 44030 ) ( 47150 44030 )
+    NEW met2 ( 36110 44030 ) ( 36110 45390 )
+    NEW met1 ( 29210 45390 ) ( 36110 45390 )
+    NEW met1 ( 29670 28730 ) ( 33350 28730 )
+    NEW met1 ( 29670 28730 ) ( 29670 29410 )
+    NEW met1 ( 28750 29410 ) ( 29670 29410 )
+    NEW met2 ( 28750 29410 ) ( 28750 34340 )
+    NEW met2 ( 28290 34340 ) ( 28750 34340 )
+    NEW met2 ( 28290 34340 ) ( 28290 38590 )
+    NEW met1 ( 28290 38590 ) ( 29210 38590 )
+    NEW met2 ( 29210 38590 ) ( 29210 42500 )
+    NEW met2 ( 28750 42500 ) ( 29210 42500 )
+    NEW met2 ( 28750 42500 ) ( 28750 44540 )
+    NEW met3 ( 28750 44540 ) ( 28980 44540 )
+    NEW met3 ( 28980 44540 ) ( 28980 45220 )
+    NEW met1 ( 11270 53210 ) ( 20010 53210 )
+    NEW li1 ( 11270 52870 ) L1M1_PR_MR
+    NEW li1 ( 29210 45390 ) L1M1_PR_MR
+    NEW met1 ( 29210 45390 ) M1M2_PR
+    NEW met2 ( 29210 45220 ) via2_FR
+    NEW met2 ( 20010 45220 ) via2_FR
+    NEW met1 ( 20010 53210 ) M1M2_PR
+    NEW li1 ( 48530 45050 ) L1M1_PR_MR
+    NEW met1 ( 47150 45050 ) M1M2_PR
+    NEW met1 ( 47150 44030 ) M1M2_PR
+    NEW met1 ( 36110 44030 ) M1M2_PR
+    NEW met1 ( 36110 45390 ) M1M2_PR
+    NEW li1 ( 33350 28730 ) L1M1_PR_MR
+    NEW met1 ( 28750 29410 ) M1M2_PR
+    NEW met1 ( 28290 38590 ) M1M2_PR
+    NEW met1 ( 29210 38590 ) M1M2_PR
+    NEW met2 ( 28750 44540 ) via2_FR
+    NEW met1 ( 29210 45390 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) 
+( _086_ A ) ( _085_ X ) 
+  + ROUTED met1 ( 26450 36550 ) ( 28750 36550 )
+    NEW met1 ( 20930 34170 ) ( 20930 34510 )
+    NEW met1 ( 20930 34510 ) ( 22310 34510 )
+    NEW met1 ( 22310 34170 ) ( 22310 34510 )
+    NEW met1 ( 22310 34170 ) ( 25990 34170 )
+    NEW met2 ( 25990 34170 ) ( 25990 36550 )
+    NEW met2 ( 25990 36550 ) ( 26450 36550 )
+    NEW met1 ( 26450 44370 ) ( 34270 44370 )
+    NEW met2 ( 34270 44370 ) ( 34270 47430 )
+    NEW met1 ( 34270 47430 ) ( 34730 47430 )
+    NEW met1 ( 25990 50830 ) ( 25990 51170 )
+    NEW met1 ( 25990 51170 ) ( 26450 51170 )
+    NEW met2 ( 26450 44370 ) ( 26450 51170 )
+    NEW met1 ( 15410 45050 ) ( 20010 45050 )
+    NEW met1 ( 20010 44370 ) ( 20010 45050 )
+    NEW met1 ( 20010 44370 ) ( 26450 44370 )
+    NEW met1 ( 14490 50830 ) ( 17250 50830 )
+    NEW met2 ( 17250 45050 ) ( 17250 50830 )
+    NEW met2 ( 26450 36550 ) ( 26450 44370 )
+    NEW li1 ( 28750 36550 ) L1M1_PR_MR
+    NEW met1 ( 26450 36550 ) M1M2_PR
+    NEW li1 ( 20930 34170 ) L1M1_PR_MR
+    NEW met1 ( 25990 34170 ) M1M2_PR
+    NEW met1 ( 26450 44370 ) M1M2_PR
+    NEW met1 ( 34270 44370 ) M1M2_PR
+    NEW met1 ( 34270 47430 ) M1M2_PR
+    NEW li1 ( 34730 47430 ) L1M1_PR_MR
+    NEW li1 ( 25990 50830 ) L1M1_PR_MR
+    NEW met1 ( 26450 51170 ) M1M2_PR
+    NEW li1 ( 15410 45050 ) L1M1_PR_MR
+    NEW li1 ( 14490 50830 ) L1M1_PR_MR
+    NEW met1 ( 17250 50830 ) M1M2_PR
+    NEW met1 ( 17250 45050 ) M1M2_PR
+    NEW met1 ( 17250 45050 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _048_ ( _101_ B ) ( _086_ X ) 
+  + ROUTED met1 ( 29670 37230 ) ( 37030 37230 )
+    NEW met2 ( 37030 37230 ) ( 37030 44710 )
+    NEW met1 ( 37030 44710 ) ( 49450 44710 )
+    NEW met1 ( 49450 44710 ) ( 49450 45050 )
+    NEW li1 ( 29670 37230 ) L1M1_PR_MR
+    NEW met1 ( 37030 37230 ) M1M2_PR
+    NEW met1 ( 37030 44710 ) M1M2_PR
+    NEW li1 ( 49450 45050 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) 
+  + ROUTED met2 ( 7590 44370 ) ( 7590 47430 )
+    NEW met1 ( 15410 45730 ) ( 35190 45730 )
+    NEW met2 ( 15410 44370 ) ( 15410 45730 )
+    NEW met1 ( 7590 44370 ) ( 15410 44370 )
+    NEW met1 ( 35190 55590 ) ( 37950 55590 )
+    NEW met2 ( 35190 45730 ) ( 35190 55590 )
+    NEW li1 ( 7590 47430 ) L1M1_PR_MR
+    NEW met1 ( 7590 47430 ) M1M2_PR
+    NEW met1 ( 7590 44370 ) M1M2_PR
+    NEW li1 ( 15410 45730 ) L1M1_PR_MR
+    NEW met1 ( 35190 45730 ) M1M2_PR
+    NEW met1 ( 15410 44370 ) M1M2_PR
+    NEW met1 ( 15410 45730 ) M1M2_PR
+    NEW met1 ( 35190 55590 ) M1M2_PR
+    NEW li1 ( 37950 55590 ) L1M1_PR_MR
+    NEW met1 ( 7590 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 15410 45730 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) 
+  + ROUTED met1 ( 48990 61030 ) ( 48990 61370 )
+    NEW met1 ( 28290 28730 ) ( 29210 28730 )
+    NEW met1 ( 29210 28390 ) ( 29210 28730 )
+    NEW met1 ( 29210 28390 ) ( 33810 28390 )
+    NEW met2 ( 33810 28390 ) ( 33810 30940 )
+    NEW met3 ( 33810 30940 ) ( 35420 30940 )
+    NEW met2 ( 35650 57970 ) ( 35650 61370 )
+    NEW met1 ( 25070 57970 ) ( 35650 57970 )
+    NEW met1 ( 25070 57630 ) ( 25070 57970 )
+    NEW met1 ( 12650 57630 ) ( 25070 57630 )
+    NEW met2 ( 12650 47770 ) ( 12650 57630 )
+    NEW met1 ( 8970 47770 ) ( 12650 47770 )
+    NEW met2 ( 41170 60860 ) ( 41170 61030 )
+    NEW met3 ( 35650 60860 ) ( 41170 60860 )
+    NEW met3 ( 35420 52700 ) ( 35650 52700 )
+    NEW met2 ( 35650 52700 ) ( 35650 57970 )
+    NEW met4 ( 35420 30940 ) ( 35420 52700 )
+    NEW met1 ( 41170 61030 ) ( 48990 61030 )
+    NEW li1 ( 48990 61370 ) L1M1_PR_MR
+    NEW li1 ( 28290 28730 ) L1M1_PR_MR
+    NEW met1 ( 33810 28390 ) M1M2_PR
+    NEW met2 ( 33810 30940 ) via2_FR
+    NEW met3 ( 35420 30940 ) M3M4_PR_M
+    NEW li1 ( 35650 61370 ) L1M1_PR_MR
+    NEW met1 ( 35650 61370 ) M1M2_PR
+    NEW met1 ( 35650 57970 ) M1M2_PR
+    NEW met1 ( 12650 57630 ) M1M2_PR
+    NEW met1 ( 12650 47770 ) M1M2_PR
+    NEW li1 ( 8970 47770 ) L1M1_PR_MR
+    NEW met1 ( 41170 61030 ) M1M2_PR
+    NEW met2 ( 41170 60860 ) via2_FR
+    NEW met2 ( 35650 60860 ) via2_FR
+    NEW met3 ( 35420 52700 ) M3M4_PR_M
+    NEW met2 ( 35650 52700 ) via2_FR
+    NEW met1 ( 35650 61370 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 35650 60860 ) RECT ( -70 -485 70 0 )
+    NEW met3 ( 35420 52700 ) RECT ( -390 -150 0 150 )
++ USE SIGNAL ;
+- _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) 
+  + ROUTED met1 ( 21390 42330 ) ( 21390 42670 )
+    NEW met1 ( 21390 42670 ) ( 22770 42670 )
+    NEW met1 ( 22770 41990 ) ( 22770 42670 )
+    NEW met1 ( 22770 41990 ) ( 25990 41990 )
+    NEW met1 ( 15870 41990 ) ( 19550 41990 )
+    NEW met1 ( 19550 41990 ) ( 19550 42330 )
+    NEW met1 ( 19550 42330 ) ( 21390 42330 )
+    NEW met1 ( 40710 60350 ) ( 40710 61370 )
+    NEW met1 ( 36110 60350 ) ( 40710 60350 )
+    NEW met2 ( 36110 51340 ) ( 36110 60350 )
+    NEW met3 ( 27140 51340 ) ( 36110 51340 )
+    NEW met3 ( 27140 49980 ) ( 27140 51340 )
+    NEW met3 ( 25990 49980 ) ( 27140 49980 )
+    NEW met2 ( 25990 41990 ) ( 25990 49980 )
+    NEW met1 ( 40710 61370 ) ( 48530 61370 )
+    NEW li1 ( 48530 61370 ) L1M1_PR_MR
+    NEW li1 ( 21390 42330 ) L1M1_PR_MR
+    NEW met1 ( 25990 41990 ) M1M2_PR
+    NEW li1 ( 15870 41990 ) L1M1_PR_MR
+    NEW li1 ( 40710 61370 ) L1M1_PR_MR
+    NEW met1 ( 36110 60350 ) M1M2_PR
+    NEW met2 ( 36110 51340 ) via2_FR
+    NEW met2 ( 25990 49980 ) via2_FR
++ USE SIGNAL ;
+- _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) 
+  + ROUTED met1 ( 35650 46750 ) ( 36110 46750 )
+    NEW met2 ( 36110 46580 ) ( 36110 46750 )
+    NEW met3 ( 36110 46580 ) ( 36340 46580 )
+    NEW met4 ( 36340 31620 ) ( 36340 46580 )
+    NEW met3 ( 34270 31620 ) ( 36340 31620 )
+    NEW met2 ( 34270 28730 ) ( 34270 31620 )
+    NEW met1 ( 29210 46750 ) ( 35650 46750 )
+    NEW met1 ( 21390 56270 ) ( 28750 56270 )
+    NEW met2 ( 28750 56270 ) ( 28750 57630 )
+    NEW met1 ( 28750 57630 ) ( 39790 57630 )
+    NEW met2 ( 39790 57630 ) ( 39790 63750 )
+    NEW met2 ( 28750 56270 ) ( 29210 56270 )
+    NEW met2 ( 29210 46750 ) ( 29210 56270 )
+    NEW li1 ( 35650 46750 ) L1M1_PR_MR
+    NEW met1 ( 36110 46750 ) M1M2_PR
+    NEW met2 ( 36110 46580 ) via2_FR
+    NEW met3 ( 36340 46580 ) M3M4_PR_M
+    NEW met3 ( 36340 31620 ) M3M4_PR_M
+    NEW met2 ( 34270 31620 ) via2_FR
+    NEW li1 ( 34270 28730 ) L1M1_PR_MR
+    NEW met1 ( 34270 28730 ) M1M2_PR
+    NEW met1 ( 29210 46750 ) M1M2_PR
+    NEW li1 ( 21390 56270 ) L1M1_PR_MR
+    NEW met1 ( 28750 56270 ) M1M2_PR
+    NEW met1 ( 28750 57630 ) M1M2_PR
+    NEW met1 ( 39790 57630 ) M1M2_PR
+    NEW li1 ( 39790 63750 ) L1M1_PR_MR
+    NEW met1 ( 39790 63750 ) M1M2_PR
+    NEW met3 ( 36110 46580 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 34270 28730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 39790 63750 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) 
+  + ROUTED met1 ( 14950 41990 ) ( 14950 42330 )
+    NEW met1 ( 14950 42330 ) ( 16330 42330 )
+    NEW met2 ( 16330 42330 ) ( 16330 44030 )
+    NEW met1 ( 16330 44030 ) ( 22770 44030 )
+    NEW met2 ( 22770 44030 ) ( 22770 55930 )
+    NEW met2 ( 27830 31110 ) ( 27830 37570 )
+    NEW met1 ( 23230 37570 ) ( 27830 37570 )
+    NEW met2 ( 23230 37570 ) ( 23230 41820 )
+    NEW met2 ( 22770 41820 ) ( 23230 41820 )
+    NEW met2 ( 22770 41820 ) ( 22770 44030 )
+    NEW met1 ( 34730 45050 ) ( 42090 45050 )
+    NEW met1 ( 34730 44030 ) ( 34730 45050 )
+    NEW met1 ( 22770 44030 ) ( 34730 44030 )
+    NEW li1 ( 14950 41990 ) L1M1_PR_MR
+    NEW met1 ( 16330 42330 ) M1M2_PR
+    NEW met1 ( 16330 44030 ) M1M2_PR
+    NEW met1 ( 22770 44030 ) M1M2_PR
+    NEW li1 ( 22770 55930 ) L1M1_PR_MR
+    NEW met1 ( 22770 55930 ) M1M2_PR
+    NEW li1 ( 27830 31110 ) L1M1_PR_MR
+    NEW met1 ( 27830 31110 ) M1M2_PR
+    NEW met1 ( 27830 37570 ) M1M2_PR
+    NEW met1 ( 23230 37570 ) M1M2_PR
+    NEW li1 ( 42090 45050 ) L1M1_PR_MR
+    NEW met1 ( 22770 55930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 27830 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _054_ ( _094_ A ) ( _093_ X ) 
+  + ROUTED met2 ( 16330 31110 ) ( 16330 33150 )
+    NEW met1 ( 16330 33150 ) ( 28290 33150 )
+    NEW li1 ( 16330 31110 ) L1M1_PR_MR
+    NEW met1 ( 16330 31110 ) M1M2_PR
+    NEW met1 ( 16330 33150 ) M1M2_PR
+    NEW li1 ( 28290 33150 ) L1M1_PR_MR
+    NEW met1 ( 16330 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) 
+  + ROUTED met1 ( 48070 33150 ) ( 48070 33490 )
+    NEW met1 ( 43010 33150 ) ( 48070 33150 )
+    NEW met2 ( 43010 31790 ) ( 43010 33150 )
+    NEW met1 ( 28290 31790 ) ( 43010 31790 )
+    NEW met1 ( 28290 31790 ) ( 28290 32130 )
+    NEW met1 ( 17250 32130 ) ( 28290 32130 )
+    NEW met1 ( 49910 33830 ) ( 49910 34170 )
+    NEW met1 ( 49910 33830 ) ( 55890 33830 )
+    NEW met1 ( 49910 33490 ) ( 49910 33830 )
+    NEW met1 ( 48070 33490 ) ( 49910 33490 )
+    NEW met1 ( 51750 55930 ) ( 52670 55930 )
+    NEW met1 ( 52670 55590 ) ( 52670 55930 )
+    NEW met1 ( 52670 55590 ) ( 55890 55590 )
+    NEW met1 ( 48070 52870 ) ( 48070 53210 )
+    NEW met1 ( 48070 53210 ) ( 55890 53210 )
+    NEW met2 ( 55890 33830 ) ( 55890 55590 )
+    NEW met1 ( 43010 33150 ) M1M2_PR
+    NEW met1 ( 43010 31790 ) M1M2_PR
+    NEW li1 ( 17250 32130 ) L1M1_PR_MR
+    NEW li1 ( 49910 34170 ) L1M1_PR_MR
+    NEW met1 ( 55890 33830 ) M1M2_PR
+    NEW li1 ( 51750 55930 ) L1M1_PR_MR
+    NEW met1 ( 55890 55590 ) M1M2_PR
+    NEW li1 ( 48070 52870 ) L1M1_PR_MR
+    NEW met1 ( 55890 53210 ) M1M2_PR
+    NEW met2 ( 55890 53210 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) 
+  + ROUTED met1 ( 41170 52530 ) ( 44850 52530 )
+    NEW met2 ( 41170 39100 ) ( 41170 52530 )
+    NEW met2 ( 40710 39100 ) ( 41170 39100 )
+    NEW met2 ( 40710 28730 ) ( 40710 39100 )
+    NEW met1 ( 54050 55930 ) ( 54050 56270 )
+    NEW met1 ( 47150 56270 ) ( 54050 56270 )
+    NEW met2 ( 47150 52530 ) ( 47150 56270 )
+    NEW met1 ( 44850 52530 ) ( 47150 52530 )
+    NEW li1 ( 44850 52530 ) L1M1_PR_MR
+    NEW met1 ( 41170 52530 ) M1M2_PR
+    NEW li1 ( 40710 28730 ) L1M1_PR_MR
+    NEW met1 ( 40710 28730 ) M1M2_PR
+    NEW li1 ( 54050 55930 ) L1M1_PR_MR
+    NEW met1 ( 47150 56270 ) M1M2_PR
+    NEW met1 ( 47150 52530 ) M1M2_PR
+    NEW met1 ( 40710 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _057_ ( _097_ A ) ( _096_ X ) 
+  + ROUTED met2 ( 28750 45050 ) ( 28750 53890 )
+    NEW met1 ( 28750 45050 ) ( 33810 45050 )
+    NEW met1 ( 12650 53890 ) ( 28750 53890 )
+    NEW li1 ( 12650 53890 ) L1M1_PR_MR
+    NEW met1 ( 28750 53890 ) M1M2_PR
+    NEW met1 ( 28750 45050 ) M1M2_PR
+    NEW li1 ( 33810 45050 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) 
+  + ROUTED met2 ( 44850 31110 ) ( 44850 47430 )
+    NEW met1 ( 43010 31110 ) ( 44850 31110 )
+    NEW met1 ( 36570 45390 ) ( 36570 45730 )
+    NEW met1 ( 36570 45730 ) ( 44850 45730 )
+    NEW met1 ( 39330 58310 ) ( 39330 58650 )
+    NEW met1 ( 39330 58650 ) ( 39790 58650 )
+    NEW met1 ( 39790 58650 ) ( 39790 59330 )
+    NEW met1 ( 39790 59330 ) ( 44850 59330 )
+    NEW met2 ( 44850 47430 ) ( 44850 59330 )
+    NEW li1 ( 44850 47430 ) L1M1_PR_MR
+    NEW met1 ( 44850 47430 ) M1M2_PR
+    NEW met1 ( 44850 31110 ) M1M2_PR
+    NEW li1 ( 43010 31110 ) L1M1_PR_MR
+    NEW li1 ( 36570 45390 ) L1M1_PR_MR
+    NEW met1 ( 44850 45730 ) M1M2_PR
+    NEW li1 ( 39330 58310 ) L1M1_PR_MR
+    NEW met1 ( 44850 59330 ) M1M2_PR
+    NEW met1 ( 44850 47430 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 44850 45730 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) 
+  + ROUTED met1 ( 41170 45390 ) ( 44390 45390 )
+    NEW met2 ( 44390 34170 ) ( 44390 45390 )
+    NEW met1 ( 39790 34170 ) ( 44390 34170 )
+    NEW met2 ( 44390 45390 ) ( 44390 46750 )
+    NEW met1 ( 43930 58310 ) ( 44390 58310 )
+    NEW met2 ( 44390 46750 ) ( 44390 58310 )
+    NEW li1 ( 41170 45390 ) L1M1_PR_MR
+    NEW met1 ( 44390 45390 ) M1M2_PR
+    NEW met1 ( 44390 34170 ) M1M2_PR
+    NEW li1 ( 39790 34170 ) L1M1_PR_MR
+    NEW li1 ( 44390 46750 ) L1M1_PR_MR
+    NEW met1 ( 44390 46750 ) M1M2_PR
+    NEW li1 ( 43930 58310 ) L1M1_PR_MR
+    NEW met1 ( 44390 58310 ) M1M2_PR
+    NEW met1 ( 44390 46750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) 
+  + ROUTED met2 ( 11270 36550 ) ( 11270 38590 )
+    NEW met1 ( 28290 43010 ) ( 48990 43010 )
+    NEW met2 ( 48990 43010 ) ( 48990 44030 )
+    NEW met2 ( 27830 38590 ) ( 27830 41140 )
+    NEW met2 ( 27370 41140 ) ( 27830 41140 )
+    NEW met2 ( 27370 41140 ) ( 27370 43010 )
+    NEW met1 ( 27370 43010 ) ( 28290 43010 )
+    NEW met1 ( 11270 38590 ) ( 27830 38590 )
+    NEW li1 ( 11270 36550 ) L1M1_PR_MR
+    NEW met1 ( 11270 36550 ) M1M2_PR
+    NEW met1 ( 11270 38590 ) M1M2_PR
+    NEW li1 ( 28290 43010 ) L1M1_PR_MR
+    NEW met1 ( 48990 43010 ) M1M2_PR
+    NEW li1 ( 48990 44030 ) L1M1_PR_MR
+    NEW met1 ( 48990 44030 ) M1M2_PR
+    NEW met1 ( 27830 38590 ) M1M2_PR
+    NEW met1 ( 27370 43010 ) M1M2_PR
+    NEW met1 ( 11270 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 44030 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) 
+  + ROUTED met1 ( 49910 41990 ) ( 50370 41990 )
+    NEW met1 ( 49910 41310 ) ( 49910 41990 )
+    NEW met2 ( 49910 40290 ) ( 49910 41310 )
+    NEW met2 ( 50830 36890 ) ( 50830 38590 )
+    NEW met1 ( 49910 38590 ) ( 50830 38590 )
+    NEW met2 ( 49910 38590 ) ( 49910 40290 )
+    NEW met1 ( 31510 39610 ) ( 37490 39610 )
+    NEW met1 ( 37490 39610 ) ( 37490 40290 )
+    NEW met1 ( 37490 40290 ) ( 49910 40290 )
+    NEW met3 ( 28980 41820 ) ( 34270 41820 )
+    NEW met4 ( 28980 41820 ) ( 28980 53380 )
+    NEW met3 ( 19090 53380 ) ( 28980 53380 )
+    NEW met2 ( 19090 53380 ) ( 19090 63410 )
+    NEW met1 ( 15870 63410 ) ( 19090 63410 )
+    NEW met2 ( 34270 39610 ) ( 34270 41820 )
+    NEW li1 ( 50370 41990 ) L1M1_PR_MR
+    NEW met1 ( 49910 41310 ) M1M2_PR
+    NEW met1 ( 49910 40290 ) M1M2_PR
+    NEW li1 ( 50830 36890 ) L1M1_PR_MR
+    NEW met1 ( 50830 36890 ) M1M2_PR
+    NEW met1 ( 50830 38590 ) M1M2_PR
+    NEW met1 ( 49910 38590 ) M1M2_PR
+    NEW li1 ( 31510 39610 ) L1M1_PR_MR
+    NEW met1 ( 34270 39610 ) M1M2_PR
+    NEW met2 ( 34270 41820 ) via2_FR
+    NEW met3 ( 28980 41820 ) M3M4_PR_M
+    NEW met3 ( 28980 53380 ) M3M4_PR_M
+    NEW met2 ( 19090 53380 ) via2_FR
+    NEW met1 ( 19090 63410 ) M1M2_PR
+    NEW li1 ( 15870 63410 ) L1M1_PR_MR
+    NEW met1 ( 50830 36890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 34270 39610 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) 
+  + ROUTED met1 ( 48070 33830 ) ( 48070 34170 )
+    NEW met2 ( 48070 34170 ) ( 48070 59330 )
+    NEW met1 ( 48070 59330 ) ( 50830 59330 )
+    NEW met1 ( 50830 58310 ) ( 50830 59330 )
+    NEW met2 ( 42090 31110 ) ( 42090 33830 )
+    NEW met1 ( 42090 33830 ) ( 48070 33830 )
+    NEW met1 ( 32430 38930 ) ( 48070 38930 )
+    NEW met1 ( 48530 33830 ) ( 48530 34170 )
+    NEW met2 ( 48530 34170 ) ( 48530 34340 )
+    NEW met3 ( 48530 34340 ) ( 55430 34340 )
+    NEW met2 ( 55430 34170 ) ( 55430 34340 )
+    NEW met1 ( 48070 33830 ) ( 48530 33830 )
+    NEW met1 ( 48070 34170 ) M1M2_PR
+    NEW met1 ( 48070 59330 ) M1M2_PR
+    NEW li1 ( 50830 58310 ) L1M1_PR_MR
+    NEW li1 ( 42090 31110 ) L1M1_PR_MR
+    NEW met1 ( 42090 31110 ) M1M2_PR
+    NEW met1 ( 42090 33830 ) M1M2_PR
+    NEW li1 ( 32430 38930 ) L1M1_PR_MR
+    NEW met1 ( 48070 38930 ) M1M2_PR
+    NEW met1 ( 48530 34170 ) M1M2_PR
+    NEW met2 ( 48530 34340 ) via2_FR
+    NEW met2 ( 55430 34340 ) via2_FR
+    NEW li1 ( 55430 34170 ) L1M1_PR_MR
+    NEW met1 ( 55430 34170 ) M1M2_PR
+    NEW met1 ( 42090 31110 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 48070 38930 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 55430 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _063_ ( _108_ A ) ( _104_ X ) 
+  + ROUTED met2 ( 43930 31790 ) ( 43930 36550 )
+    NEW met1 ( 43930 36550 ) ( 44390 36550 )
+    NEW li1 ( 43930 31790 ) L1M1_PR_MR
+    NEW met1 ( 43930 31790 ) M1M2_PR
+    NEW met1 ( 43930 36550 ) M1M2_PR
+    NEW li1 ( 44390 36550 ) L1M1_PR_MR
+    NEW met1 ( 43930 31790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _064_ ( _107_ B ) ( _105_ X ) 
+  + ROUTED met1 ( 37490 33150 ) ( 38870 33150 )
+    NEW met2 ( 37490 33150 ) ( 37490 47090 )
+    NEW met1 ( 21390 47090 ) ( 37490 47090 )
+    NEW li1 ( 38870 33150 ) L1M1_PR_MR
+    NEW met1 ( 37490 33150 ) M1M2_PR
+    NEW met1 ( 37490 47090 ) M1M2_PR
+    NEW li1 ( 21390 47090 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _065_ ( _107_ C ) ( _106_ X ) 
+  + ROUTED met2 ( 38870 34170 ) ( 38870 52530 )
+    NEW met1 ( 35650 52530 ) ( 38870 52530 )
+    NEW li1 ( 38870 34170 ) L1M1_PR_MR
+    NEW met1 ( 38870 34170 ) M1M2_PR
+    NEW met1 ( 38870 52530 ) M1M2_PR
+    NEW li1 ( 35650 52530 ) L1M1_PR_MR
+    NEW met1 ( 38870 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _066_ ( _108_ B ) ( _107_ X ) 
+  + ROUTED met1 ( 40710 33490 ) ( 45310 33490 )
+    NEW met2 ( 45310 33490 ) ( 45310 36550 )
+    NEW li1 ( 40710 33490 ) L1M1_PR_MR
+    NEW met1 ( 45310 33490 ) M1M2_PR
+    NEW li1 ( 45310 36550 ) L1M1_PR_MR
+    NEW met1 ( 45310 36550 ) M1M2_PR
+    NEW met1 ( 45310 36550 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _067_ ( _109_ A ) ( _108_ X ) 
+  + ROUTED met1 ( 46230 37570 ) ( 55430 37570 )
+    NEW met2 ( 55430 37570 ) ( 55430 39610 )
+    NEW li1 ( 46230 37570 ) L1M1_PR_MR
+    NEW met1 ( 55430 37570 ) M1M2_PR
+    NEW li1 ( 55430 39610 ) L1M1_PR_MR
+    NEW met1 ( 55430 39610 ) M1M2_PR
+    NEW met1 ( 55430 39610 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _068_ ( _112_ A ) ( _110_ Y ) 
+  + ROUTED met2 ( 48990 31110 ) ( 48990 33150 )
+    NEW li1 ( 48990 31110 ) L1M1_PR_MR
+    NEW met1 ( 48990 31110 ) M1M2_PR
+    NEW li1 ( 48990 33150 ) L1M1_PR_MR
+    NEW met1 ( 48990 33150 ) M1M2_PR
+    NEW met1 ( 48990 31110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 33150 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _069_ ( _112_ B ) ( _111_ Y ) 
+  + ROUTED met1 ( 42550 29070 ) ( 48070 29070 )
+    NEW met2 ( 48070 29070 ) ( 48070 31110 )
+    NEW li1 ( 42550 29070 ) L1M1_PR_MR
+    NEW met1 ( 48070 29070 ) M1M2_PR
+    NEW li1 ( 48070 31110 ) L1M1_PR_MR
+    NEW met1 ( 48070 31110 ) M1M2_PR
+    NEW met1 ( 48070 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _070_ ( _115_ A ) ( _113_ X ) 
+  + ROUTED met1 ( 23230 36550 ) ( 25070 36550 )
+    NEW met2 ( 25070 36550 ) ( 25070 37060 )
+    NEW met3 ( 25070 37060 ) ( 30130 37060 )
+    NEW met2 ( 30130 29070 ) ( 30130 37060 )
+    NEW met1 ( 30130 29070 ) ( 35190 29070 )
+    NEW li1 ( 23230 36550 ) L1M1_PR_MR
+    NEW met1 ( 25070 36550 ) M1M2_PR
+    NEW met2 ( 25070 37060 ) via2_FR
+    NEW met2 ( 30130 37060 ) via2_FR
+    NEW met1 ( 30130 29070 ) M1M2_PR
+    NEW li1 ( 35190 29070 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _071_ ( _115_ B ) ( _114_ X ) 
+  + ROUTED met2 ( 29210 32130 ) ( 29210 35870 )
+    NEW met1 ( 22310 35870 ) ( 29210 35870 )
+    NEW met1 ( 22310 35870 ) ( 22310 36550 )
+    NEW li1 ( 29210 32130 ) L1M1_PR_MR
+    NEW met1 ( 29210 32130 ) M1M2_PR
+    NEW met1 ( 29210 35870 ) M1M2_PR
+    NEW li1 ( 22310 36550 ) L1M1_PR_MR
+    NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _072_ ( _116_ B ) ( _115_ Y ) 
+  + ROUTED met1 ( 36110 25670 ) ( 37950 25670 )
+    NEW met2 ( 36110 25670 ) ( 36110 36890 )
+    NEW met1 ( 23690 36890 ) ( 36110 36890 )
+    NEW li1 ( 37950 25670 ) L1M1_PR_MR
+    NEW met1 ( 36110 25670 ) M1M2_PR
+    NEW met1 ( 36110 36890 ) M1M2_PR
+    NEW li1 ( 23690 36890 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) 
+  + ROUTED met2 ( 9430 39610 ) ( 9430 41310 )
+    NEW met1 ( 28290 41310 ) ( 35650 41310 )
+    NEW met2 ( 35650 26690 ) ( 35650 41310 )
+    NEW met1 ( 35650 26690 ) ( 38410 26690 )
+    NEW met1 ( 9430 41310 ) ( 28290 41310 )
+    NEW li1 ( 9430 39610 ) L1M1_PR_MR
+    NEW met1 ( 9430 39610 ) M1M2_PR
+    NEW met1 ( 9430 41310 ) M1M2_PR
+    NEW li1 ( 28290 41310 ) L1M1_PR_MR
+    NEW met1 ( 35650 41310 ) M1M2_PR
+    NEW met1 ( 35650 26690 ) M1M2_PR
+    NEW li1 ( 38410 26690 ) L1M1_PR_MR
+    NEW met1 ( 9430 39610 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _074_ ( _118_ B ) ( _117_ X ) 
+  + ROUTED met1 ( 29670 41990 ) ( 29670 42330 )
+    NEW met1 ( 25070 42330 ) ( 29670 42330 )
+    NEW met2 ( 25070 41820 ) ( 25070 42330 )
+    NEW met3 ( 9430 41820 ) ( 25070 41820 )
+    NEW met2 ( 9430 41820 ) ( 9430 45050 )
+    NEW li1 ( 29670 41990 ) L1M1_PR_MR
+    NEW met1 ( 25070 42330 ) M1M2_PR
+    NEW met2 ( 25070 41820 ) via2_FR
+    NEW met2 ( 9430 41820 ) via2_FR
+    NEW li1 ( 9430 45050 ) L1M1_PR_MR
+    NEW met1 ( 9430 45050 ) M1M2_PR
+    NEW met1 ( 9430 45050 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _075_ ( _120_ B ) ( _119_ Y ) 
+  + ROUTED met1 ( 15410 39610 ) ( 17250 39610 )
+    NEW met2 ( 17250 34850 ) ( 17250 39610 )
+    NEW met1 ( 17250 34850 ) ( 54970 34850 )
+    NEW li1 ( 15410 39610 ) L1M1_PR_MR
+    NEW met1 ( 17250 39610 ) M1M2_PR
+    NEW met1 ( 17250 34850 ) M1M2_PR
+    NEW li1 ( 54970 34850 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) 
+  + ROUTED met1 ( 37030 23290 ) ( 37030 23630 )
+    NEW met1 ( 37030 23630 ) ( 46230 23630 )
+    NEW met2 ( 46230 23630 ) ( 46230 50150 )
+    NEW met1 ( 46230 50150 ) ( 48530 50150 )
+    NEW met2 ( 36570 20230 ) ( 36570 23290 )
+    NEW met1 ( 36570 23290 ) ( 37030 23290 )
+    NEW li1 ( 37030 23290 ) L1M1_PR_MR
+    NEW met1 ( 46230 23630 ) M1M2_PR
+    NEW met1 ( 46230 50150 ) M1M2_PR
+    NEW li1 ( 48530 50150 ) L1M1_PR_MR
+    NEW li1 ( 36570 20230 ) L1M1_PR_MR
+    NEW met1 ( 36570 20230 ) M1M2_PR
+    NEW met1 ( 36570 23290 ) M1M2_PR
+    NEW met1 ( 36570 20230 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _077_ ( _123_ B ) ( _122_ Y ) 
+  + ROUTED met2 ( 10810 37570 ) ( 10810 41650 )
+    NEW met1 ( 10810 37570 ) ( 21390 37570 )
+    NEW met2 ( 21390 34170 ) ( 21390 37570 )
+    NEW met1 ( 21390 34170 ) ( 21850 34170 )
+    NEW li1 ( 10810 41650 ) L1M1_PR_MR
+    NEW met1 ( 10810 41650 ) M1M2_PR
+    NEW met1 ( 10810 37570 ) M1M2_PR
+    NEW met1 ( 21390 37570 ) M1M2_PR
+    NEW met1 ( 21390 34170 ) M1M2_PR
+    NEW li1 ( 21850 34170 ) L1M1_PR_MR
+    NEW met1 ( 10810 41650 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _078_ ( _132_ A ) ( _123_ Y ) 
+  + ROUTED met1 ( 22770 34510 ) ( 23230 34510 )
+    NEW met2 ( 23230 34510 ) ( 23230 35870 )
+    NEW met2 ( 23230 35870 ) ( 23690 35870 )
+    NEW met2 ( 23690 35870 ) ( 23690 37740 )
+    NEW met3 ( 23690 37740 ) ( 28750 37740 )
+    NEW met2 ( 28750 37740 ) ( 28750 41650 )
+    NEW met1 ( 28750 41650 ) ( 36110 41650 )
+    NEW met1 ( 36110 41650 ) ( 36110 41990 )
+    NEW li1 ( 22770 34510 ) L1M1_PR_MR
+    NEW met1 ( 23230 34510 ) M1M2_PR
+    NEW met2 ( 23690 37740 ) via2_FR
+    NEW met2 ( 28750 37740 ) via2_FR
+    NEW met1 ( 28750 41650 ) M1M2_PR
+    NEW li1 ( 36110 41990 ) L1M1_PR_MR
++ USE SIGNAL ;
+- cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) 
+( _086_ B ) ( _083_ A ) 
+  + ROUTED met1 ( 27830 36210 ) ( 32890 36210 )
+    NEW met2 ( 32890 36210 ) ( 32890 37060 )
+    NEW met3 ( 31740 37060 ) ( 32890 37060 )
+    NEW met1 ( 29210 25670 ) ( 32430 25670 )
+    NEW met2 ( 32430 25670 ) ( 32430 33150 )
+    NEW met2 ( 32430 33150 ) ( 32890 33150 )
+    NEW met2 ( 32890 33150 ) ( 32890 36210 )
+    NEW met2 ( 27370 59500 ) ( 27370 63070 )
+    NEW met3 ( 27370 59500 ) ( 28060 59500 )
+    NEW met4 ( 28060 43180 ) ( 28060 59500 )
+    NEW met3 ( 28060 43180 ) ( 31740 43180 )
+    NEW met1 ( 22310 61030 ) ( 27370 61030 )
+    NEW met1 ( 14490 58310 ) ( 17710 58310 )
+    NEW met2 ( 17710 58310 ) ( 17710 60690 )
+    NEW met1 ( 17710 60690 ) ( 20930 60690 )
+    NEW met1 ( 20930 60690 ) ( 20930 61030 )
+    NEW met1 ( 20930 61030 ) ( 22310 61030 )
+    NEW met1 ( 13570 49470 ) ( 14490 49470 )
+    NEW met2 ( 13570 49470 ) ( 13570 58310 )
+    NEW met1 ( 13570 58310 ) ( 14490 58310 )
+    NEW met4 ( 31740 37060 ) ( 31740 43180 )
+    NEW li1 ( 27830 36210 ) L1M1_PR_MR
+    NEW met1 ( 32890 36210 ) M1M2_PR
+    NEW met2 ( 32890 37060 ) via2_FR
+    NEW met3 ( 31740 37060 ) M3M4_PR_M
+    NEW li1 ( 29210 25670 ) L1M1_PR_MR
+    NEW met1 ( 32430 25670 ) M1M2_PR
+    NEW li1 ( 27370 63070 ) L1M1_PR_MR
+    NEW met1 ( 27370 63070 ) M1M2_PR
+    NEW met2 ( 27370 59500 ) via2_FR
+    NEW met3 ( 28060 59500 ) M3M4_PR_M
+    NEW met3 ( 28060 43180 ) M3M4_PR_M
+    NEW met3 ( 31740 43180 ) M3M4_PR_M
+    NEW li1 ( 22310 61030 ) L1M1_PR_MR
+    NEW met1 ( 27370 61030 ) M1M2_PR
+    NEW li1 ( 14490 58310 ) L1M1_PR_MR
+    NEW met1 ( 17710 58310 ) M1M2_PR
+    NEW met1 ( 17710 60690 ) M1M2_PR
+    NEW li1 ( 14490 49470 ) L1M1_PR_MR
+    NEW met1 ( 13570 49470 ) M1M2_PR
+    NEW met1 ( 13570 58310 ) M1M2_PR
+    NEW met1 ( 27370 63070 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 27370 61030 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) 
+( _114_ A ) ( _082_ A ) 
+  + ROUTED met1 ( 9430 41990 ) ( 9430 42330 )
+    NEW met1 ( 9430 42330 ) ( 10350 42330 )
+    NEW met2 ( 10350 42330 ) ( 10350 44030 )
+    NEW met1 ( 28750 30770 ) ( 30590 30770 )
+    NEW met2 ( 30590 26350 ) ( 30590 30770 )
+    NEW met1 ( 28290 26350 ) ( 30590 26350 )
+    NEW met1 ( 28290 25670 ) ( 28290 26350 )
+    NEW met1 ( 29210 52870 ) ( 31510 52870 )
+    NEW met2 ( 31510 50660 ) ( 31510 52870 )
+    NEW met3 ( 30820 50660 ) ( 31510 50660 )
+    NEW met4 ( 30820 33660 ) ( 30820 50660 )
+    NEW met3 ( 30590 33660 ) ( 30820 33660 )
+    NEW met2 ( 30590 30770 ) ( 30590 33660 )
+    NEW met1 ( 29210 61710 ) ( 31510 61710 )
+    NEW met2 ( 31510 52870 ) ( 31510 61710 )
+    NEW met1 ( 20470 58310 ) ( 31510 58310 )
+    NEW met1 ( 15870 44030 ) ( 15870 44370 )
+    NEW met1 ( 15870 44370 ) ( 19550 44370 )
+    NEW met2 ( 19550 44370 ) ( 19550 58310 )
+    NEW met1 ( 19550 58310 ) ( 20470 58310 )
+    NEW met1 ( 10350 44030 ) ( 15870 44030 )
+    NEW li1 ( 9430 41990 ) L1M1_PR_MR
+    NEW met1 ( 10350 42330 ) M1M2_PR
+    NEW met1 ( 10350 44030 ) M1M2_PR
+    NEW li1 ( 28750 30770 ) L1M1_PR_MR
+    NEW met1 ( 30590 30770 ) M1M2_PR
+    NEW met1 ( 30590 26350 ) M1M2_PR
+    NEW li1 ( 28290 25670 ) L1M1_PR_MR
+    NEW li1 ( 29210 52870 ) L1M1_PR_MR
+    NEW met1 ( 31510 52870 ) M1M2_PR
+    NEW met2 ( 31510 50660 ) via2_FR
+    NEW met3 ( 30820 50660 ) M3M4_PR_M
+    NEW met3 ( 30820 33660 ) M3M4_PR_M
+    NEW met2 ( 30590 33660 ) via2_FR
+    NEW li1 ( 29210 61710 ) L1M1_PR_MR
+    NEW met1 ( 31510 61710 ) M1M2_PR
+    NEW li1 ( 20470 58310 ) L1M1_PR_MR
+    NEW met1 ( 31510 58310 ) M1M2_PR
+    NEW met1 ( 19550 44370 ) M1M2_PR
+    NEW met1 ( 19550 58310 ) M1M2_PR
+    NEW met3 ( 30820 33660 ) RECT ( 0 -150 390 150 )
+    NEW met2 ( 31510 58310 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) 
+( _156_ A ) 
+  + ROUTED met1 ( 8510 34170 ) ( 8970 34170 )
+    NEW met2 ( 8970 34170 ) ( 8970 49470 )
+    NEW met1 ( 8970 33490 ) ( 8970 34170 )
+    NEW met2 ( 25530 14790 ) ( 25530 23290 )
+    NEW met1 ( 25530 14790 ) ( 34270 14790 )
+    NEW met2 ( 21850 23290 ) ( 21850 28730 )
+    NEW met1 ( 21850 23290 ) ( 25530 23290 )
+    NEW met2 ( 20010 31110 ) ( 20010 33490 )
+    NEW met1 ( 20010 31110 ) ( 21850 31110 )
+    NEW met2 ( 21850 28730 ) ( 21850 31110 )
+    NEW met1 ( 8970 33490 ) ( 20010 33490 )
+    NEW li1 ( 8510 34170 ) L1M1_PR_MR
+    NEW met1 ( 8970 34170 ) M1M2_PR
+    NEW li1 ( 8970 49470 ) L1M1_PR_MR
+    NEW met1 ( 8970 49470 ) M1M2_PR
+    NEW li1 ( 25530 23290 ) L1M1_PR_MR
+    NEW met1 ( 25530 23290 ) M1M2_PR
+    NEW met1 ( 25530 14790 ) M1M2_PR
+    NEW li1 ( 34270 14790 ) L1M1_PR_MR
+    NEW li1 ( 21850 28730 ) L1M1_PR_MR
+    NEW met1 ( 21850 28730 ) M1M2_PR
+    NEW met1 ( 21850 23290 ) M1M2_PR
+    NEW met1 ( 20010 33490 ) M1M2_PR
+    NEW met1 ( 20010 31110 ) M1M2_PR
+    NEW met1 ( 21850 31110 ) M1M2_PR
+    NEW met1 ( 8970 49470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 25530 23290 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 21850 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) 
+  + ROUTED met1 ( 26450 55930 ) ( 26910 55930 )
+    NEW met2 ( 26450 55930 ) ( 26450 61370 )
+    NEW met1 ( 26450 61370 ) ( 33350 61370 )
+    NEW met1 ( 33350 61030 ) ( 33350 61370 )
+    NEW met1 ( 33350 61030 ) ( 38870 61030 )
+    NEW met1 ( 23230 55930 ) ( 26450 55930 )
+    NEW met2 ( 22310 25670 ) ( 22310 44710 )
+    NEW met1 ( 22310 44710 ) ( 23230 44710 )
+    NEW met1 ( 22310 21250 ) ( 27830 21250 )
+    NEW met2 ( 22310 21250 ) ( 22310 25670 )
+    NEW met2 ( 23230 44710 ) ( 23230 55930 )
+    NEW li1 ( 26910 55930 ) L1M1_PR_MR
+    NEW met1 ( 26450 55930 ) M1M2_PR
+    NEW met1 ( 26450 61370 ) M1M2_PR
+    NEW li1 ( 38870 61030 ) L1M1_PR_MR
+    NEW met1 ( 23230 55930 ) M1M2_PR
+    NEW li1 ( 22310 25670 ) L1M1_PR_MR
+    NEW met1 ( 22310 25670 ) M1M2_PR
+    NEW met1 ( 22310 44710 ) M1M2_PR
+    NEW met1 ( 23230 44710 ) M1M2_PR
+    NEW li1 ( 27830 21250 ) L1M1_PR_MR
+    NEW met1 ( 22310 21250 ) M1M2_PR
+    NEW met1 ( 22310 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) 
+( _141_ B ) ( _080_ B ) 
+  + ROUTED met1 ( 25070 39610 ) ( 29210 39610 )
+    NEW met1 ( 29210 39610 ) ( 29210 39950 )
+    NEW met1 ( 29210 39950 ) ( 35190 39950 )
+    NEW met2 ( 35190 39950 ) ( 35190 41820 )
+    NEW met2 ( 35190 41820 ) ( 35650 41820 )
+    NEW met1 ( 17250 25670 ) ( 21390 25670 )
+    NEW met2 ( 21390 25670 ) ( 21390 33660 )
+    NEW met2 ( 21390 33660 ) ( 21850 33660 )
+    NEW met2 ( 21850 33660 ) ( 21850 38930 )
+    NEW met1 ( 21850 38930 ) ( 25070 38930 )
+    NEW met2 ( 25070 38930 ) ( 25070 39610 )
+    NEW met1 ( 21390 17850 ) ( 28290 17850 )
+    NEW met2 ( 21390 17850 ) ( 21390 25670 )
+    NEW met1 ( 26450 15470 ) ( 35650 15470 )
+    NEW met2 ( 26450 15470 ) ( 26450 17850 )
+    NEW met1 ( 35650 50490 ) ( 35650 50830 )
+    NEW met1 ( 35650 50830 ) ( 42090 50830 )
+    NEW met1 ( 42090 50490 ) ( 42090 50830 )
+    NEW met2 ( 35650 41820 ) ( 35650 50490 )
+    NEW li1 ( 25070 39610 ) L1M1_PR_MR
+    NEW met1 ( 35190 39950 ) M1M2_PR
+    NEW li1 ( 17250 25670 ) L1M1_PR_MR
+    NEW met1 ( 21390 25670 ) M1M2_PR
+    NEW met1 ( 21850 38930 ) M1M2_PR
+    NEW met1 ( 25070 38930 ) M1M2_PR
+    NEW met1 ( 25070 39610 ) M1M2_PR
+    NEW li1 ( 28290 17850 ) L1M1_PR_MR
+    NEW met1 ( 21390 17850 ) M1M2_PR
+    NEW li1 ( 35650 15470 ) L1M1_PR_MR
+    NEW met1 ( 26450 15470 ) M1M2_PR
+    NEW met1 ( 26450 17850 ) M1M2_PR
+    NEW li1 ( 35650 50490 ) L1M1_PR_MR
+    NEW li1 ( 42090 50490 ) L1M1_PR_MR
+    NEW met1 ( 35650 50490 ) M1M2_PR
+    NEW met1 ( 25070 39610 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 26450 17850 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 35650 50490 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) 
+( _129_ A2 ) ( _080_ A ) 
+  + ROUTED met1 ( 23230 25670 ) ( 23690 25670 )
+    NEW met2 ( 23690 25670 ) ( 23690 28220 )
+    NEW met3 ( 23690 28220 ) ( 26220 28220 )
+    NEW met4 ( 26220 28220 ) ( 26220 45900 )
+    NEW met3 ( 26220 45900 ) ( 36570 45900 )
+    NEW met1 ( 16330 25670 ) ( 16330 26010 )
+    NEW met1 ( 16330 26010 ) ( 23230 26010 )
+    NEW met1 ( 23230 25670 ) ( 23230 26010 )
+    NEW met2 ( 9890 26010 ) ( 9890 34170 )
+    NEW met1 ( 9890 26010 ) ( 16330 26010 )
+    NEW met2 ( 36570 50490 ) ( 36570 61370 )
+    NEW met1 ( 36570 50490 ) ( 40710 50490 )
+    NEW met2 ( 36570 45900 ) ( 36570 50490 )
+    NEW li1 ( 23230 25670 ) L1M1_PR_MR
+    NEW met1 ( 23690 25670 ) M1M2_PR
+    NEW met2 ( 23690 28220 ) via2_FR
+    NEW met3 ( 26220 28220 ) M3M4_PR_M
+    NEW met3 ( 26220 45900 ) M3M4_PR_M
+    NEW met2 ( 36570 45900 ) via2_FR
+    NEW li1 ( 16330 25670 ) L1M1_PR_MR
+    NEW li1 ( 9890 34170 ) L1M1_PR_MR
+    NEW met1 ( 9890 34170 ) M1M2_PR
+    NEW met1 ( 9890 26010 ) M1M2_PR
+    NEW li1 ( 36570 50490 ) L1M1_PR_MR
+    NEW met1 ( 36570 50490 ) M1M2_PR
+    NEW li1 ( 36570 61370 ) L1M1_PR_MR
+    NEW met1 ( 36570 61370 ) M1M2_PR
+    NEW li1 ( 40710 50490 ) L1M1_PR_MR
+    NEW met1 ( 9890 34170 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 36570 50490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 36570 61370 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) 
+  + ROUTED met1 ( 22770 28050 ) ( 29210 28050 )
+    NEW met2 ( 29210 23290 ) ( 29210 28050 )
+    NEW met1 ( 29210 23290 ) ( 31050 23290 )
+    NEW met1 ( 20470 45390 ) ( 20930 45390 )
+    NEW met2 ( 20930 28050 ) ( 20930 45390 )
+    NEW met1 ( 20930 28050 ) ( 22770 28050 )
+    NEW met1 ( 20930 49810 ) ( 26910 49810 )
+    NEW met1 ( 26910 49810 ) ( 26910 50150 )
+    NEW met1 ( 26910 50150 ) ( 31050 50150 )
+    NEW met1 ( 31050 49810 ) ( 31050 50150 )
+    NEW met1 ( 31050 49810 ) ( 34270 49810 )
+    NEW met1 ( 34270 49810 ) ( 34270 50150 )
+    NEW met2 ( 20930 45390 ) ( 20930 49810 )
+    NEW li1 ( 22770 28050 ) L1M1_PR_MR
+    NEW met1 ( 29210 28050 ) M1M2_PR
+    NEW met1 ( 29210 23290 ) M1M2_PR
+    NEW li1 ( 31050 23290 ) L1M1_PR_MR
+    NEW li1 ( 20470 45390 ) L1M1_PR_MR
+    NEW met1 ( 20930 45390 ) M1M2_PR
+    NEW met1 ( 20930 28050 ) M1M2_PR
+    NEW met1 ( 20930 49810 ) M1M2_PR
+    NEW li1 ( 34270 50150 ) L1M1_PR_MR
++ USE SIGNAL ;
+- hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) 
+( _079_ A ) 
+  + ROUTED met1 ( 21390 59330 ) ( 37490 59330 )
+    NEW met2 ( 37490 59330 ) ( 37490 61370 )
+    NEW met1 ( 26450 22610 ) ( 29670 22610 )
+    NEW met2 ( 29670 17850 ) ( 29670 22610 )
+    NEW met1 ( 29670 17850 ) ( 34270 17850 )
+    NEW met1 ( 24150 39270 ) ( 27370 39270 )
+    NEW met2 ( 27370 33660 ) ( 27370 39270 )
+    NEW met2 ( 26910 33660 ) ( 27370 33660 )
+    NEW met2 ( 26910 22610 ) ( 26910 33660 )
+    NEW met2 ( 21390 39610 ) ( 21390 45390 )
+    NEW met1 ( 21390 39610 ) ( 24150 39610 )
+    NEW met1 ( 24150 39270 ) ( 24150 39610 )
+    NEW met2 ( 21390 45390 ) ( 21390 59330 )
+    NEW met1 ( 21390 59330 ) M1M2_PR
+    NEW met1 ( 37490 59330 ) M1M2_PR
+    NEW li1 ( 37490 61370 ) L1M1_PR_MR
+    NEW met1 ( 37490 61370 ) M1M2_PR
+    NEW li1 ( 26450 22610 ) L1M1_PR_MR
+    NEW met1 ( 29670 22610 ) M1M2_PR
+    NEW met1 ( 29670 17850 ) M1M2_PR
+    NEW li1 ( 34270 17850 ) L1M1_PR_MR
+    NEW li1 ( 24150 39270 ) L1M1_PR_MR
+    NEW met1 ( 27370 39270 ) M1M2_PR
+    NEW met1 ( 26910 22610 ) M1M2_PR
+    NEW li1 ( 21390 45390 ) L1M1_PR_MR
+    NEW met1 ( 21390 45390 ) M1M2_PR
+    NEW met1 ( 21390 39610 ) M1M2_PR
+    NEW met1 ( 37490 61370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 26910 22610 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 21390 45390 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) 
+  + ROUTED met1 ( 27830 55930 ) ( 28290 55930 )
+    NEW met2 ( 27830 49980 ) ( 27830 55930 )
+    NEW met2 ( 27830 49980 ) ( 28290 49980 )
+    NEW met2 ( 28290 47260 ) ( 28290 47430 )
+    NEW met3 ( 28290 47260 ) ( 29900 47260 )
+    NEW met4 ( 29900 35020 ) ( 29900 47260 )
+    NEW met3 ( 29900 35020 ) ( 33350 35020 )
+    NEW met2 ( 33350 34170 ) ( 33350 35020 )
+    NEW met1 ( 9890 45390 ) ( 10350 45390 )
+    NEW met2 ( 10350 45390 ) ( 10350 47260 )
+    NEW met3 ( 10350 47260 ) ( 28290 47260 )
+    NEW met2 ( 28290 47430 ) ( 28290 49980 )
+    NEW li1 ( 28290 55930 ) L1M1_PR_MR
+    NEW met1 ( 27830 55930 ) M1M2_PR
+    NEW li1 ( 28290 47430 ) L1M1_PR_MR
+    NEW met1 ( 28290 47430 ) M1M2_PR
+    NEW met2 ( 28290 47260 ) via2_FR
+    NEW met3 ( 29900 47260 ) M3M4_PR_M
+    NEW met3 ( 29900 35020 ) M3M4_PR_M
+    NEW met2 ( 33350 35020 ) via2_FR
+    NEW li1 ( 33350 34170 ) L1M1_PR_MR
+    NEW met1 ( 33350 34170 ) M1M2_PR
+    NEW li1 ( 9890 45390 ) L1M1_PR_MR
+    NEW met1 ( 10350 45390 ) M1M2_PR
+    NEW met2 ( 10350 47260 ) via2_FR
+    NEW met1 ( 28290 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 33350 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) 
+( _120_ A ) 
+  + ROUTED met1 ( 18630 63070 ) ( 25070 63070 )
+    NEW met1 ( 25070 63070 ) ( 25070 63410 )
+    NEW met1 ( 25070 63410 ) ( 34730 63410 )
+    NEW met1 ( 14490 39610 ) ( 14490 39950 )
+    NEW met1 ( 14490 39950 ) ( 18630 39950 )
+    NEW met2 ( 18630 39950 ) ( 18630 52870 )
+    NEW met2 ( 16330 36550 ) ( 16330 39950 )
+    NEW met1 ( 14490 34170 ) ( 14490 34510 )
+    NEW met1 ( 14490 34510 ) ( 16330 34510 )
+    NEW met2 ( 16330 34510 ) ( 16330 36550 )
+    NEW met2 ( 18630 52870 ) ( 18630 63070 )
+    NEW met1 ( 18630 63070 ) M1M2_PR
+    NEW li1 ( 34730 63410 ) L1M1_PR_MR
+    NEW li1 ( 18630 52870 ) L1M1_PR_MR
+    NEW met1 ( 18630 52870 ) M1M2_PR
+    NEW li1 ( 14490 39610 ) L1M1_PR_MR
+    NEW met1 ( 18630 39950 ) M1M2_PR
+    NEW li1 ( 16330 36550 ) L1M1_PR_MR
+    NEW met1 ( 16330 36550 ) M1M2_PR
+    NEW met1 ( 16330 39950 ) M1M2_PR
+    NEW li1 ( 14490 34170 ) L1M1_PR_MR
+    NEW met1 ( 16330 34510 ) M1M2_PR
+    NEW met1 ( 18630 52870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 39950 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) 
+  + ROUTED met1 ( 47610 58310 ) ( 48070 58310 )
+    NEW met1 ( 47610 58310 ) ( 47610 58990 )
+    NEW met1 ( 43010 58990 ) ( 47610 58990 )
+    NEW met1 ( 43010 58310 ) ( 43010 58990 )
+    NEW met2 ( 48990 28730 ) ( 48990 30260 )
+    NEW met2 ( 48990 30260 ) ( 49450 30260 )
+    NEW met2 ( 49450 30260 ) ( 49450 41820 )
+    NEW met2 ( 49450 41820 ) ( 50370 41820 )
+    NEW met2 ( 50370 41820 ) ( 50370 58990 )
+    NEW met1 ( 47610 58990 ) ( 50370 58990 )
+    NEW met1 ( 48990 26690 ) ( 51290 26690 )
+    NEW met2 ( 48990 26690 ) ( 48990 28730 )
+    NEW li1 ( 48070 58310 ) L1M1_PR_MR
+    NEW li1 ( 43010 58310 ) L1M1_PR_MR
+    NEW li1 ( 48990 28730 ) L1M1_PR_MR
+    NEW met1 ( 48990 28730 ) M1M2_PR
+    NEW met1 ( 50370 58990 ) M1M2_PR
+    NEW li1 ( 51290 26690 ) L1M1_PR_MR
+    NEW met1 ( 48990 26690 ) M1M2_PR
+    NEW met1 ( 48990 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) 
+( _106_ A1 ) ( _105_ B ) 
+  + ROUTED met2 ( 37030 31620 ) ( 37030 36550 )
+    NEW met2 ( 37030 31620 ) ( 37490 31620 )
+    NEW met2 ( 37490 17850 ) ( 37490 31620 )
+    NEW met1 ( 37490 17850 ) ( 40250 17850 )
+    NEW met2 ( 54510 30770 ) ( 54510 34170 )
+    NEW met1 ( 37490 30770 ) ( 54510 30770 )
+    NEW met3 ( 40710 42500 ) ( 40940 42500 )
+    NEW met3 ( 40940 38420 ) ( 40940 42500 )
+    NEW met3 ( 40940 38420 ) ( 41170 38420 )
+    NEW met2 ( 41170 37230 ) ( 41170 38420 )
+    NEW met1 ( 38410 37230 ) ( 41170 37230 )
+    NEW met1 ( 38410 36890 ) ( 38410 37230 )
+    NEW met1 ( 37030 36890 ) ( 38410 36890 )
+    NEW met1 ( 37030 36550 ) ( 37030 36890 )
+    NEW met2 ( 20470 47430 ) ( 20470 47940 )
+    NEW met3 ( 20470 47940 ) ( 36110 47940 )
+    NEW met1 ( 15410 38930 ) ( 20010 38930 )
+    NEW met2 ( 20010 38930 ) ( 20010 43860 )
+    NEW met2 ( 20010 43860 ) ( 20470 43860 )
+    NEW met2 ( 20470 43860 ) ( 20470 47430 )
+    NEW met1 ( 36110 49810 ) ( 40710 49810 )
+    NEW met2 ( 36110 47940 ) ( 36110 49810 )
+    NEW met2 ( 40710 42500 ) ( 40710 52870 )
+    NEW li1 ( 37030 36550 ) L1M1_PR_MR
+    NEW met1 ( 37030 36550 ) M1M2_PR
+    NEW met1 ( 37490 17850 ) M1M2_PR
+    NEW li1 ( 40250 17850 ) L1M1_PR_MR
+    NEW li1 ( 54510 34170 ) L1M1_PR_MR
+    NEW met1 ( 54510 34170 ) M1M2_PR
+    NEW met1 ( 54510 30770 ) M1M2_PR
+    NEW met1 ( 37490 30770 ) M1M2_PR
+    NEW met2 ( 40710 42500 ) via2_FR
+    NEW met2 ( 41170 38420 ) via2_FR
+    NEW met1 ( 41170 37230 ) M1M2_PR
+    NEW li1 ( 20470 47430 ) L1M1_PR_MR
+    NEW met1 ( 20470 47430 ) M1M2_PR
+    NEW met2 ( 20470 47940 ) via2_FR
+    NEW met2 ( 36110 47940 ) via2_FR
+    NEW li1 ( 15410 38930 ) L1M1_PR_MR
+    NEW met1 ( 20010 38930 ) M1M2_PR
+    NEW li1 ( 40710 52870 ) L1M1_PR_MR
+    NEW met1 ( 40710 52870 ) M1M2_PR
+    NEW met1 ( 36110 49810 ) M1M2_PR
+    NEW met1 ( 40710 49810 ) M1M2_PR
+    NEW met1 ( 37030 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 54510 34170 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 37490 30770 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 20470 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 40710 52870 ) RECT ( 0 -70 355 70 )
+    NEW met2 ( 40710 49810 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) 
+( _106_ A2 ) ( _099_ A2 ) 
+  + ROUTED met1 ( 49450 28390 ) ( 49450 28730 )
+    NEW met1 ( 40710 28390 ) ( 49450 28390 )
+    NEW met1 ( 40710 28050 ) ( 40710 28390 )
+    NEW met2 ( 40710 17850 ) ( 40710 28050 )
+    NEW met1 ( 40710 17850 ) ( 41170 17850 )
+    NEW met1 ( 38870 36210 ) ( 38870 36550 )
+    NEW met1 ( 38870 36210 ) ( 39330 36210 )
+    NEW met2 ( 39330 28390 ) ( 39330 36210 )
+    NEW met1 ( 39330 28390 ) ( 40710 28390 )
+    NEW met1 ( 39790 52870 ) ( 40250 52870 )
+    NEW met2 ( 40250 50150 ) ( 40250 52870 )
+    NEW met1 ( 39330 50150 ) ( 40250 50150 )
+    NEW met2 ( 39330 39780 ) ( 39330 50150 )
+    NEW met3 ( 39100 39780 ) ( 39330 39780 )
+    NEW met3 ( 39100 36380 ) ( 39100 39780 )
+    NEW met3 ( 39100 36380 ) ( 39330 36380 )
+    NEW met2 ( 39330 36210 ) ( 39330 36380 )
+    NEW met2 ( 40250 52870 ) ( 40250 58310 )
+    NEW met1 ( 17250 35870 ) ( 20470 35870 )
+    NEW met2 ( 20470 35870 ) ( 20470 39100 )
+    NEW met3 ( 20470 39100 ) ( 39100 39100 )
+    NEW li1 ( 49450 28730 ) L1M1_PR_MR
+    NEW met1 ( 40710 28050 ) M1M2_PR
+    NEW met1 ( 40710 17850 ) M1M2_PR
+    NEW li1 ( 41170 17850 ) L1M1_PR_MR
+    NEW li1 ( 38870 36550 ) L1M1_PR_MR
+    NEW met1 ( 39330 36210 ) M1M2_PR
+    NEW met1 ( 39330 28390 ) M1M2_PR
+    NEW li1 ( 39790 52870 ) L1M1_PR_MR
+    NEW met1 ( 40250 52870 ) M1M2_PR
+    NEW met1 ( 40250 50150 ) M1M2_PR
+    NEW met1 ( 39330 50150 ) M1M2_PR
+    NEW met2 ( 39330 39780 ) via2_FR
+    NEW met2 ( 39330 36380 ) via2_FR
+    NEW li1 ( 40250 58310 ) L1M1_PR_MR
+    NEW met1 ( 40250 58310 ) M1M2_PR
+    NEW li1 ( 17250 35870 ) L1M1_PR_MR
+    NEW met1 ( 20470 35870 ) M1M2_PR
+    NEW met2 ( 20470 39100 ) via2_FR
+    NEW met1 ( 40250 58310 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) 
+  + ROUTED met1 ( 22310 31110 ) ( 22310 31450 )
+    NEW met1 ( 22310 31450 ) ( 38870 31450 )
+    NEW met2 ( 38870 23290 ) ( 38870 31450 )
+    NEW met1 ( 38870 23290 ) ( 48530 23290 )
+    NEW met1 ( 15410 34850 ) ( 16790 34850 )
+    NEW met1 ( 16790 34510 ) ( 16790 34850 )
+    NEW met1 ( 16790 34510 ) ( 20470 34510 )
+    NEW met2 ( 20470 31450 ) ( 20470 34510 )
+    NEW met1 ( 20470 31450 ) ( 22310 31450 )
+    NEW met1 ( 38410 52870 ) ( 39330 52870 )
+    NEW met2 ( 39330 52700 ) ( 39330 52870 )
+    NEW met2 ( 39330 52700 ) ( 39790 52700 )
+    NEW met2 ( 39790 31450 ) ( 39790 52700 )
+    NEW met1 ( 38870 31450 ) ( 39790 31450 )
+    NEW li1 ( 22310 31110 ) L1M1_PR_MR
+    NEW met1 ( 38870 31450 ) M1M2_PR
+    NEW met1 ( 38870 23290 ) M1M2_PR
+    NEW li1 ( 48530 23290 ) L1M1_PR_MR
+    NEW li1 ( 15410 34850 ) L1M1_PR_MR
+    NEW met1 ( 20470 34510 ) M1M2_PR
+    NEW met1 ( 20470 31450 ) M1M2_PR
+    NEW li1 ( 38410 52870 ) L1M1_PR_MR
+    NEW met1 ( 39330 52870 ) M1M2_PR
+    NEW met1 ( 39790 31450 ) M1M2_PR
++ USE SIGNAL ;
+- vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) 
+( _099_ A1 ) 
+  + ROUTED met1 ( 19090 47430 ) ( 19550 47430 )
+    NEW met1 ( 43470 25670 ) ( 43930 25670 )
+    NEW met2 ( 43470 25670 ) ( 43470 26180 )
+    NEW met3 ( 40940 26180 ) ( 43470 26180 )
+    NEW met4 ( 40940 26180 ) ( 40940 43180 )
+    NEW met3 ( 40940 43180 ) ( 41630 43180 )
+    NEW met2 ( 47150 23630 ) ( 47150 25330 )
+    NEW met1 ( 43930 25330 ) ( 47150 25330 )
+    NEW met1 ( 43930 25330 ) ( 43930 25670 )
+    NEW met1 ( 47150 23630 ) ( 49450 23630 )
+    NEW met1 ( 41170 58310 ) ( 41630 58310 )
+    NEW met1 ( 21850 52870 ) ( 28750 52870 )
+    NEW met1 ( 28750 52530 ) ( 28750 52870 )
+    NEW met1 ( 28750 52530 ) ( 30130 52530 )
+    NEW met1 ( 30130 52190 ) ( 30130 52530 )
+    NEW met1 ( 30130 52190 ) ( 41630 52190 )
+    NEW met3 ( 19090 52700 ) ( 23690 52700 )
+    NEW met2 ( 23690 52700 ) ( 23690 52870 )
+    NEW met2 ( 19090 47430 ) ( 19090 52700 )
+    NEW met2 ( 41630 43180 ) ( 41630 58310 )
+    NEW li1 ( 49450 23630 ) L1M1_PR_MR
+    NEW met1 ( 19090 47430 ) M1M2_PR
+    NEW li1 ( 19550 47430 ) L1M1_PR_MR
+    NEW li1 ( 43930 25670 ) L1M1_PR_MR
+    NEW met1 ( 43470 25670 ) M1M2_PR
+    NEW met2 ( 43470 26180 ) via2_FR
+    NEW met3 ( 40940 26180 ) M3M4_PR_M
+    NEW met3 ( 40940 43180 ) M3M4_PR_M
+    NEW met2 ( 41630 43180 ) via2_FR
+    NEW met1 ( 47150 23630 ) M1M2_PR
+    NEW met1 ( 47150 25330 ) M1M2_PR
+    NEW met1 ( 41630 58310 ) M1M2_PR
+    NEW li1 ( 41170 58310 ) L1M1_PR_MR
+    NEW li1 ( 21850 52870 ) L1M1_PR_MR
+    NEW met1 ( 41630 52190 ) M1M2_PR
+    NEW met2 ( 19090 52700 ) via2_FR
+    NEW met2 ( 23690 52700 ) via2_FR
+    NEW met1 ( 23690 52870 ) M1M2_PR
+    NEW met2 ( 41630 52190 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 23690 52870 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) 
+  + ROUTED met1 ( 40250 42330 ) ( 45310 42330 )
+    NEW met2 ( 40250 14790 ) ( 40250 42330 )
+    NEW met2 ( 49450 42670 ) ( 49450 50490 )
+    NEW met1 ( 45310 42670 ) ( 49450 42670 )
+    NEW met1 ( 45310 42330 ) ( 45310 42670 )
+    NEW met1 ( 49450 58310 ) ( 49910 58310 )
+    NEW met2 ( 49910 56610 ) ( 49910 58310 )
+    NEW met1 ( 48990 56610 ) ( 49910 56610 )
+    NEW met2 ( 48990 52020 ) ( 48990 56610 )
+    NEW met2 ( 48990 52020 ) ( 49450 52020 )
+    NEW met2 ( 49450 50490 ) ( 49450 52020 )
+    NEW li1 ( 45310 42330 ) L1M1_PR_MR
+    NEW met1 ( 40250 42330 ) M1M2_PR
+    NEW li1 ( 40250 14790 ) L1M1_PR_MR
+    NEW met1 ( 40250 14790 ) M1M2_PR
+    NEW li1 ( 49450 50490 ) L1M1_PR_MR
+    NEW met1 ( 49450 50490 ) M1M2_PR
+    NEW met1 ( 49450 42670 ) M1M2_PR
+    NEW li1 ( 49450 58310 ) L1M1_PR_MR
+    NEW met1 ( 49910 58310 ) M1M2_PR
+    NEW met1 ( 49910 56610 ) M1M2_PR
+    NEW met1 ( 48990 56610 ) M1M2_PR
+    NEW met1 ( 40250 14790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 49450 50490 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/gds/morphle_ycell.gds b/gds/morphle_ycell.gds
new file mode 100644
index 0000000..bb34a68
--- /dev/null
+++ b/gds/morphle_ycell.gds
Binary files differ
diff --git a/lef/morphle_ycell.lef b/lef/morphle_ycell.lef
new file mode 100644
index 0000000..58dc583
--- /dev/null
+++ b/lef/morphle_ycell.lef
@@ -0,0 +1,253 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO ycell
+  CLASS BLOCK ;
+  FOREIGN ycell ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 67.490 BY 78.210 ;
+  PIN cbitin
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 12.510 74.210 12.790 78.210 ;
+    END
+  END cbitin
+  PIN cbitout
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 6.530 0.000 6.810 4.000 ;
+    END
+  END cbitout
+  PIN confclk
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 7.450 74.210 7.730 78.210 ;
+    END
+  END confclk
+  PIN dempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 19.870 0.000 20.150 4.000 ;
+    END
+  END dempty
+  PIN din[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.210 74.210 33.490 78.210 ;
+    END
+  END din[0]
+  PIN din[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 54.440 67.490 55.040 ;
+    END
+  END din[1]
+  PIN dout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 23.160 67.490 23.760 ;
+    END
+  END dout[0]
+  PIN dout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 48.850 74.210 49.130 78.210 ;
+    END
+  END dout[1]
+  PIN hempty
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 23.090 74.210 23.370 78.210 ;
+    END
+  END hempty
+  PIN lempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 12.960 4.000 13.560 ;
+    END
+  END lempty
+  PIN lin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 38.800 4.000 39.400 ;
+    END
+  END lin[0]
+  PIN lin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 64.640 4.000 65.240 ;
+    END
+  END lin[1]
+  PIN lout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 38.730 74.210 39.010 78.210 ;
+    END
+  END lout[0]
+  PIN lout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 70.080 67.490 70.680 ;
+    END
+  END lout[1]
+  PIN rempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 7.520 67.490 8.120 ;
+    END
+  END rempty
+  PIN reset
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2.390 74.210 2.670 78.210 ;
+    END
+  END reset
+  PIN rin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 38.800 67.490 39.400 ;
+    END
+  END rin[0]
+  PIN rin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 54.370 74.210 54.650 78.210 ;
+    END
+  END rin[1]
+  PIN rout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.790 74.210 44.070 78.210 ;
+    END
+  END rout[0]
+  PIN rout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 59.430 74.210 59.710 78.210 ;
+    END
+  END rout[1]
+  PIN uempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.570 74.210 17.850 78.210 ;
+    END
+  END uempty
+  PIN uin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.210 0.000 33.490 4.000 ;
+    END
+  END uin[0]
+  PIN uin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.490 74.210 64.770 78.210 ;
+    END
+  END uin[1]
+  PIN uout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 47.010 0.000 47.290 4.000 ;
+    END
+  END uout[0]
+  PIN uout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 60.350 0.000 60.630 4.000 ;
+    END
+  END uout[1]
+  PIN vempty
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 28.150 74.210 28.430 78.210 ;
+    END
+  END vempty
+  PIN VPWR
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 14.075 10.640 15.675 65.520 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 23.425 10.640 25.025 65.520 ;
+    END
+  END VGND
+  OBS
+      LAYER li1 ;
+        RECT 5.520 10.795 61.640 65.365 ;
+      LAYER met1 ;
+        RECT 2.370 10.640 64.790 73.740 ;
+      LAYER met2 ;
+        RECT 2.950 73.930 7.170 74.210 ;
+        RECT 8.010 73.930 12.230 74.210 ;
+        RECT 13.070 73.930 17.290 74.210 ;
+        RECT 18.130 73.930 22.810 74.210 ;
+        RECT 23.650 73.930 27.870 74.210 ;
+        RECT 28.710 73.930 32.930 74.210 ;
+        RECT 33.770 73.930 38.450 74.210 ;
+        RECT 39.290 73.930 43.510 74.210 ;
+        RECT 44.350 73.930 48.570 74.210 ;
+        RECT 49.410 73.930 54.090 74.210 ;
+        RECT 54.930 73.930 59.150 74.210 ;
+        RECT 59.990 73.930 64.210 74.210 ;
+        RECT 2.400 4.280 64.760 73.930 ;
+        RECT 2.400 4.000 6.250 4.280 ;
+        RECT 7.090 4.000 19.590 4.280 ;
+        RECT 20.430 4.000 32.930 4.280 ;
+        RECT 33.770 4.000 46.730 4.280 ;
+        RECT 47.570 4.000 60.070 4.280 ;
+        RECT 60.910 4.000 64.760 4.280 ;
+      LAYER met3 ;
+        RECT 4.000 69.680 63.090 70.545 ;
+        RECT 4.000 65.640 63.490 69.680 ;
+        RECT 4.400 64.240 63.490 65.640 ;
+        RECT 4.000 55.440 63.490 64.240 ;
+        RECT 4.000 54.040 63.090 55.440 ;
+        RECT 4.000 39.800 63.490 54.040 ;
+        RECT 4.400 38.400 63.090 39.800 ;
+        RECT 4.000 24.160 63.490 38.400 ;
+        RECT 4.000 22.760 63.090 24.160 ;
+        RECT 4.000 13.960 63.490 22.760 ;
+        RECT 4.400 12.560 63.490 13.960 ;
+        RECT 4.000 8.520 63.490 12.560 ;
+        RECT 4.000 7.655 63.090 8.520 ;
+      LAYER met4 ;
+        RECT 16.075 10.640 23.025 65.520 ;
+        RECT 25.425 10.640 53.085 65.520 ;
+  END
+END ycell
+END LIBRARY
+
diff --git a/mag/morphle_ycell.mag b/mag/morphle_ycell.mag
new file mode 100644
index 0000000..42eeb11
--- /dev/null
+++ b/mag/morphle_ycell.mag
@@ -0,0 +1,11745 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606941354
+<< checkpaint >>
+rect -1260 -1260 14758 16902
+<< viali >>
+rect 1593 12937 1627 12971
+rect 7481 12801 7515 12835
+rect 8033 12801 8067 12835
+rect 10517 12801 10551 12835
+rect 1409 12733 1443 12767
+rect 3065 12733 3099 12767
+rect 4077 12733 4111 12767
+rect 4353 12733 4387 12767
+rect 7573 12733 7607 12767
+rect 7941 12733 7975 12767
+rect 9781 12733 9815 12767
+rect 10425 12733 10459 12767
+rect 10747 12733 10781 12767
+rect 10885 12733 10919 12767
+rect 3157 12665 3191 12699
+rect 6929 12665 6963 12699
+rect 5457 12597 5491 12631
+rect 5825 12325 5859 12359
+rect 6653 12325 6687 12359
+rect 3065 12257 3099 12291
+rect 4169 12257 4203 12291
+rect 7113 12257 7147 12291
+rect 7297 12257 7331 12291
+rect 7481 12257 7515 12291
+rect 8125 12257 8159 12291
+rect 9689 12257 9723 12291
+rect 9781 12257 9815 12291
+rect 4445 12189 4479 12223
+rect 7757 12189 7791 12223
+rect 2881 12053 2915 12087
+rect 3801 11713 3835 11747
+rect 2881 11645 2915 11679
+rect 4077 11645 4111 11679
+rect 7849 11645 7883 11679
+rect 8033 11645 8067 11679
+rect 8217 11645 8251 11679
+rect 8585 11645 8619 11679
+rect 8769 11645 8803 11679
+rect 9597 11645 9631 11679
+rect 9873 11645 9907 11679
+rect 10149 11645 10183 11679
+rect 10333 11645 10367 11679
+rect 2973 11577 3007 11611
+rect 7297 11577 7331 11611
+rect 5181 11509 5215 11543
+rect 9689 11509 9723 11543
+rect 4077 11237 4111 11271
+rect 4261 11237 4295 11271
+rect 2421 11169 2455 11203
+rect 2513 11169 2547 11203
+rect 2651 11169 2685 11203
+rect 4537 11169 4571 11203
+rect 5365 11169 5399 11203
+rect 5641 11169 5675 11203
+rect 5917 11169 5951 11203
+rect 6009 11169 6043 11203
+rect 7665 11169 7699 11203
+rect 8033 11169 8067 11203
+rect 8217 11169 8251 11203
+rect 10333 11169 10367 11203
+rect 10701 11169 10735 11203
+rect 10793 11169 10827 11203
+rect 3157 11101 3191 11135
+rect 6193 11101 6227 11135
+rect 7573 11101 7607 11135
+rect 10425 11101 10459 11135
+rect 7113 11033 7147 11067
+rect 9965 11033 9999 11067
+rect 4261 10965 4295 10999
+rect 2513 10761 2547 10795
+rect 2237 10557 2271 10591
+rect 2422 10557 2456 10591
+rect 3709 10557 3743 10591
+rect 4169 10557 4203 10591
+rect 4353 10557 4387 10591
+rect 5825 10557 5859 10591
+rect 7665 10557 7699 10591
+rect 7941 10557 7975 10591
+rect 8125 10557 8159 10591
+rect 9597 10557 9631 10591
+rect 10609 10557 10643 10591
+rect 7113 10489 7147 10523
+rect 8953 10489 8987 10523
+rect 10977 10489 11011 10523
+rect 5457 10421 5491 10455
+rect 8217 10217 8251 10251
+rect 2697 10149 2731 10183
+rect 2881 10149 2915 10183
+rect 5181 10149 5215 10183
+rect 1409 10081 1443 10115
+rect 4813 10081 4847 10115
+rect 6285 10081 6319 10115
+rect 7113 10081 7147 10115
+rect 7297 10081 7331 10115
+rect 8125 10081 8159 10115
+rect 8401 10081 8435 10115
+rect 9873 10081 9907 10115
+rect 1501 10013 1535 10047
+rect 6837 10013 6871 10047
+rect 8585 10013 8619 10047
+rect 9689 10013 9723 10047
+rect 1501 9877 1535 9911
+rect 1777 9877 1811 9911
+rect 2881 9877 2915 9911
+rect 3065 9877 3099 9911
+rect 1777 9537 1811 9571
+rect 2605 9537 2639 9571
+rect 1501 9469 1535 9503
+rect 1685 9469 1719 9503
+rect 2789 9469 2823 9503
+rect 3893 9469 3927 9503
+rect 4077 9469 4111 9503
+rect 5641 9469 5675 9503
+rect 6929 9469 6963 9503
+rect 8953 9469 8987 9503
+rect 10149 9469 10183 9503
+rect 4261 9401 4295 9435
+rect 10701 9401 10735 9435
+rect 2973 9333 3007 9367
+rect 5641 9333 5675 9367
+rect 7113 9333 7147 9367
+rect 8861 9333 8895 9367
+rect 3065 9129 3099 9163
+rect 1961 9061 1995 9095
+rect 4077 9061 4111 9095
+rect 4261 9061 4295 9095
+rect 4445 9061 4479 9095
+rect 5825 9061 5859 9095
+rect 7297 9061 7331 9095
+rect 8217 9061 8251 9095
+rect 1685 8993 1719 9027
+rect 1869 8993 1903 9027
+rect 2881 8993 2915 9027
+rect 3065 8993 3099 9027
+rect 5273 8993 5307 9027
+rect 5457 8993 5491 9027
+rect 6745 8993 6779 9027
+rect 8401 8993 8435 9027
+rect 8769 8993 8803 9027
+rect 9689 8993 9723 9027
+rect 9873 8993 9907 9027
+rect 10333 8993 10367 9027
+rect 9781 8789 9815 8823
+rect 4353 8585 4387 8619
+rect 4537 8585 4571 8619
+rect 5641 8585 5675 8619
+rect 7021 8517 7055 8551
+rect 4261 8449 4295 8483
+rect 9045 8449 9079 8483
+rect 10517 8449 10551 8483
+rect 1869 8381 1903 8415
+rect 1961 8381 1995 8415
+rect 2973 8381 3007 8415
+rect 3157 8381 3191 8415
+rect 4169 8381 4203 8415
+rect 5917 8381 5951 8415
+rect 6929 8381 6963 8415
+rect 7205 8381 7239 8415
+rect 8493 8381 8527 8415
+rect 8953 8381 8987 8415
+rect 10057 8381 10091 8415
+rect 10425 8381 10459 8415
+rect 2145 8313 2179 8347
+rect 3341 8313 3375 8347
+rect 5457 8313 5491 8347
+rect 7665 8313 7699 8347
+rect 5641 8245 5675 8279
+rect 10149 8245 10183 8279
+rect 5181 8041 5215 8075
+rect 8125 7973 8159 8007
+rect 9689 7973 9723 8007
+rect 10241 7973 10275 8007
+rect 1685 7905 1719 7939
+rect 1869 7905 1903 7939
+rect 2881 7905 2915 7939
+rect 3065 7905 3099 7939
+rect 4997 7905 5031 7939
+rect 6285 7905 6319 7939
+rect 7573 7905 7607 7939
+rect 7665 7905 7699 7939
+rect 9873 7905 9907 7939
+rect 11069 7905 11103 7939
+rect 1961 7837 1995 7871
+rect 4813 7837 4847 7871
+rect 6101 7837 6135 7871
+rect 3065 7769 3099 7803
+rect 6469 7769 6503 7803
+rect 7389 7701 7423 7735
+rect 11253 7701 11287 7735
+rect 9229 7497 9263 7531
+rect 5917 7429 5951 7463
+rect 4721 7361 4755 7395
+rect 7849 7361 7883 7395
+rect 10149 7361 10183 7395
+rect 1961 7293 1995 7327
+rect 2237 7293 2271 7327
+rect 3249 7293 3283 7327
+rect 3433 7293 3467 7327
+rect 4445 7293 4479 7327
+rect 4629 7293 4663 7327
+rect 5733 7293 5767 7327
+rect 7389 7293 7423 7327
+rect 7757 7293 7791 7327
+rect 8861 7293 8895 7327
+rect 9045 7293 9079 7327
+rect 10333 7293 10367 7327
+rect 2329 7225 2363 7259
+rect 5549 7225 5583 7259
+rect 8033 7225 8067 7259
+rect 3433 7157 3467 7191
+rect 10517 7157 10551 7191
+rect 3065 6953 3099 6987
+rect 10977 6953 11011 6987
+rect 4537 6885 4571 6919
+rect 5365 6885 5399 6919
+rect 6929 6885 6963 6919
+rect 1685 6817 1719 6851
+rect 1869 6817 1903 6851
+rect 1961 6817 1995 6851
+rect 2881 6817 2915 6851
+rect 3065 6817 3099 6851
+rect 4169 6817 4203 6851
+rect 4353 6817 4387 6851
+rect 5549 6817 5583 6851
+rect 6653 6817 6687 6851
+rect 6745 6817 6779 6851
+rect 7757 6817 7791 6851
+rect 7941 6817 7975 6851
+rect 9781 6817 9815 6851
+rect 9965 6817 9999 6851
+rect 10885 6817 10919 6851
+rect 11069 6817 11103 6851
+rect 8125 6681 8159 6715
+rect 5641 6613 5675 6647
+rect 7757 6613 7791 6647
+rect 9781 6613 9815 6647
+rect 3433 6409 3467 6443
+rect 5825 6409 5859 6443
+rect 7481 6409 7515 6443
+rect 9689 6409 9723 6443
+rect 4629 6341 4663 6375
+rect 8769 6341 8803 6375
+rect 10885 6341 10919 6375
+rect 2421 6273 2455 6307
+rect 1777 6205 1811 6239
+rect 3249 6205 3283 6239
+rect 4445 6205 4479 6239
+rect 4629 6205 4663 6239
+rect 5549 6205 5583 6239
+rect 7113 6205 7147 6239
+rect 7297 6205 7331 6239
+rect 8401 6205 8435 6239
+rect 8585 6205 8619 6239
+rect 9597 6205 9631 6239
+rect 9781 6205 9815 6239
+rect 10793 6205 10827 6239
+rect 10977 6205 11011 6239
+rect 5733 6137 5767 6171
+rect 9781 5865 9815 5899
+rect 5825 5797 5859 5831
+rect 7021 5797 7055 5831
+rect 8493 5797 8527 5831
+rect 2881 5729 2915 5763
+rect 2973 5729 3007 5763
+rect 4353 5729 4387 5763
+rect 4537 5729 4571 5763
+rect 5457 5729 5491 5763
+rect 5641 5729 5675 5763
+rect 6653 5729 6687 5763
+rect 6837 5729 6871 5763
+rect 8125 5729 8159 5763
+rect 8401 5729 8435 5763
+rect 9781 5729 9815 5763
+rect 9873 5729 9907 5763
+rect 10885 5729 10919 5763
+rect 11069 5729 11103 5763
+rect 3157 5661 3191 5695
+rect 4537 5593 4571 5627
+rect 10977 5593 11011 5627
+rect 7665 5321 7699 5355
+rect 10241 5321 10275 5355
+rect 4629 5253 4663 5287
+rect 9045 5253 9079 5287
+rect 5917 5185 5951 5219
+rect 3249 5117 3283 5151
+rect 3433 5117 3467 5151
+rect 4445 5117 4479 5151
+rect 4629 5117 4663 5151
+rect 5641 5117 5675 5151
+rect 5825 5117 5859 5151
+rect 7573 5117 7607 5151
+rect 7849 5117 7883 5151
+rect 8769 5117 8803 5151
+rect 8953 5117 8987 5151
+rect 10149 5117 10183 5151
+rect 3525 5049 3559 5083
+rect 9965 5049 9999 5083
+rect 6285 4777 6319 4811
+rect 7665 4777 7699 4811
+rect 9873 4709 9907 4743
+rect 10057 4709 10091 4743
+rect 5089 4641 5123 4675
+rect 5273 4641 5307 4675
+rect 6193 4641 6227 4675
+rect 6377 4641 6411 4675
+rect 7389 4641 7423 4675
+rect 7573 4641 7607 4675
+rect 9689 4641 9723 4675
+rect 5273 4505 5307 4539
+rect 5549 4233 5583 4267
+rect 5549 4029 5583 4063
+rect 5733 4029 5767 4063
+rect 7297 4029 7331 4063
+rect 7573 4029 7607 4063
+rect 8585 4029 8619 4063
+rect 8769 4029 8803 4063
+rect 8861 3961 8895 3995
+rect 7389 3893 7423 3927
+rect 6929 3689 6963 3723
+rect 8401 3621 8435 3655
+rect 5641 3553 5675 3587
+rect 5825 3553 5859 3587
+rect 6837 3553 6871 3587
+rect 7021 3553 7055 3587
+rect 8033 3553 8067 3587
+rect 8217 3553 8251 3587
+rect 5917 3417 5951 3451
+rect 7113 3077 7147 3111
+rect 8309 3077 8343 3111
+rect 6837 2941 6871 2975
+rect 7021 2941 7055 2975
+rect 8033 2941 8067 2975
+rect 8217 2941 8251 2975
+<< metal1 >>
+rect 4522 14696 4528 14748
+rect 4580 14736 4586 14748
+rect 4614 14736 4620 14748
+rect 4580 14708 4620 14736
+rect 4580 14696 4586 14708
+rect 4614 14696 4620 14708
+rect 4672 14696 4678 14748
+rect 1578 13132 1584 13184
+rect 1636 13172 1642 13184
+rect 7466 13172 7472 13184
+rect 1636 13144 7472 13172
+rect 1636 13132 1642 13144
+rect 7466 13132 7472 13144
+rect 7524 13132 7530 13184
+rect 1104 13082 12328 13104
+rect 1104 13030 2852 13082
+rect 2904 13030 2916 13082
+rect 2968 13030 2980 13082
+rect 3032 13030 3044 13082
+rect 3096 13030 6594 13082
+rect 6646 13030 6658 13082
+rect 6710 13030 6722 13082
+rect 6774 13030 6786 13082
+rect 6838 13030 10335 13082
+rect 10387 13030 10399 13082
+rect 10451 13030 10463 13082
+rect 10515 13030 10527 13082
+rect 10579 13030 12328 13082
+rect 1104 13008 12328 13030
+rect 1581 12971 1639 12977
+rect 1581 12937 1593 12971
+rect 1627 12968 1639 12971
+rect 8754 12968 8760 12980
+rect 1627 12940 8760 12968
+rect 1627 12937 1639 12940
+rect 1581 12931 1639 12937
+rect 8754 12928 8760 12940
+rect 8812 12968 8818 12980
+rect 9490 12968 9496 12980
+rect 8812 12940 9496 12968
+rect 8812 12928 8818 12940
+rect 9490 12928 9496 12940
+rect 9548 12928 9554 12980
+rect 10686 12928 10692 12980
+rect 10744 12968 10750 12980
+rect 11882 12968 11888 12980
+rect 10744 12940 11888 12968
+rect 10744 12928 10750 12940
+rect 11882 12928 11888 12940
+rect 11940 12928 11946 12980
+rect 5074 12860 5080 12912
+rect 5132 12900 5138 12912
+rect 10870 12900 10876 12912
+rect 5132 12872 8064 12900
+rect 5132 12860 5138 12872
+rect 7466 12832 7472 12844
+rect 7427 12804 7472 12832
+rect 7466 12792 7472 12804
+rect 7524 12792 7530 12844
+rect 8036 12841 8064 12872
+rect 10520 12872 10876 12900
+rect 8021 12835 8079 12841
+rect 8021 12801 8033 12835
+rect 8067 12801 8079 12835
+rect 8021 12795 8079 12801
+rect 9858 12792 9864 12844
+rect 9916 12832 9922 12844
+rect 10520 12841 10548 12872
+rect 10870 12860 10876 12872
+rect 10928 12860 10934 12912
+rect 10505 12835 10563 12841
+rect 9916 12804 10456 12832
+rect 9916 12792 9922 12804
+rect 1394 12764 1400 12776
+rect 1355 12736 1400 12764
+rect 1394 12724 1400 12736
+rect 1452 12724 1458 12776
+rect 3053 12767 3111 12773
+rect 3053 12733 3065 12767
+rect 3099 12764 3111 12767
+rect 3510 12764 3516 12776
+rect 3099 12736 3516 12764
+rect 3099 12733 3111 12736
+rect 3053 12727 3111 12733
+rect 3510 12724 3516 12736
+rect 3568 12724 3574 12776
+rect 4062 12764 4068 12776
+rect 4023 12736 4068 12764
+rect 4062 12724 4068 12736
+rect 4120 12724 4126 12776
+rect 4154 12724 4160 12776
+rect 4212 12764 4218 12776
+rect 4341 12767 4399 12773
+rect 4341 12764 4353 12767
+rect 4212 12736 4353 12764
+rect 4212 12724 4218 12736
+rect 4341 12733 4353 12736
+rect 4387 12733 4399 12767
+rect 4341 12727 4399 12733
+rect 7561 12767 7619 12773
+rect 7561 12733 7573 12767
+rect 7607 12733 7619 12767
+rect 7561 12727 7619 12733
+rect 3145 12699 3203 12705
+rect 3145 12665 3157 12699
+rect 3191 12696 3203 12699
+rect 3786 12696 3792 12708
+rect 3191 12668 3792 12696
+rect 3191 12665 3203 12668
+rect 3145 12659 3203 12665
+rect 3786 12656 3792 12668
+rect 3844 12656 3850 12708
+rect 6917 12699 6975 12705
+rect 6917 12696 6929 12699
+rect 5000 12668 6929 12696
+rect 3694 12588 3700 12640
+rect 3752 12628 3758 12640
+rect 5000 12628 5028 12668
+rect 6917 12665 6929 12668
+rect 6963 12665 6975 12699
+rect 7576 12696 7604 12727
+rect 7926 12724 7932 12776
+rect 7984 12764 7990 12776
+rect 7984 12736 8029 12764
+rect 7984 12724 7990 12736
+rect 9582 12724 9588 12776
+rect 9640 12764 9646 12776
+rect 10428 12773 10456 12804
+rect 10505 12801 10517 12835
+rect 10551 12801 10563 12835
+rect 10505 12795 10563 12801
+rect 9769 12767 9827 12773
+rect 9769 12764 9781 12767
+rect 9640 12736 9781 12764
+rect 9640 12724 9646 12736
+rect 9769 12733 9781 12736
+rect 9815 12733 9827 12767
+rect 9769 12727 9827 12733
+rect 10413 12767 10471 12773
+rect 10413 12733 10425 12767
+rect 10459 12733 10471 12767
+rect 10413 12727 10471 12733
+rect 10686 12724 10692 12776
+rect 10744 12773 10750 12776
+rect 10744 12767 10793 12773
+rect 10744 12733 10747 12767
+rect 10781 12733 10793 12767
+rect 10870 12764 10876 12776
+rect 10831 12736 10876 12764
+rect 10744 12727 10793 12733
+rect 10744 12724 10750 12727
+rect 10870 12724 10876 12736
+rect 10928 12724 10934 12776
+rect 9674 12696 9680 12708
+rect 7576 12668 9680 12696
+rect 6917 12659 6975 12665
+rect 9674 12656 9680 12668
+rect 9732 12656 9738 12708
+rect 5442 12628 5448 12640
+rect 3752 12600 5028 12628
+rect 5403 12600 5448 12628
+rect 3752 12588 3758 12600
+rect 5442 12588 5448 12600
+rect 5500 12588 5506 12640
+rect 1104 12538 12328 12560
+rect 1104 12486 4723 12538
+rect 4775 12486 4787 12538
+rect 4839 12486 4851 12538
+rect 4903 12486 4915 12538
+rect 4967 12486 8464 12538
+rect 8516 12486 8528 12538
+rect 8580 12486 8592 12538
+rect 8644 12486 8656 12538
+rect 8708 12486 12328 12538
+rect 1104 12464 12328 12486
+rect 474 12384 480 12436
+rect 532 12424 538 12436
+rect 1578 12424 1584 12436
+rect 532 12396 1584 12424
+rect 532 12384 538 12396
+rect 1578 12384 1584 12396
+rect 1636 12384 1642 12436
+rect 2498 12384 2504 12436
+rect 2556 12424 2562 12436
+rect 4154 12424 4160 12436
+rect 2556 12396 4160 12424
+rect 2556 12384 2562 12396
+rect 4154 12384 4160 12396
+rect 4212 12384 4218 12436
+rect 9582 12424 9588 12436
+rect 4264 12396 9588 12424
+rect 4264 12356 4292 12396
+rect 9582 12384 9588 12396
+rect 9640 12384 9646 12436
+rect 3068 12328 4292 12356
+rect 5813 12359 5871 12365
+rect 3068 12297 3096 12328
+rect 5813 12325 5825 12359
+rect 5859 12356 5871 12359
+rect 6270 12356 6276 12368
+rect 5859 12328 6276 12356
+rect 5859 12325 5871 12328
+rect 5813 12319 5871 12325
+rect 6270 12316 6276 12328
+rect 6328 12316 6334 12368
+rect 6641 12359 6699 12365
+rect 6641 12325 6653 12359
+rect 6687 12356 6699 12359
+rect 10686 12356 10692 12368
+rect 6687 12328 10692 12356
+rect 6687 12325 6699 12328
+rect 6641 12319 6699 12325
+rect 10686 12316 10692 12328
+rect 10744 12316 10750 12368
+rect 3053 12291 3111 12297
+rect 3053 12257 3065 12291
+rect 3099 12288 3111 12291
+rect 3142 12288 3148 12300
+rect 3099 12260 3148 12288
+rect 3099 12257 3111 12260
+rect 3053 12251 3111 12257
+rect 3142 12248 3148 12260
+rect 3200 12248 3206 12300
+rect 4062 12248 4068 12300
+rect 4120 12288 4126 12300
+rect 4157 12291 4215 12297
+rect 4157 12288 4169 12291
+rect 4120 12260 4169 12288
+rect 4120 12248 4126 12260
+rect 4157 12257 4169 12260
+rect 4203 12257 4215 12291
+rect 4157 12251 4215 12257
+rect 5258 12248 5264 12300
+rect 5316 12288 5322 12300
+rect 7098 12288 7104 12300
+rect 5316 12260 6684 12288
+rect 7059 12260 7104 12288
+rect 5316 12248 5322 12260
+rect 1486 12180 1492 12232
+rect 1544 12220 1550 12232
+rect 4080 12220 4108 12248
+rect 4433 12223 4491 12229
+rect 4433 12220 4445 12223
+rect 1544 12192 4108 12220
+rect 4172 12192 4445 12220
+rect 1544 12180 1550 12192
+rect 3510 12112 3516 12164
+rect 3568 12152 3574 12164
+rect 4172 12152 4200 12192
+rect 4433 12189 4445 12192
+rect 4479 12220 4491 12223
+rect 5442 12220 5448 12232
+rect 4479 12192 5448 12220
+rect 4479 12189 4491 12192
+rect 4433 12183 4491 12189
+rect 5442 12180 5448 12192
+rect 5500 12180 5506 12232
+rect 6656 12220 6684 12260
+rect 7098 12248 7104 12260
+rect 7156 12248 7162 12300
+rect 7282 12288 7288 12300
+rect 7243 12260 7288 12288
+rect 7282 12248 7288 12260
+rect 7340 12248 7346 12300
+rect 7466 12288 7472 12300
+rect 7427 12260 7472 12288
+rect 7466 12248 7472 12260
+rect 7524 12248 7530 12300
+rect 8113 12291 8171 12297
+rect 8113 12257 8125 12291
+rect 8159 12288 8171 12291
+rect 9677 12291 9735 12297
+rect 9677 12288 9689 12291
+rect 8159 12260 9689 12288
+rect 8159 12257 8171 12260
+rect 8113 12251 8171 12257
+rect 9677 12257 9689 12260
+rect 9723 12257 9735 12291
+rect 9677 12251 9735 12257
+rect 9769 12291 9827 12297
+rect 9769 12257 9781 12291
+rect 9815 12257 9827 12291
+rect 9769 12251 9827 12257
+rect 7745 12223 7803 12229
+rect 7745 12220 7757 12223
+rect 6656 12192 7757 12220
+rect 7745 12189 7757 12192
+rect 7791 12189 7803 12223
+rect 7745 12183 7803 12189
+rect 3568 12124 4200 12152
+rect 3568 12112 3574 12124
+rect 2869 12087 2927 12093
+rect 2869 12053 2881 12087
+rect 2915 12084 2927 12087
+rect 3602 12084 3608 12096
+rect 2915 12056 3608 12084
+rect 2915 12053 2927 12056
+rect 2869 12047 2927 12053
+rect 3602 12044 3608 12056
+rect 3660 12044 3666 12096
+rect 7190 12044 7196 12096
+rect 7248 12084 7254 12096
+rect 8128 12084 8156 12251
+rect 8202 12180 8208 12232
+rect 8260 12220 8266 12232
+rect 9784 12220 9812 12251
+rect 8260 12192 9812 12220
+rect 8260 12180 8266 12192
+rect 7248 12056 8156 12084
+rect 7248 12044 7254 12056
+rect 1104 11994 12328 12016
+rect 1104 11942 2852 11994
+rect 2904 11942 2916 11994
+rect 2968 11942 2980 11994
+rect 3032 11942 3044 11994
+rect 3096 11942 6594 11994
+rect 6646 11942 6658 11994
+rect 6710 11942 6722 11994
+rect 6774 11942 6786 11994
+rect 6838 11942 10335 11994
+rect 10387 11942 10399 11994
+rect 10451 11942 10463 11994
+rect 10515 11942 10527 11994
+rect 10579 11942 12328 11994
+rect 1104 11920 12328 11942
+rect 4246 11840 4252 11892
+rect 4304 11880 4310 11892
+rect 7466 11880 7472 11892
+rect 4304 11852 7472 11880
+rect 4304 11840 4310 11852
+rect 7466 11840 7472 11852
+rect 7524 11840 7530 11892
+rect 8938 11880 8944 11892
+rect 7944 11852 8944 11880
+rect 3789 11747 3847 11753
+rect 3789 11713 3801 11747
+rect 3835 11744 3847 11747
+rect 3970 11744 3976 11756
+rect 3835 11716 3976 11744
+rect 3835 11713 3847 11716
+rect 3789 11707 3847 11713
+rect 3970 11704 3976 11716
+rect 4028 11704 4034 11756
+rect 7466 11704 7472 11756
+rect 7524 11744 7530 11756
+rect 7742 11744 7748 11756
+rect 7524 11716 7748 11744
+rect 7524 11704 7530 11716
+rect 7742 11704 7748 11716
+rect 7800 11704 7806 11756
+rect 7944 11744 7972 11852
+rect 8938 11840 8944 11852
+rect 8996 11840 9002 11892
+rect 9582 11840 9588 11892
+rect 9640 11880 9646 11892
+rect 9640 11852 10180 11880
+rect 9640 11840 9646 11852
+rect 10042 11812 10048 11824
+rect 7852 11716 7972 11744
+rect 8588 11784 10048 11812
+rect 2682 11636 2688 11688
+rect 2740 11676 2746 11688
+rect 2869 11679 2927 11685
+rect 2869 11676 2881 11679
+rect 2740 11648 2881 11676
+rect 2740 11636 2746 11648
+rect 2869 11645 2881 11648
+rect 2915 11676 2927 11679
+rect 3510 11676 3516 11688
+rect 2915 11648 3516 11676
+rect 2915 11645 2927 11648
+rect 2869 11639 2927 11645
+rect 3510 11636 3516 11648
+rect 3568 11636 3574 11688
+rect 3878 11636 3884 11688
+rect 3936 11676 3942 11688
+rect 4065 11679 4123 11685
+rect 4065 11676 4077 11679
+rect 3936 11648 4077 11676
+rect 3936 11636 3942 11648
+rect 4065 11645 4077 11648
+rect 4111 11676 4123 11679
+rect 6270 11676 6276 11688
+rect 4111 11648 6276 11676
+rect 4111 11645 4123 11648
+rect 4065 11639 4123 11645
+rect 6270 11636 6276 11648
+rect 6328 11636 6334 11688
+rect 7852 11685 7880 11716
+rect 7837 11679 7895 11685
+rect 7837 11645 7849 11679
+rect 7883 11645 7895 11679
+rect 8018 11676 8024 11688
+rect 7979 11648 8024 11676
+rect 7837 11639 7895 11645
+rect 8018 11636 8024 11648
+rect 8076 11636 8082 11688
+rect 8205 11679 8263 11685
+rect 8205 11645 8217 11679
+rect 8251 11676 8263 11679
+rect 8294 11676 8300 11688
+rect 8251 11648 8300 11676
+rect 8251 11645 8263 11648
+rect 8205 11639 8263 11645
+rect 8294 11636 8300 11648
+rect 8352 11636 8358 11688
+rect 8588 11685 8616 11784
+rect 8573 11679 8631 11685
+rect 8573 11645 8585 11679
+rect 8619 11645 8631 11679
+rect 8573 11639 8631 11645
+rect 8757 11679 8815 11685
+rect 8757 11645 8769 11679
+rect 8803 11676 8815 11679
+rect 8846 11676 8852 11688
+rect 8803 11648 8852 11676
+rect 8803 11645 8815 11648
+rect 8757 11639 8815 11645
+rect 8846 11636 8852 11648
+rect 8904 11636 8910 11688
+rect 9508 11676 9536 11784
+rect 10042 11772 10048 11784
+rect 10100 11772 10106 11824
+rect 9585 11679 9643 11685
+rect 9585 11676 9597 11679
+rect 9508 11648 9597 11676
+rect 9585 11645 9597 11648
+rect 9631 11645 9643 11679
+rect 9585 11639 9643 11645
+rect 9861 11679 9919 11685
+rect 9861 11645 9873 11679
+rect 9907 11676 9919 11679
+rect 9950 11676 9956 11688
+rect 9907 11648 9956 11676
+rect 9907 11645 9919 11648
+rect 9861 11639 9919 11645
+rect 9950 11636 9956 11648
+rect 10008 11636 10014 11688
+rect 10152 11685 10180 11852
+rect 10137 11679 10195 11685
+rect 10137 11645 10149 11679
+rect 10183 11645 10195 11679
+rect 10137 11639 10195 11645
+rect 10321 11679 10379 11685
+rect 10321 11645 10333 11679
+rect 10367 11676 10379 11679
+rect 10778 11676 10784 11688
+rect 10367 11648 10784 11676
+rect 10367 11645 10379 11648
+rect 10321 11639 10379 11645
+rect 10778 11636 10784 11648
+rect 10836 11636 10842 11688
+rect 2961 11611 3019 11617
+rect 2961 11577 2973 11611
+rect 3007 11608 3019 11611
+rect 3234 11608 3240 11620
+rect 3007 11580 3240 11608
+rect 3007 11577 3019 11580
+rect 2961 11571 3019 11577
+rect 3234 11568 3240 11580
+rect 3292 11568 3298 11620
+rect 7098 11608 7104 11620
+rect 5000 11580 7104 11608
+rect 2498 11500 2504 11552
+rect 2556 11540 2562 11552
+rect 5000 11540 5028 11580
+rect 7098 11568 7104 11580
+rect 7156 11568 7162 11620
+rect 7285 11611 7343 11617
+rect 7285 11577 7297 11611
+rect 7331 11608 7343 11611
+rect 9766 11608 9772 11620
+rect 7331 11580 9772 11608
+rect 7331 11577 7343 11580
+rect 7285 11571 7343 11577
+rect 9766 11568 9772 11580
+rect 9824 11608 9830 11620
+rect 10686 11608 10692 11620
+rect 9824 11580 10692 11608
+rect 9824 11568 9830 11580
+rect 10686 11568 10692 11580
+rect 10744 11568 10750 11620
+rect 5166 11540 5172 11552
+rect 2556 11512 5028 11540
+rect 5127 11512 5172 11540
+rect 2556 11500 2562 11512
+rect 5166 11500 5172 11512
+rect 5224 11500 5230 11552
+rect 5718 11500 5724 11552
+rect 5776 11540 5782 11552
+rect 7926 11540 7932 11552
+rect 5776 11512 7932 11540
+rect 5776 11500 5782 11512
+rect 7926 11500 7932 11512
+rect 7984 11500 7990 11552
+rect 9674 11540 9680 11552
+rect 9635 11512 9680 11540
+rect 9674 11500 9680 11512
+rect 9732 11500 9738 11552
+rect 1104 11450 12328 11472
+rect 1104 11398 4723 11450
+rect 4775 11398 4787 11450
+rect 4839 11398 4851 11450
+rect 4903 11398 4915 11450
+rect 4967 11398 8464 11450
+rect 8516 11398 8528 11450
+rect 8580 11398 8592 11450
+rect 8644 11398 8656 11450
+rect 8708 11398 12328 11450
+rect 1104 11376 12328 11398
+rect 2406 11296 2412 11348
+rect 2464 11336 2470 11348
+rect 7466 11336 7472 11348
+rect 2464 11308 7472 11336
+rect 2464 11296 2470 11308
+rect 7466 11296 7472 11308
+rect 7524 11296 7530 11348
+rect 9766 11296 9772 11348
+rect 9824 11336 9830 11348
+rect 9950 11336 9956 11348
+rect 9824 11308 9956 11336
+rect 9824 11296 9830 11308
+rect 9950 11296 9956 11308
+rect 10008 11296 10014 11348
+rect 3142 11268 3148 11280
+rect 2516 11240 3148 11268
+rect 2406 11200 2412 11212
+rect 2367 11172 2412 11200
+rect 2406 11160 2412 11172
+rect 2464 11160 2470 11212
+rect 2516 11209 2544 11240
+rect 3142 11228 3148 11240
+rect 3200 11228 3206 11280
+rect 4062 11268 4068 11280
+rect 4023 11240 4068 11268
+rect 4062 11228 4068 11240
+rect 4120 11228 4126 11280
+rect 4249 11271 4307 11277
+rect 4249 11237 4261 11271
+rect 4295 11268 4307 11271
+rect 5718 11268 5724 11280
+rect 4295 11240 5724 11268
+rect 4295 11237 4307 11240
+rect 4249 11231 4307 11237
+rect 5718 11228 5724 11240
+rect 5776 11228 5782 11280
+rect 6454 11228 6460 11280
+rect 6512 11268 6518 11280
+rect 9122 11268 9128 11280
+rect 6512 11240 9128 11268
+rect 6512 11228 6518 11240
+rect 9122 11228 9128 11240
+rect 9180 11228 9186 11280
+rect 9398 11228 9404 11280
+rect 9456 11268 9462 11280
+rect 9456 11240 10824 11268
+rect 9456 11228 9462 11240
+rect 2501 11203 2559 11209
+rect 2501 11169 2513 11203
+rect 2547 11169 2559 11203
+rect 2501 11163 2559 11169
+rect 2590 11160 2596 11212
+rect 2648 11209 2654 11212
+rect 2648 11203 2697 11209
+rect 2648 11169 2651 11203
+rect 2685 11169 2697 11203
+rect 4522 11200 4528 11212
+rect 4483 11172 4528 11200
+rect 2648 11163 2697 11169
+rect 2648 11160 2654 11163
+rect 4522 11160 4528 11172
+rect 4580 11160 4586 11212
+rect 4614 11160 4620 11212
+rect 4672 11200 4678 11212
+rect 5258 11200 5264 11212
+rect 4672 11172 5264 11200
+rect 4672 11160 4678 11172
+rect 5258 11160 5264 11172
+rect 5316 11200 5322 11212
+rect 5353 11203 5411 11209
+rect 5353 11200 5365 11203
+rect 5316 11172 5365 11200
+rect 5316 11160 5322 11172
+rect 5353 11169 5365 11172
+rect 5399 11169 5411 11203
+rect 5353 11163 5411 11169
+rect 5534 11160 5540 11212
+rect 5592 11200 5598 11212
+rect 5629 11203 5687 11209
+rect 5629 11200 5641 11203
+rect 5592 11172 5641 11200
+rect 5592 11160 5598 11172
+rect 5629 11169 5641 11172
+rect 5675 11169 5687 11203
+rect 5902 11200 5908 11212
+rect 5863 11172 5908 11200
+rect 5629 11163 5687 11169
+rect 5902 11160 5908 11172
+rect 5960 11160 5966 11212
+rect 5997 11203 6055 11209
+rect 5997 11169 6009 11203
+rect 6043 11169 6055 11203
+rect 5997 11163 6055 11169
+rect 3145 11135 3203 11141
+rect 3145 11101 3157 11135
+rect 3191 11132 3203 11135
+rect 3510 11132 3516 11144
+rect 3191 11104 3516 11132
+rect 3191 11101 3203 11104
+rect 3145 11095 3203 11101
+rect 3510 11092 3516 11104
+rect 3568 11092 3574 11144
+rect 4430 11092 4436 11144
+rect 4488 11132 4494 11144
+rect 6012 11132 6040 11163
+rect 7374 11160 7380 11212
+rect 7432 11200 7438 11212
+rect 7653 11203 7711 11209
+rect 7653 11200 7665 11203
+rect 7432 11172 7665 11200
+rect 7432 11160 7438 11172
+rect 7653 11169 7665 11172
+rect 7699 11169 7711 11203
+rect 7653 11163 7711 11169
+rect 8021 11203 8079 11209
+rect 8021 11169 8033 11203
+rect 8067 11169 8079 11203
+rect 8021 11163 8079 11169
+rect 8205 11203 8263 11209
+rect 8205 11169 8217 11203
+rect 8251 11200 8263 11203
+rect 9306 11200 9312 11212
+rect 8251 11172 9312 11200
+rect 8251 11169 8263 11172
+rect 8205 11163 8263 11169
+rect 4488 11104 6040 11132
+rect 6181 11135 6239 11141
+rect 4488 11092 4494 11104
+rect 6181 11101 6193 11135
+rect 6227 11101 6239 11135
+rect 6181 11095 6239 11101
+rect 1394 11024 1400 11076
+rect 1452 11064 1458 11076
+rect 6196 11064 6224 11095
+rect 7006 11092 7012 11144
+rect 7064 11132 7070 11144
+rect 7561 11135 7619 11141
+rect 7561 11132 7573 11135
+rect 7064 11104 7573 11132
+rect 7064 11092 7070 11104
+rect 7561 11101 7573 11104
+rect 7607 11101 7619 11135
+rect 7561 11095 7619 11101
+rect 1452 11036 6224 11064
+rect 7101 11067 7159 11073
+rect 1452 11024 1458 11036
+rect 7101 11033 7113 11067
+rect 7147 11064 7159 11067
+rect 7650 11064 7656 11076
+rect 7147 11036 7656 11064
+rect 7147 11033 7159 11036
+rect 7101 11027 7159 11033
+rect 7650 11024 7656 11036
+rect 7708 11024 7714 11076
+rect 8036 11064 8064 11163
+rect 9306 11160 9312 11172
+rect 9364 11160 9370 11212
+rect 10321 11203 10379 11209
+rect 10321 11169 10333 11203
+rect 10367 11200 10379 11203
+rect 10686 11200 10692 11212
+rect 10367 11172 10548 11200
+rect 10647 11172 10692 11200
+rect 10367 11169 10379 11172
+rect 10321 11163 10379 11169
+rect 9490 11092 9496 11144
+rect 9548 11132 9554 11144
+rect 9674 11132 9680 11144
+rect 9548 11104 9680 11132
+rect 9548 11092 9554 11104
+rect 9674 11092 9680 11104
+rect 9732 11092 9738 11144
+rect 10413 11135 10471 11141
+rect 10413 11101 10425 11135
+rect 10459 11101 10471 11135
+rect 10520 11132 10548 11172
+rect 10686 11160 10692 11172
+rect 10744 11160 10750 11212
+rect 10796 11209 10824 11240
+rect 10781 11203 10839 11209
+rect 10781 11169 10793 11203
+rect 10827 11169 10839 11203
+rect 10781 11163 10839 11169
+rect 11146 11132 11152 11144
+rect 10520 11104 11152 11132
+rect 10413 11095 10471 11101
+rect 9950 11064 9956 11076
+rect 8036 11036 9536 11064
+rect 9911 11036 9956 11064
+rect 9508 11008 9536 11036
+rect 9950 11024 9956 11036
+rect 10008 11024 10014 11076
+rect 10428 11064 10456 11095
+rect 11146 11092 11152 11104
+rect 11204 11092 11210 11144
+rect 10686 11064 10692 11076
+rect 10428 11036 10692 11064
+rect 10686 11024 10692 11036
+rect 10744 11024 10750 11076
+rect 10962 11024 10968 11076
+rect 11020 11064 11026 11076
+rect 12894 11064 12900 11076
+rect 11020 11036 12900 11064
+rect 11020 11024 11026 11036
+rect 12894 11024 12900 11036
+rect 12952 11024 12958 11076
+rect 3234 10956 3240 11008
+rect 3292 10996 3298 11008
+rect 4249 10999 4307 11005
+rect 4249 10996 4261 10999
+rect 3292 10968 4261 10996
+rect 3292 10956 3298 10968
+rect 4249 10965 4261 10968
+rect 4295 10996 4307 10999
+rect 4338 10996 4344 11008
+rect 4295 10968 4344 10996
+rect 4295 10965 4307 10968
+rect 4249 10959 4307 10965
+rect 4338 10956 4344 10968
+rect 4396 10996 4402 11008
+rect 5074 10996 5080 11008
+rect 4396 10968 5080 10996
+rect 4396 10956 4402 10968
+rect 5074 10956 5080 10968
+rect 5132 10956 5138 11008
+rect 9490 10956 9496 11008
+rect 9548 10956 9554 11008
+rect 1104 10906 12328 10928
+rect 1104 10854 2852 10906
+rect 2904 10854 2916 10906
+rect 2968 10854 2980 10906
+rect 3032 10854 3044 10906
+rect 3096 10854 6594 10906
+rect 6646 10854 6658 10906
+rect 6710 10854 6722 10906
+rect 6774 10854 6786 10906
+rect 6838 10854 10335 10906
+rect 10387 10854 10399 10906
+rect 10451 10854 10463 10906
+rect 10515 10854 10527 10906
+rect 10579 10854 12328 10906
+rect 1104 10832 12328 10854
+rect 2501 10795 2559 10801
+rect 2501 10761 2513 10795
+rect 2547 10792 2559 10795
+rect 5718 10792 5724 10804
+rect 2547 10764 5724 10792
+rect 2547 10761 2559 10764
+rect 2501 10755 2559 10761
+rect 5718 10752 5724 10764
+rect 5776 10752 5782 10804
+rect 1486 10684 1492 10736
+rect 1544 10724 1550 10736
+rect 4706 10724 4712 10736
+rect 1544 10696 4712 10724
+rect 1544 10684 1550 10696
+rect 4706 10684 4712 10696
+rect 4764 10724 4770 10736
+rect 9030 10724 9036 10736
+rect 4764 10696 9036 10724
+rect 4764 10684 4770 10696
+rect 9030 10684 9036 10696
+rect 9088 10684 9094 10736
+rect 3970 10656 3976 10668
+rect 2240 10628 3976 10656
+rect 2240 10597 2268 10628
+rect 3970 10616 3976 10628
+rect 4028 10616 4034 10668
+rect 8754 10656 8760 10668
+rect 4172 10628 8760 10656
+rect 2225 10591 2283 10597
+rect 2225 10557 2237 10591
+rect 2271 10557 2283 10591
+rect 2225 10551 2283 10557
+rect 2410 10591 2468 10597
+rect 2410 10557 2422 10591
+rect 2456 10588 2468 10591
+rect 3694 10588 3700 10600
+rect 2456 10560 2544 10588
+rect 3655 10560 3700 10588
+rect 2456 10557 2468 10560
+rect 2410 10551 2468 10557
+rect 1302 10412 1308 10464
+rect 1360 10452 1366 10464
+rect 2516 10452 2544 10560
+rect 3694 10548 3700 10560
+rect 3752 10548 3758 10600
+rect 4172 10597 4200 10628
+rect 8754 10616 8760 10628
+rect 8812 10616 8818 10668
+rect 11146 10656 11152 10668
+rect 9600 10628 11152 10656
+rect 4157 10591 4215 10597
+rect 4157 10557 4169 10591
+rect 4203 10557 4215 10591
+rect 4157 10551 4215 10557
+rect 4341 10591 4399 10597
+rect 4341 10557 4353 10591
+rect 4387 10588 4399 10591
+rect 4706 10588 4712 10600
+rect 4387 10560 4712 10588
+rect 4387 10557 4399 10560
+rect 4341 10551 4399 10557
+rect 4706 10548 4712 10560
+rect 4764 10588 4770 10600
+rect 5813 10591 5871 10597
+rect 4764 10560 5764 10588
+rect 4764 10548 4770 10560
+rect 5736 10520 5764 10560
+rect 5813 10557 5825 10591
+rect 5859 10588 5871 10591
+rect 6270 10588 6276 10600
+rect 5859 10560 6276 10588
+rect 5859 10557 5871 10560
+rect 5813 10551 5871 10557
+rect 6270 10548 6276 10560
+rect 6328 10548 6334 10600
+rect 7653 10591 7711 10597
+rect 7653 10557 7665 10591
+rect 7699 10588 7711 10591
+rect 7834 10588 7840 10600
+rect 7699 10560 7840 10588
+rect 7699 10557 7711 10560
+rect 7653 10551 7711 10557
+rect 7834 10548 7840 10560
+rect 7892 10548 7898 10600
+rect 7929 10591 7987 10597
+rect 7929 10557 7941 10591
+rect 7975 10588 7987 10591
+rect 8018 10588 8024 10600
+rect 7975 10560 8024 10588
+rect 7975 10557 7987 10560
+rect 7929 10551 7987 10557
+rect 8018 10548 8024 10560
+rect 8076 10548 8082 10600
+rect 8110 10548 8116 10600
+rect 8168 10588 8174 10600
+rect 9600 10597 9628 10628
+rect 11146 10616 11152 10628
+rect 11204 10616 11210 10668
+rect 9585 10591 9643 10597
+rect 8168 10560 8213 10588
+rect 8168 10548 8174 10560
+rect 9585 10557 9597 10591
+rect 9631 10557 9643 10591
+rect 9585 10551 9643 10557
+rect 10226 10548 10232 10600
+rect 10284 10588 10290 10600
+rect 10597 10591 10655 10597
+rect 10597 10588 10609 10591
+rect 10284 10560 10609 10588
+rect 10284 10548 10290 10560
+rect 10597 10557 10609 10560
+rect 10643 10557 10655 10591
+rect 10597 10551 10655 10557
+rect 7101 10523 7159 10529
+rect 5736 10492 6040 10520
+rect 3142 10452 3148 10464
+rect 1360 10424 3148 10452
+rect 1360 10412 1366 10424
+rect 3142 10412 3148 10424
+rect 3200 10412 3206 10464
+rect 4062 10412 4068 10464
+rect 4120 10452 4126 10464
+rect 5442 10452 5448 10464
+rect 4120 10424 5448 10452
+rect 4120 10412 4126 10424
+rect 5442 10412 5448 10424
+rect 5500 10412 5506 10464
+rect 6012 10452 6040 10492
+rect 7101 10489 7113 10523
+rect 7147 10520 7159 10523
+rect 7742 10520 7748 10532
+rect 7147 10492 7748 10520
+rect 7147 10489 7159 10492
+rect 7101 10483 7159 10489
+rect 7742 10480 7748 10492
+rect 7800 10480 7806 10532
+rect 8202 10480 8208 10532
+rect 8260 10520 8266 10532
+rect 8941 10523 8999 10529
+rect 8941 10520 8953 10523
+rect 8260 10492 8953 10520
+rect 8260 10480 8266 10492
+rect 8941 10489 8953 10492
+rect 8987 10520 8999 10523
+rect 9398 10520 9404 10532
+rect 8987 10492 9404 10520
+rect 8987 10489 8999 10492
+rect 8941 10483 8999 10489
+rect 9398 10480 9404 10492
+rect 9456 10480 9462 10532
+rect 9858 10480 9864 10532
+rect 9916 10520 9922 10532
+rect 10134 10520 10140 10532
+rect 9916 10492 10140 10520
+rect 9916 10480 9922 10492
+rect 10134 10480 10140 10492
+rect 10192 10520 10198 10532
+rect 10965 10523 11023 10529
+rect 10965 10520 10977 10523
+rect 10192 10492 10977 10520
+rect 10192 10480 10198 10492
+rect 10965 10489 10977 10492
+rect 11011 10489 11023 10523
+rect 10965 10483 11023 10489
+rect 8294 10452 8300 10464
+rect 6012 10424 8300 10452
+rect 8294 10412 8300 10424
+rect 8352 10412 8358 10464
+rect 1104 10362 12328 10384
+rect 1104 10310 4723 10362
+rect 4775 10310 4787 10362
+rect 4839 10310 4851 10362
+rect 4903 10310 4915 10362
+rect 4967 10310 8464 10362
+rect 8516 10310 8528 10362
+rect 8580 10310 8592 10362
+rect 8644 10310 8656 10362
+rect 8708 10310 12328 10362
+rect 1104 10288 12328 10310
+rect 3234 10248 3240 10260
+rect 2700 10220 3240 10248
+rect 2700 10189 2728 10220
+rect 3234 10208 3240 10220
+rect 3292 10248 3298 10260
+rect 4062 10248 4068 10260
+rect 3292 10220 4068 10248
+rect 3292 10208 3298 10220
+rect 4062 10208 4068 10220
+rect 4120 10208 4126 10260
+rect 5258 10248 5264 10260
+rect 5184 10220 5264 10248
+rect 2685 10183 2743 10189
+rect 2685 10149 2697 10183
+rect 2731 10149 2743 10183
+rect 2685 10143 2743 10149
+rect 2869 10183 2927 10189
+rect 2869 10149 2881 10183
+rect 2915 10180 2927 10183
+rect 3418 10180 3424 10192
+rect 2915 10152 3424 10180
+rect 2915 10149 2927 10152
+rect 2869 10143 2927 10149
+rect 3418 10140 3424 10152
+rect 3476 10140 3482 10192
+rect 5184 10189 5212 10220
+rect 5258 10208 5264 10220
+rect 5316 10208 5322 10260
+rect 7558 10208 7564 10260
+rect 7616 10248 7622 10260
+rect 8205 10251 8263 10257
+rect 8205 10248 8217 10251
+rect 7616 10220 8217 10248
+rect 7616 10208 7622 10220
+rect 8205 10217 8217 10220
+rect 8251 10217 8263 10251
+rect 8205 10211 8263 10217
+rect 5169 10183 5227 10189
+rect 5169 10149 5181 10183
+rect 5215 10149 5227 10183
+rect 5169 10143 5227 10149
+rect 7116 10152 8432 10180
+rect 7116 10124 7144 10152
+rect 1394 10112 1400 10124
+rect 1355 10084 1400 10112
+rect 1394 10072 1400 10084
+rect 1452 10072 1458 10124
+rect 3142 10072 3148 10124
+rect 3200 10112 3206 10124
+rect 4801 10115 4859 10121
+rect 4801 10112 4813 10115
+rect 3200 10084 4813 10112
+rect 3200 10072 3206 10084
+rect 4801 10081 4813 10084
+rect 4847 10112 4859 10115
+rect 5074 10112 5080 10124
+rect 4847 10084 5080 10112
+rect 4847 10081 4859 10084
+rect 4801 10075 4859 10081
+rect 5074 10072 5080 10084
+rect 5132 10072 5138 10124
+rect 5994 10072 6000 10124
+rect 6052 10112 6058 10124
+rect 6273 10115 6331 10121
+rect 6273 10112 6285 10115
+rect 6052 10084 6285 10112
+rect 6052 10072 6058 10084
+rect 6273 10081 6285 10084
+rect 6319 10081 6331 10115
+rect 7098 10112 7104 10124
+rect 7011 10084 7104 10112
+rect 6273 10075 6331 10081
+rect 7098 10072 7104 10084
+rect 7156 10072 7162 10124
+rect 7282 10112 7288 10124
+rect 7243 10084 7288 10112
+rect 7282 10072 7288 10084
+rect 7340 10112 7346 10124
+rect 8404 10121 8432 10152
+rect 8113 10115 8171 10121
+rect 8113 10112 8125 10115
+rect 7340 10084 8125 10112
+rect 7340 10072 7346 10084
+rect 8113 10081 8125 10084
+rect 8159 10081 8171 10115
+rect 8113 10075 8171 10081
+rect 8389 10115 8447 10121
+rect 8389 10081 8401 10115
+rect 8435 10081 8447 10115
+rect 9858 10112 9864 10124
+rect 9819 10084 9864 10112
+rect 8389 10075 8447 10081
+rect 9858 10072 9864 10084
+rect 9916 10072 9922 10124
+rect 1489 10047 1547 10053
+rect 1489 10013 1501 10047
+rect 1535 10044 1547 10047
+rect 1578 10044 1584 10056
+rect 1535 10016 1584 10044
+rect 1535 10013 1547 10016
+rect 1489 10007 1547 10013
+rect 1578 10004 1584 10016
+rect 1636 10004 1642 10056
+rect 6825 10047 6883 10053
+rect 5368 10016 6224 10044
+rect 4154 9936 4160 9988
+rect 4212 9976 4218 9988
+rect 5368 9976 5396 10016
+rect 4212 9948 5396 9976
+rect 6196 9976 6224 10016
+rect 6825 10013 6837 10047
+rect 6871 10013 6883 10047
+rect 6825 10007 6883 10013
+rect 6840 9976 6868 10007
+rect 7834 10004 7840 10056
+rect 7892 10044 7898 10056
+rect 8018 10044 8024 10056
+rect 7892 10016 8024 10044
+rect 7892 10004 7898 10016
+rect 8018 10004 8024 10016
+rect 8076 10004 8082 10056
+rect 8570 10044 8576 10056
+rect 8531 10016 8576 10044
+rect 8570 10004 8576 10016
+rect 8628 10004 8634 10056
+rect 9214 10004 9220 10056
+rect 9272 10044 9278 10056
+rect 9677 10047 9735 10053
+rect 9677 10044 9689 10047
+rect 9272 10016 9689 10044
+rect 9272 10004 9278 10016
+rect 9677 10013 9689 10016
+rect 9723 10013 9735 10047
+rect 9677 10007 9735 10013
+rect 6196 9948 6868 9976
+rect 4212 9936 4218 9948
+rect 7190 9936 7196 9988
+rect 7248 9976 7254 9988
+rect 8110 9976 8116 9988
+rect 7248 9948 8116 9976
+rect 7248 9936 7254 9948
+rect 8110 9936 8116 9948
+rect 8168 9936 8174 9988
+rect 1486 9908 1492 9920
+rect 1447 9880 1492 9908
+rect 1486 9868 1492 9880
+rect 1544 9868 1550 9920
+rect 1762 9908 1768 9920
+rect 1723 9880 1768 9908
+rect 1762 9868 1768 9880
+rect 1820 9868 1826 9920
+rect 2682 9868 2688 9920
+rect 2740 9908 2746 9920
+rect 2869 9911 2927 9917
+rect 2869 9908 2881 9911
+rect 2740 9880 2881 9908
+rect 2740 9868 2746 9880
+rect 2869 9877 2881 9880
+rect 2915 9877 2927 9911
+rect 2869 9871 2927 9877
+rect 3053 9911 3111 9917
+rect 3053 9877 3065 9911
+rect 3099 9908 3111 9911
+rect 5074 9908 5080 9920
+rect 3099 9880 5080 9908
+rect 3099 9877 3111 9880
+rect 3053 9871 3111 9877
+rect 5074 9868 5080 9880
+rect 5132 9868 5138 9920
+rect 7466 9868 7472 9920
+rect 7524 9908 7530 9920
+rect 8570 9908 8576 9920
+rect 7524 9880 8576 9908
+rect 7524 9868 7530 9880
+rect 8570 9868 8576 9880
+rect 8628 9868 8634 9920
+rect 1104 9818 12328 9840
+rect 1104 9766 2852 9818
+rect 2904 9766 2916 9818
+rect 2968 9766 2980 9818
+rect 3032 9766 3044 9818
+rect 3096 9766 6594 9818
+rect 6646 9766 6658 9818
+rect 6710 9766 6722 9818
+rect 6774 9766 6786 9818
+rect 6838 9766 10335 9818
+rect 10387 9766 10399 9818
+rect 10451 9766 10463 9818
+rect 10515 9766 10527 9818
+rect 10579 9766 12328 9818
+rect 1104 9744 12328 9766
+rect 3602 9664 3608 9716
+rect 3660 9704 3666 9716
+rect 3660 9676 6224 9704
+rect 3660 9664 3666 9676
+rect 1946 9636 1952 9648
+rect 1688 9608 1952 9636
+rect 1486 9500 1492 9512
+rect 1447 9472 1492 9500
+rect 1486 9460 1492 9472
+rect 1544 9460 1550 9512
+rect 1688 9509 1716 9608
+rect 1946 9596 1952 9608
+rect 2004 9636 2010 9648
+rect 4338 9636 4344 9648
+rect 2004 9608 4344 9636
+rect 2004 9596 2010 9608
+rect 4338 9596 4344 9608
+rect 4396 9596 4402 9648
+rect 6196 9580 6224 9676
+rect 6914 9664 6920 9716
+rect 6972 9704 6978 9716
+rect 7374 9704 7380 9716
+rect 6972 9676 7380 9704
+rect 6972 9664 6978 9676
+rect 7374 9664 7380 9676
+rect 7432 9664 7438 9716
+rect 1765 9571 1823 9577
+rect 1765 9537 1777 9571
+rect 1811 9568 1823 9571
+rect 2498 9568 2504 9580
+rect 1811 9540 2504 9568
+rect 1811 9537 1823 9540
+rect 1765 9531 1823 9537
+rect 2498 9528 2504 9540
+rect 2556 9528 2562 9580
+rect 2593 9571 2651 9577
+rect 2593 9537 2605 9571
+rect 2639 9568 2651 9571
+rect 3142 9568 3148 9580
+rect 2639 9540 3148 9568
+rect 2639 9537 2651 9540
+rect 2593 9531 2651 9537
+rect 3142 9528 3148 9540
+rect 3200 9528 3206 9580
+rect 6086 9568 6092 9580
+rect 3252 9540 6092 9568
+rect 1673 9503 1731 9509
+rect 1673 9469 1685 9503
+rect 1719 9469 1731 9503
+rect 1673 9463 1731 9469
+rect 2777 9503 2835 9509
+rect 2777 9469 2789 9503
+rect 2823 9469 2835 9503
+rect 2777 9463 2835 9469
+rect 2590 9392 2596 9444
+rect 2648 9432 2654 9444
+rect 2792 9432 2820 9463
+rect 2866 9460 2872 9512
+rect 2924 9500 2930 9512
+rect 3252 9500 3280 9540
+rect 6086 9528 6092 9540
+rect 6144 9528 6150 9580
+rect 6178 9528 6184 9580
+rect 6236 9528 6242 9580
+rect 6362 9528 6368 9580
+rect 6420 9568 6426 9580
+rect 7558 9568 7564 9580
+rect 6420 9540 7564 9568
+rect 6420 9528 6426 9540
+rect 7558 9528 7564 9540
+rect 7616 9528 7622 9580
+rect 10778 9528 10784 9580
+rect 10836 9568 10842 9580
+rect 11238 9568 11244 9580
+rect 10836 9540 11244 9568
+rect 10836 9528 10842 9540
+rect 11238 9528 11244 9540
+rect 11296 9528 11302 9580
+rect 2924 9472 3280 9500
+rect 2924 9460 2930 9472
+rect 3786 9460 3792 9512
+rect 3844 9500 3850 9512
+rect 3881 9503 3939 9509
+rect 3881 9500 3893 9503
+rect 3844 9472 3893 9500
+rect 3844 9460 3850 9472
+rect 3881 9469 3893 9472
+rect 3927 9469 3939 9503
+rect 4062 9500 4068 9512
+rect 4023 9472 4068 9500
+rect 3881 9463 3939 9469
+rect 4062 9460 4068 9472
+rect 4120 9460 4126 9512
+rect 5626 9500 5632 9512
+rect 5587 9472 5632 9500
+rect 5626 9460 5632 9472
+rect 5684 9460 5690 9512
+rect 6822 9460 6828 9512
+rect 6880 9500 6886 9512
+rect 6917 9503 6975 9509
+rect 6917 9500 6929 9503
+rect 6880 9472 6929 9500
+rect 6880 9460 6886 9472
+rect 6917 9469 6929 9472
+rect 6963 9469 6975 9503
+rect 8938 9500 8944 9512
+rect 8899 9472 8944 9500
+rect 6917 9463 6975 9469
+rect 8938 9460 8944 9472
+rect 8996 9460 9002 9512
+rect 10134 9500 10140 9512
+rect 10095 9472 10140 9500
+rect 10134 9460 10140 9472
+rect 10192 9460 10198 9512
+rect 2648 9404 2820 9432
+rect 4249 9435 4307 9441
+rect 2648 9392 2654 9404
+rect 4249 9401 4261 9435
+rect 4295 9432 4307 9435
+rect 7466 9432 7472 9444
+rect 4295 9404 7472 9432
+rect 4295 9401 4307 9404
+rect 4249 9395 4307 9401
+rect 7466 9392 7472 9404
+rect 7524 9392 7530 9444
+rect 10686 9432 10692 9444
+rect 10647 9404 10692 9432
+rect 10686 9392 10692 9404
+rect 10744 9392 10750 9444
+rect 2961 9367 3019 9373
+rect 2961 9333 2973 9367
+rect 3007 9364 3019 9367
+rect 4430 9364 4436 9376
+rect 3007 9336 4436 9364
+rect 3007 9333 3019 9336
+rect 2961 9327 3019 9333
+rect 4430 9324 4436 9336
+rect 4488 9364 4494 9376
+rect 5074 9364 5080 9376
+rect 4488 9336 5080 9364
+rect 4488 9324 4494 9336
+rect 5074 9324 5080 9336
+rect 5132 9324 5138 9376
+rect 5626 9364 5632 9376
+rect 5587 9336 5632 9364
+rect 5626 9324 5632 9336
+rect 5684 9324 5690 9376
+rect 5810 9324 5816 9376
+rect 5868 9364 5874 9376
+rect 7101 9367 7159 9373
+rect 7101 9364 7113 9367
+rect 5868 9336 7113 9364
+rect 5868 9324 5874 9336
+rect 7101 9333 7113 9336
+rect 7147 9364 7159 9367
+rect 7190 9364 7196 9376
+rect 7147 9336 7196 9364
+rect 7147 9333 7159 9336
+rect 7101 9327 7159 9333
+rect 7190 9324 7196 9336
+rect 7248 9324 7254 9376
+rect 8846 9364 8852 9376
+rect 8807 9336 8852 9364
+rect 8846 9324 8852 9336
+rect 8904 9324 8910 9376
+rect 1104 9274 12328 9296
+rect 1104 9222 4723 9274
+rect 4775 9222 4787 9274
+rect 4839 9222 4851 9274
+rect 4903 9222 4915 9274
+rect 4967 9222 8464 9274
+rect 8516 9222 8528 9274
+rect 8580 9222 8592 9274
+rect 8644 9222 8656 9274
+rect 8708 9222 12328 9274
+rect 1104 9200 12328 9222
+rect 2866 9160 2872 9172
+rect 1688 9132 2872 9160
+rect 1688 9033 1716 9132
+rect 2866 9120 2872 9132
+rect 2924 9120 2930 9172
+rect 3050 9160 3056 9172
+rect 2963 9132 3056 9160
+rect 3050 9120 3056 9132
+rect 3108 9160 3114 9172
+rect 7006 9160 7012 9172
+rect 3108 9132 7012 9160
+rect 3108 9120 3114 9132
+rect 7006 9120 7012 9132
+rect 7064 9120 7070 9172
+rect 8938 9160 8944 9172
+rect 7300 9132 8944 9160
+rect 1949 9095 2007 9101
+rect 1949 9061 1961 9095
+rect 1995 9092 2007 9095
+rect 2038 9092 2044 9104
+rect 1995 9064 2044 9092
+rect 1995 9061 2007 9064
+rect 1949 9055 2007 9061
+rect 2038 9052 2044 9064
+rect 2096 9052 2102 9104
+rect 3234 9092 3240 9104
+rect 2884 9064 3240 9092
+rect 1673 9027 1731 9033
+rect 1673 8993 1685 9027
+rect 1719 8993 1731 9027
+rect 1854 9024 1860 9036
+rect 1815 8996 1860 9024
+rect 1673 8987 1731 8993
+rect 1854 8984 1860 8996
+rect 1912 8984 1918 9036
+rect 2884 9033 2912 9064
+rect 3234 9052 3240 9064
+rect 3292 9052 3298 9104
+rect 4065 9095 4123 9101
+rect 4065 9061 4077 9095
+rect 4111 9092 4123 9095
+rect 4154 9092 4160 9104
+rect 4111 9064 4160 9092
+rect 4111 9061 4123 9064
+rect 4065 9055 4123 9061
+rect 4154 9052 4160 9064
+rect 4212 9052 4218 9104
+rect 4246 9052 4252 9104
+rect 4304 9092 4310 9104
+rect 4433 9095 4491 9101
+rect 4304 9064 4349 9092
+rect 4304 9052 4310 9064
+rect 4433 9061 4445 9095
+rect 4479 9092 4491 9095
+rect 5534 9092 5540 9104
+rect 4479 9064 5540 9092
+rect 4479 9061 4491 9064
+rect 4433 9055 4491 9061
+rect 5534 9052 5540 9064
+rect 5592 9052 5598 9104
+rect 5810 9092 5816 9104
+rect 5771 9064 5816 9092
+rect 5810 9052 5816 9064
+rect 5868 9092 5874 9104
+rect 7190 9092 7196 9104
+rect 5868 9064 7196 9092
+rect 5868 9052 5874 9064
+rect 7190 9052 7196 9064
+rect 7248 9052 7254 9104
+rect 7300 9101 7328 9132
+rect 8938 9120 8944 9132
+rect 8996 9120 9002 9172
+rect 7285 9095 7343 9101
+rect 7285 9061 7297 9095
+rect 7331 9061 7343 9095
+rect 7285 9055 7343 9061
+rect 8205 9095 8263 9101
+rect 8205 9061 8217 9095
+rect 8251 9092 8263 9095
+rect 8846 9092 8852 9104
+rect 8251 9064 8852 9092
+rect 8251 9061 8263 9064
+rect 8205 9055 8263 9061
+rect 8846 9052 8852 9064
+rect 8904 9052 8910 9104
+rect 2869 9027 2927 9033
+rect 2869 8993 2881 9027
+rect 2915 8993 2927 9027
+rect 2869 8987 2927 8993
+rect 3053 9027 3111 9033
+rect 3053 8993 3065 9027
+rect 3099 9024 3111 9027
+rect 3418 9024 3424 9036
+rect 3099 8996 3424 9024
+rect 3099 8993 3111 8996
+rect 3053 8987 3111 8993
+rect 3418 8984 3424 8996
+rect 3476 9024 3482 9036
+rect 3476 8996 4016 9024
+rect 3476 8984 3482 8996
+rect 1486 8848 1492 8900
+rect 1544 8888 1550 8900
+rect 3050 8888 3056 8900
+rect 1544 8860 3056 8888
+rect 1544 8848 1550 8860
+rect 3050 8848 3056 8860
+rect 3108 8848 3114 8900
+rect 3878 8888 3884 8900
+rect 3160 8860 3884 8888
+rect 2038 8780 2044 8832
+rect 2096 8820 2102 8832
+rect 3160 8820 3188 8860
+rect 3878 8848 3884 8860
+rect 3936 8848 3942 8900
+rect 3988 8888 4016 8996
+rect 4338 8984 4344 9036
+rect 4396 9024 4402 9036
+rect 5261 9027 5319 9033
+rect 5261 9024 5273 9027
+rect 4396 8996 5273 9024
+rect 4396 8984 4402 8996
+rect 5261 8993 5273 8996
+rect 5307 8993 5319 9027
+rect 5442 9024 5448 9036
+rect 5403 8996 5448 9024
+rect 5261 8987 5319 8993
+rect 5442 8984 5448 8996
+rect 5500 8984 5506 9036
+rect 5718 8984 5724 9036
+rect 5776 9024 5782 9036
+rect 6733 9027 6791 9033
+rect 6733 9024 6745 9027
+rect 5776 8996 6745 9024
+rect 5776 8984 5782 8996
+rect 6733 8993 6745 8996
+rect 6779 8993 6791 9027
+rect 8389 9027 8447 9033
+rect 8389 9024 8401 9027
+rect 6733 8987 6791 8993
+rect 6932 8996 8401 9024
+rect 4430 8916 4436 8968
+rect 4488 8956 4494 8968
+rect 4614 8956 4620 8968
+rect 4488 8928 4620 8956
+rect 4488 8916 4494 8928
+rect 4614 8916 4620 8928
+rect 4672 8916 4678 8968
+rect 4706 8916 4712 8968
+rect 4764 8956 4770 8968
+rect 5994 8956 6000 8968
+rect 4764 8928 6000 8956
+rect 4764 8916 4770 8928
+rect 5994 8916 6000 8928
+rect 6052 8916 6058 8968
+rect 5258 8888 5264 8900
+rect 3988 8860 5264 8888
+rect 5258 8848 5264 8860
+rect 5316 8888 5322 8900
+rect 6822 8888 6828 8900
+rect 5316 8860 6828 8888
+rect 5316 8848 5322 8860
+rect 6822 8848 6828 8860
+rect 6880 8848 6886 8900
+rect 2096 8792 3188 8820
+rect 2096 8780 2102 8792
+rect 3234 8780 3240 8832
+rect 3292 8820 3298 8832
+rect 4522 8820 4528 8832
+rect 3292 8792 4528 8820
+rect 3292 8780 3298 8792
+rect 4522 8780 4528 8792
+rect 4580 8820 4586 8832
+rect 6932 8820 6960 8996
+rect 8389 8993 8401 8996
+rect 8435 8993 8447 9027
+rect 8389 8987 8447 8993
+rect 8757 9027 8815 9033
+rect 8757 8993 8769 9027
+rect 8803 9024 8815 9027
+rect 9030 9024 9036 9036
+rect 8803 8996 9036 9024
+rect 8803 8993 8815 8996
+rect 8757 8987 8815 8993
+rect 9030 8984 9036 8996
+rect 9088 8984 9094 9036
+rect 9398 8984 9404 9036
+rect 9456 9024 9462 9036
+rect 9677 9027 9735 9033
+rect 9677 9024 9689 9027
+rect 9456 8996 9689 9024
+rect 9456 8984 9462 8996
+rect 9677 8993 9689 8996
+rect 9723 8993 9735 9027
+rect 9677 8987 9735 8993
+rect 9861 9027 9919 9033
+rect 9861 8993 9873 9027
+rect 9907 8993 9919 9027
+rect 9861 8987 9919 8993
+rect 7374 8916 7380 8968
+rect 7432 8956 7438 8968
+rect 9876 8956 9904 8987
+rect 9950 8984 9956 9036
+rect 10008 9024 10014 9036
+rect 10321 9027 10379 9033
+rect 10321 9024 10333 9027
+rect 10008 8996 10333 9024
+rect 10008 8984 10014 8996
+rect 10321 8993 10333 8996
+rect 10367 9024 10379 9027
+rect 10778 9024 10784 9036
+rect 10367 8996 10784 9024
+rect 10367 8993 10379 8996
+rect 10321 8987 10379 8993
+rect 10778 8984 10784 8996
+rect 10836 8984 10842 9036
+rect 7432 8928 9904 8956
+rect 7432 8916 7438 8928
+rect 4580 8792 6960 8820
+rect 4580 8780 4586 8792
+rect 7190 8780 7196 8832
+rect 7248 8820 7254 8832
+rect 9398 8820 9404 8832
+rect 7248 8792 9404 8820
+rect 7248 8780 7254 8792
+rect 9398 8780 9404 8792
+rect 9456 8780 9462 8832
+rect 9766 8820 9772 8832
+rect 9727 8792 9772 8820
+rect 9766 8780 9772 8792
+rect 9824 8780 9830 8832
+rect 1104 8730 12328 8752
+rect 1104 8678 2852 8730
+rect 2904 8678 2916 8730
+rect 2968 8678 2980 8730
+rect 3032 8678 3044 8730
+rect 3096 8678 6594 8730
+rect 6646 8678 6658 8730
+rect 6710 8678 6722 8730
+rect 6774 8678 6786 8730
+rect 6838 8678 10335 8730
+rect 10387 8678 10399 8730
+rect 10451 8678 10463 8730
+rect 10515 8678 10527 8730
+rect 10579 8678 12328 8730
+rect 1104 8656 12328 8678
+rect 4338 8616 4344 8628
+rect 4299 8588 4344 8616
+rect 4338 8576 4344 8588
+rect 4396 8576 4402 8628
+rect 4525 8619 4583 8625
+rect 4525 8585 4537 8619
+rect 4571 8616 4583 8619
+rect 4571 8588 4660 8616
+rect 4571 8585 4583 8588
+rect 4525 8579 4583 8585
+rect 4632 8548 4660 8588
+rect 5442 8576 5448 8628
+rect 5500 8616 5506 8628
+rect 5629 8619 5687 8625
+rect 5629 8616 5641 8619
+rect 5500 8588 5641 8616
+rect 5500 8576 5506 8588
+rect 5629 8585 5641 8588
+rect 5675 8616 5687 8619
+rect 9766 8616 9772 8628
+rect 5675 8588 9772 8616
+rect 5675 8585 5687 8588
+rect 5629 8579 5687 8585
+rect 9766 8576 9772 8588
+rect 9824 8576 9830 8628
+rect 7006 8548 7012 8560
+rect 4264 8520 4568 8548
+rect 4632 8520 6408 8548
+rect 6967 8520 7012 8548
+rect 2038 8480 2044 8492
+rect 1872 8452 2044 8480
+rect 1872 8421 1900 8452
+rect 2038 8440 2044 8452
+rect 2096 8440 2102 8492
+rect 3234 8480 3240 8492
+rect 2976 8452 3240 8480
+rect 1857 8415 1915 8421
+rect 1857 8381 1869 8415
+rect 1903 8381 1915 8415
+rect 1857 8375 1915 8381
+rect 1946 8372 1952 8424
+rect 2004 8412 2010 8424
+rect 2976 8421 3004 8452
+rect 3234 8440 3240 8452
+rect 3292 8440 3298 8492
+rect 4264 8489 4292 8520
+rect 4249 8483 4307 8489
+rect 4249 8480 4261 8483
+rect 3896 8452 4261 8480
+rect 2961 8415 3019 8421
+rect 2004 8384 2049 8412
+rect 2004 8372 2010 8384
+rect 2961 8381 2973 8415
+rect 3007 8381 3019 8415
+rect 2961 8375 3019 8381
+rect 3145 8415 3203 8421
+rect 3145 8381 3157 8415
+rect 3191 8412 3203 8415
+rect 3896 8412 3924 8452
+rect 4249 8449 4261 8452
+rect 4295 8449 4307 8483
+rect 4249 8443 4307 8449
+rect 3191 8384 3924 8412
+rect 4157 8415 4215 8421
+rect 3191 8381 3203 8384
+rect 3145 8375 3203 8381
+rect 4157 8381 4169 8415
+rect 4203 8381 4215 8415
+rect 4540 8412 4568 8520
+rect 4982 8440 4988 8492
+rect 5040 8480 5046 8492
+rect 6380 8480 6408 8520
+rect 7006 8508 7012 8520
+rect 7064 8508 7070 8560
+rect 9858 8548 9864 8560
+rect 9048 8520 9864 8548
+rect 7282 8480 7288 8492
+rect 5040 8452 5948 8480
+rect 6380 8452 7288 8480
+rect 5040 8440 5046 8452
+rect 5166 8412 5172 8424
+rect 4540 8384 5172 8412
+rect 4157 8375 4215 8381
+rect 2130 8344 2136 8356
+rect 2091 8316 2136 8344
+rect 2130 8304 2136 8316
+rect 2188 8304 2194 8356
+rect 3329 8347 3387 8353
+rect 3329 8313 3341 8347
+rect 3375 8344 3387 8347
+rect 3786 8344 3792 8356
+rect 3375 8316 3792 8344
+rect 3375 8313 3387 8316
+rect 3329 8307 3387 8313
+rect 3786 8304 3792 8316
+rect 3844 8304 3850 8356
+rect 4172 8344 4200 8375
+rect 5166 8372 5172 8384
+rect 5224 8372 5230 8424
+rect 5920 8421 5948 8452
+rect 7282 8440 7288 8452
+rect 7340 8440 7346 8492
+rect 8018 8440 8024 8492
+rect 8076 8480 8082 8492
+rect 9048 8489 9076 8520
+rect 9858 8508 9864 8520
+rect 9916 8508 9922 8560
+rect 9033 8483 9091 8489
+rect 9033 8480 9045 8483
+rect 8076 8452 9045 8480
+rect 8076 8440 8082 8452
+rect 9033 8449 9045 8452
+rect 9079 8449 9091 8483
+rect 9033 8443 9091 8449
+rect 9766 8440 9772 8492
+rect 9824 8480 9830 8492
+rect 10505 8483 10563 8489
+rect 10505 8480 10517 8483
+rect 9824 8452 10517 8480
+rect 9824 8440 9830 8452
+rect 10505 8449 10517 8452
+rect 10551 8449 10563 8483
+rect 10505 8443 10563 8449
+rect 5905 8415 5963 8421
+rect 5905 8381 5917 8415
+rect 5951 8381 5963 8415
+rect 5905 8375 5963 8381
+rect 6178 8372 6184 8424
+rect 6236 8412 6242 8424
+rect 6917 8415 6975 8421
+rect 6917 8412 6929 8415
+rect 6236 8384 6929 8412
+rect 6236 8372 6242 8384
+rect 6917 8381 6929 8384
+rect 6963 8381 6975 8415
+rect 6917 8375 6975 8381
+rect 7193 8415 7251 8421
+rect 7193 8381 7205 8415
+rect 7239 8381 7251 8415
+rect 7193 8375 7251 8381
+rect 4706 8344 4712 8356
+rect 4172 8316 4712 8344
+rect 4706 8304 4712 8316
+rect 4764 8304 4770 8356
+rect 5445 8347 5503 8353
+rect 5445 8313 5457 8347
+rect 5491 8344 5503 8347
+rect 5534 8344 5540 8356
+rect 5491 8316 5540 8344
+rect 5491 8313 5503 8316
+rect 5445 8307 5503 8313
+rect 5534 8304 5540 8316
+rect 5592 8304 5598 8356
+rect 5718 8304 5724 8356
+rect 5776 8344 5782 8356
+rect 7208 8344 7236 8375
+rect 8294 8372 8300 8424
+rect 8352 8412 8358 8424
+rect 8481 8415 8539 8421
+rect 8481 8412 8493 8415
+rect 8352 8384 8493 8412
+rect 8352 8372 8358 8384
+rect 8481 8381 8493 8384
+rect 8527 8381 8539 8415
+rect 8481 8375 8539 8381
+rect 8941 8415 8999 8421
+rect 8941 8381 8953 8415
+rect 8987 8381 8999 8415
+rect 10045 8415 10103 8421
+rect 10045 8412 10057 8415
+rect 8941 8375 8999 8381
+rect 9968 8384 10057 8412
+rect 5776 8316 7236 8344
+rect 5776 8304 5782 8316
+rect 7558 8304 7564 8356
+rect 7616 8344 7622 8356
+rect 7653 8347 7711 8353
+rect 7653 8344 7665 8347
+rect 7616 8316 7665 8344
+rect 7616 8304 7622 8316
+rect 7653 8313 7665 8316
+rect 7699 8313 7711 8347
+rect 7653 8307 7711 8313
+rect 8110 8304 8116 8356
+rect 8168 8344 8174 8356
+rect 8956 8344 8984 8375
+rect 8168 8316 8984 8344
+rect 8168 8304 8174 8316
+rect 9968 8288 9996 8384
+rect 10045 8381 10057 8384
+rect 10091 8381 10103 8415
+rect 10045 8375 10103 8381
+rect 10413 8415 10471 8421
+rect 10413 8381 10425 8415
+rect 10459 8381 10471 8415
+rect 10413 8375 10471 8381
+rect 10428 8344 10456 8375
+rect 10778 8344 10784 8356
+rect 10428 8316 10784 8344
+rect 10778 8304 10784 8316
+rect 10836 8344 10842 8356
+rect 12066 8344 12072 8356
+rect 10836 8316 12072 8344
+rect 10836 8304 10842 8316
+rect 12066 8304 12072 8316
+rect 12124 8304 12130 8356
+rect 1854 8236 1860 8288
+rect 1912 8276 1918 8288
+rect 5629 8279 5687 8285
+rect 5629 8276 5641 8279
+rect 1912 8248 5641 8276
+rect 1912 8236 1918 8248
+rect 5629 8245 5641 8248
+rect 5675 8276 5687 8279
+rect 7098 8276 7104 8288
+rect 5675 8248 7104 8276
+rect 5675 8245 5687 8248
+rect 5629 8239 5687 8245
+rect 7098 8236 7104 8248
+rect 7156 8236 7162 8288
+rect 9950 8236 9956 8288
+rect 10008 8236 10014 8288
+rect 10042 8236 10048 8288
+rect 10100 8276 10106 8288
+rect 10137 8279 10195 8285
+rect 10137 8276 10149 8279
+rect 10100 8248 10149 8276
+rect 10100 8236 10106 8248
+rect 10137 8245 10149 8248
+rect 10183 8245 10195 8279
+rect 10137 8239 10195 8245
+rect 1104 8186 12328 8208
+rect 1104 8134 4723 8186
+rect 4775 8134 4787 8186
+rect 4839 8134 4851 8186
+rect 4903 8134 4915 8186
+rect 4967 8134 8464 8186
+rect 8516 8134 8528 8186
+rect 8580 8134 8592 8186
+rect 8644 8134 8656 8186
+rect 8708 8134 12328 8186
+rect 1104 8112 12328 8134
+rect 4338 8032 4344 8084
+rect 4396 8072 4402 8084
+rect 5169 8075 5227 8081
+rect 5169 8072 5181 8075
+rect 4396 8044 5181 8072
+rect 4396 8032 4402 8044
+rect 5169 8041 5181 8044
+rect 5215 8041 5227 8075
+rect 9950 8072 9956 8084
+rect 5169 8035 5227 8041
+rect 7484 8044 9956 8072
+rect 3234 8004 3240 8016
+rect 2884 7976 3240 8004
+rect 1670 7936 1676 7948
+rect 1631 7908 1676 7936
+rect 1670 7896 1676 7908
+rect 1728 7896 1734 7948
+rect 1854 7936 1860 7948
+rect 1815 7908 1860 7936
+rect 1854 7896 1860 7908
+rect 1912 7896 1918 7948
+rect 2884 7945 2912 7976
+rect 3234 7964 3240 7976
+rect 3292 8004 3298 8016
+rect 3694 8004 3700 8016
+rect 3292 7976 3700 8004
+rect 3292 7964 3298 7976
+rect 3694 7964 3700 7976
+rect 3752 7964 3758 8016
+rect 3786 7964 3792 8016
+rect 3844 8004 3850 8016
+rect 5350 8004 5356 8016
+rect 3844 7976 5356 8004
+rect 3844 7964 3850 7976
+rect 5350 7964 5356 7976
+rect 5408 7964 5414 8016
+rect 7006 8004 7012 8016
+rect 5828 7976 7012 8004
+rect 2869 7939 2927 7945
+rect 2869 7905 2881 7939
+rect 2915 7905 2927 7939
+rect 2869 7899 2927 7905
+rect 3053 7939 3111 7945
+rect 3053 7905 3065 7939
+rect 3099 7936 3111 7939
+rect 3418 7936 3424 7948
+rect 3099 7908 3424 7936
+rect 3099 7905 3111 7908
+rect 3053 7899 3111 7905
+rect 3418 7896 3424 7908
+rect 3476 7896 3482 7948
+rect 4246 7896 4252 7948
+rect 4304 7936 4310 7948
+rect 4982 7936 4988 7948
+rect 4304 7908 4844 7936
+rect 4895 7908 4988 7936
+rect 4304 7896 4310 7908
+rect 1949 7871 2007 7877
+rect 1949 7837 1961 7871
+rect 1995 7868 2007 7871
+rect 4522 7868 4528 7880
+rect 1995 7840 4528 7868
+rect 1995 7837 2007 7840
+rect 1949 7831 2007 7837
+rect 4522 7828 4528 7840
+rect 4580 7828 4586 7880
+rect 4816 7877 4844 7908
+rect 4982 7896 4988 7908
+rect 5040 7936 5046 7948
+rect 5828 7936 5856 7976
+rect 7006 7964 7012 7976
+rect 7064 7964 7070 8016
+rect 5040 7908 5856 7936
+rect 6273 7939 6331 7945
+rect 5040 7896 5046 7908
+rect 6273 7905 6285 7939
+rect 6319 7936 6331 7939
+rect 6822 7936 6828 7948
+rect 6319 7908 6828 7936
+rect 6319 7905 6331 7908
+rect 6273 7899 6331 7905
+rect 6822 7896 6828 7908
+rect 6880 7936 6886 7948
+rect 7484 7936 7512 8044
+rect 9950 8032 9956 8044
+rect 10008 8032 10014 8084
+rect 8110 8004 8116 8016
+rect 8071 7976 8116 8004
+rect 8110 7964 8116 7976
+rect 8168 7964 8174 8016
+rect 9030 7964 9036 8016
+rect 9088 8004 9094 8016
+rect 9677 8007 9735 8013
+rect 9677 8004 9689 8007
+rect 9088 7976 9689 8004
+rect 9088 7964 9094 7976
+rect 9677 7973 9689 7976
+rect 9723 7973 9735 8007
+rect 10226 8004 10232 8016
+rect 10187 7976 10232 8004
+rect 9677 7967 9735 7973
+rect 10226 7964 10232 7976
+rect 10284 7964 10290 8016
+rect 6880 7908 7512 7936
+rect 7561 7939 7619 7945
+rect 6880 7896 6886 7908
+rect 7561 7905 7573 7939
+rect 7607 7905 7619 7939
+rect 7561 7899 7619 7905
+rect 4801 7871 4859 7877
+rect 4801 7837 4813 7871
+rect 4847 7868 4859 7871
+rect 5442 7868 5448 7880
+rect 4847 7840 5448 7868
+rect 4847 7837 4859 7840
+rect 4801 7831 4859 7837
+rect 5442 7828 5448 7840
+rect 5500 7828 5506 7880
+rect 6089 7871 6147 7877
+rect 6089 7837 6101 7871
+rect 6135 7868 6147 7871
+rect 6362 7868 6368 7880
+rect 6135 7840 6368 7868
+rect 6135 7837 6147 7840
+rect 6089 7831 6147 7837
+rect 6362 7828 6368 7840
+rect 6420 7828 6426 7880
+rect 7576 7868 7604 7899
+rect 7650 7896 7656 7948
+rect 7708 7936 7714 7948
+rect 9861 7939 9919 7945
+rect 9861 7936 9873 7939
+rect 7708 7908 7753 7936
+rect 9784 7908 9873 7936
+rect 7708 7896 7714 7908
+rect 7834 7868 7840 7880
+rect 7576 7840 7840 7868
+rect 7834 7828 7840 7840
+rect 7892 7828 7898 7880
+rect 9490 7828 9496 7880
+rect 9548 7868 9554 7880
+rect 9784 7868 9812 7908
+rect 9861 7905 9873 7908
+rect 9907 7905 9919 7939
+rect 11054 7936 11060 7948
+rect 11015 7908 11060 7936
+rect 9861 7899 9919 7905
+rect 11054 7896 11060 7908
+rect 11112 7896 11118 7948
+rect 9548 7840 9812 7868
+rect 9548 7828 9554 7840
+rect 3053 7803 3111 7809
+rect 3053 7769 3065 7803
+rect 3099 7800 3111 7803
+rect 3970 7800 3976 7812
+rect 3099 7772 3976 7800
+rect 3099 7769 3111 7772
+rect 3053 7763 3111 7769
+rect 3970 7760 3976 7772
+rect 4028 7760 4034 7812
+rect 4338 7760 4344 7812
+rect 4396 7800 4402 7812
+rect 4982 7800 4988 7812
+rect 4396 7772 4988 7800
+rect 4396 7760 4402 7772
+rect 4982 7760 4988 7772
+rect 5040 7760 5046 7812
+rect 6457 7803 6515 7809
+rect 6457 7769 6469 7803
+rect 6503 7800 6515 7803
+rect 9582 7800 9588 7812
+rect 6503 7772 9588 7800
+rect 6503 7769 6515 7772
+rect 6457 7763 6515 7769
+rect 9582 7760 9588 7772
+rect 9640 7760 9646 7812
+rect 2222 7692 2228 7744
+rect 2280 7732 2286 7744
+rect 5534 7732 5540 7744
+rect 2280 7704 5540 7732
+rect 2280 7692 2286 7704
+rect 5534 7692 5540 7704
+rect 5592 7692 5598 7744
+rect 5626 7692 5632 7744
+rect 5684 7732 5690 7744
+rect 5810 7732 5816 7744
+rect 5684 7704 5816 7732
+rect 5684 7692 5690 7704
+rect 5810 7692 5816 7704
+rect 5868 7692 5874 7744
+rect 5902 7692 5908 7744
+rect 5960 7732 5966 7744
+rect 6178 7732 6184 7744
+rect 5960 7704 6184 7732
+rect 5960 7692 5966 7704
+rect 6178 7692 6184 7704
+rect 6236 7692 6242 7744
+rect 7377 7735 7435 7741
+rect 7377 7701 7389 7735
+rect 7423 7732 7435 7735
+rect 7558 7732 7564 7744
+rect 7423 7704 7564 7732
+rect 7423 7701 7435 7704
+rect 7377 7695 7435 7701
+rect 7558 7692 7564 7704
+rect 7616 7692 7622 7744
+rect 9950 7692 9956 7744
+rect 10008 7732 10014 7744
+rect 10134 7732 10140 7744
+rect 10008 7704 10140 7732
+rect 10008 7692 10014 7704
+rect 10134 7692 10140 7704
+rect 10192 7692 10198 7744
+rect 10778 7692 10784 7744
+rect 10836 7732 10842 7744
+rect 11241 7735 11299 7741
+rect 11241 7732 11253 7735
+rect 10836 7704 11253 7732
+rect 10836 7692 10842 7704
+rect 11241 7701 11253 7704
+rect 11287 7701 11299 7735
+rect 11241 7695 11299 7701
+rect 1104 7642 12328 7664
+rect 1104 7590 2852 7642
+rect 2904 7590 2916 7642
+rect 2968 7590 2980 7642
+rect 3032 7590 3044 7642
+rect 3096 7590 6594 7642
+rect 6646 7590 6658 7642
+rect 6710 7590 6722 7642
+rect 6774 7590 6786 7642
+rect 6838 7590 10335 7642
+rect 10387 7590 10399 7642
+rect 10451 7590 10463 7642
+rect 10515 7590 10527 7642
+rect 10579 7590 12328 7642
+rect 1104 7568 12328 7590
+rect 2130 7488 2136 7540
+rect 2188 7528 2194 7540
+rect 4246 7528 4252 7540
+rect 2188 7500 4252 7528
+rect 2188 7488 2194 7500
+rect 4246 7488 4252 7500
+rect 4304 7488 4310 7540
+rect 4614 7488 4620 7540
+rect 4672 7528 4678 7540
+rect 5534 7528 5540 7540
+rect 4672 7500 5540 7528
+rect 4672 7488 4678 7500
+rect 5534 7488 5540 7500
+rect 5592 7488 5598 7540
+rect 5994 7488 6000 7540
+rect 6052 7528 6058 7540
+rect 6270 7528 6276 7540
+rect 6052 7500 6276 7528
+rect 6052 7488 6058 7500
+rect 6270 7488 6276 7500
+rect 6328 7488 6334 7540
+rect 9217 7531 9275 7537
+rect 9217 7497 9229 7531
+rect 9263 7528 9275 7531
+rect 11054 7528 11060 7540
+rect 9263 7500 11060 7528
+rect 9263 7497 9275 7500
+rect 9217 7491 9275 7497
+rect 11054 7488 11060 7500
+rect 11112 7488 11118 7540
+rect 5810 7460 5816 7472
+rect 3436 7432 5816 7460
+rect 1670 7284 1676 7336
+rect 1728 7324 1734 7336
+rect 1949 7327 2007 7333
+rect 1949 7324 1961 7327
+rect 1728 7296 1961 7324
+rect 1728 7284 1734 7296
+rect 1949 7293 1961 7296
+rect 1995 7293 2007 7327
+rect 2222 7324 2228 7336
+rect 2183 7296 2228 7324
+rect 1949 7287 2007 7293
+rect 2222 7284 2228 7296
+rect 2280 7284 2286 7336
+rect 3234 7324 3240 7336
+rect 3195 7296 3240 7324
+rect 3234 7284 3240 7296
+rect 3292 7284 3298 7336
+rect 3436 7333 3464 7432
+rect 5810 7420 5816 7432
+rect 5868 7420 5874 7472
+rect 5905 7463 5963 7469
+rect 5905 7429 5917 7463
+rect 5951 7460 5963 7463
+rect 7374 7460 7380 7472
+rect 5951 7432 7380 7460
+rect 5951 7429 5963 7432
+rect 5905 7423 5963 7429
+rect 7374 7420 7380 7432
+rect 7432 7420 7438 7472
+rect 8202 7460 8208 7472
+rect 7668 7432 8208 7460
+rect 4709 7395 4767 7401
+rect 4709 7361 4721 7395
+rect 4755 7392 4767 7395
+rect 7190 7392 7196 7404
+rect 4755 7364 7196 7392
+rect 4755 7361 4767 7364
+rect 4709 7355 4767 7361
+rect 7190 7352 7196 7364
+rect 7248 7352 7254 7404
+rect 7668 7392 7696 7432
+rect 8202 7420 8208 7432
+rect 8260 7420 8266 7472
+rect 7834 7392 7840 7404
+rect 7392 7364 7696 7392
+rect 7747 7364 7840 7392
+rect 7392 7336 7420 7364
+rect 7834 7352 7840 7364
+rect 7892 7392 7898 7404
+rect 9950 7392 9956 7404
+rect 7892 7364 9956 7392
+rect 7892 7352 7898 7364
+rect 9950 7352 9956 7364
+rect 10008 7352 10014 7404
+rect 10134 7392 10140 7404
+rect 10095 7364 10140 7392
+rect 10134 7352 10140 7364
+rect 10192 7352 10198 7404
+rect 3421 7327 3479 7333
+rect 3421 7293 3433 7327
+rect 3467 7293 3479 7327
+rect 3421 7287 3479 7293
+rect 4433 7327 4491 7333
+rect 4433 7293 4445 7327
+rect 4479 7293 4491 7327
+rect 4433 7287 4491 7293
+rect 4617 7327 4675 7333
+rect 4617 7293 4629 7327
+rect 4663 7324 4675 7327
+rect 4982 7324 4988 7336
+rect 4663 7296 4988 7324
+rect 4663 7293 4675 7296
+rect 4617 7287 4675 7293
+rect 2314 7256 2320 7268
+rect 2275 7228 2320 7256
+rect 2314 7216 2320 7228
+rect 2372 7216 2378 7268
+rect 3421 7191 3479 7197
+rect 3421 7157 3433 7191
+rect 3467 7188 3479 7191
+rect 4062 7188 4068 7200
+rect 3467 7160 4068 7188
+rect 3467 7157 3479 7160
+rect 3421 7151 3479 7157
+rect 4062 7148 4068 7160
+rect 4120 7148 4126 7200
+rect 4448 7188 4476 7287
+rect 4982 7284 4988 7296
+rect 5040 7284 5046 7336
+rect 5258 7284 5264 7336
+rect 5316 7324 5322 7336
+rect 5721 7327 5779 7333
+rect 5721 7324 5733 7327
+rect 5316 7296 5733 7324
+rect 5316 7284 5322 7296
+rect 5721 7293 5733 7296
+rect 5767 7293 5779 7327
+rect 7374 7324 7380 7336
+rect 7335 7296 7380 7324
+rect 5721 7287 5779 7293
+rect 7374 7284 7380 7296
+rect 7432 7284 7438 7336
+rect 7745 7327 7803 7333
+rect 7745 7293 7757 7327
+rect 7791 7293 7803 7327
+rect 7745 7287 7803 7293
+rect 5537 7259 5595 7265
+rect 5537 7225 5549 7259
+rect 5583 7256 5595 7259
+rect 6546 7256 6552 7268
+rect 5583 7228 6552 7256
+rect 5583 7225 5595 7228
+rect 5537 7219 5595 7225
+rect 6546 7216 6552 7228
+rect 6604 7216 6610 7268
+rect 7760 7256 7788 7287
+rect 8754 7284 8760 7336
+rect 8812 7324 8818 7336
+rect 8849 7327 8907 7333
+rect 8849 7324 8861 7327
+rect 8812 7296 8861 7324
+rect 8812 7284 8818 7296
+rect 8849 7293 8861 7296
+rect 8895 7293 8907 7327
+rect 9030 7324 9036 7336
+rect 8991 7296 9036 7324
+rect 8849 7287 8907 7293
+rect 9030 7284 9036 7296
+rect 9088 7284 9094 7336
+rect 10321 7327 10379 7333
+rect 10321 7293 10333 7327
+rect 10367 7324 10379 7327
+rect 10870 7324 10876 7336
+rect 10367 7296 10876 7324
+rect 10367 7293 10379 7296
+rect 10321 7287 10379 7293
+rect 10870 7284 10876 7296
+rect 10928 7284 10934 7336
+rect 7834 7256 7840 7268
+rect 7760 7228 7840 7256
+rect 7834 7216 7840 7228
+rect 7892 7216 7898 7268
+rect 8021 7259 8079 7265
+rect 8021 7225 8033 7259
+rect 8067 7256 8079 7259
+rect 8202 7256 8208 7268
+rect 8067 7228 8208 7256
+rect 8067 7225 8079 7228
+rect 8021 7219 8079 7225
+rect 8202 7216 8208 7228
+rect 8260 7216 8266 7268
+rect 5810 7188 5816 7200
+rect 4448 7160 5816 7188
+rect 5810 7148 5816 7160
+rect 5868 7148 5874 7200
+rect 10134 7148 10140 7200
+rect 10192 7188 10198 7200
+rect 10505 7191 10563 7197
+rect 10505 7188 10517 7191
+rect 10192 7160 10517 7188
+rect 10192 7148 10198 7160
+rect 10505 7157 10517 7160
+rect 10551 7157 10563 7191
+rect 10505 7151 10563 7157
+rect 1104 7098 12328 7120
+rect 1104 7046 4723 7098
+rect 4775 7046 4787 7098
+rect 4839 7046 4851 7098
+rect 4903 7046 4915 7098
+rect 4967 7046 8464 7098
+rect 8516 7046 8528 7098
+rect 8580 7046 8592 7098
+rect 8644 7046 8656 7098
+rect 8708 7046 12328 7098
+rect 1104 7024 12328 7046
+rect 3053 6987 3111 6993
+rect 3053 6953 3065 6987
+rect 3099 6984 3111 6987
+rect 3099 6956 3372 6984
+rect 3099 6953 3111 6956
+rect 3053 6947 3111 6953
+rect 3234 6916 3240 6928
+rect 2884 6888 3240 6916
+rect 1673 6851 1731 6857
+rect 1673 6817 1685 6851
+rect 1719 6848 1731 6851
+rect 1762 6848 1768 6860
+rect 1719 6820 1768 6848
+rect 1719 6817 1731 6820
+rect 1673 6811 1731 6817
+rect 1762 6808 1768 6820
+rect 1820 6808 1826 6860
+rect 1857 6851 1915 6857
+rect 1857 6817 1869 6851
+rect 1903 6817 1915 6851
+rect 1857 6811 1915 6817
+rect 1780 6712 1808 6808
+rect 1872 6780 1900 6811
+rect 1946 6808 1952 6860
+rect 2004 6848 2010 6860
+rect 2884 6857 2912 6888
+rect 3234 6876 3240 6888
+rect 3292 6876 3298 6928
+rect 3344 6916 3372 6956
+rect 3418 6944 3424 6996
+rect 3476 6984 3482 6996
+rect 10965 6987 11023 6993
+rect 10965 6984 10977 6987
+rect 3476 6956 10977 6984
+rect 3476 6944 3482 6956
+rect 10965 6953 10977 6956
+rect 11011 6953 11023 6987
+rect 10965 6947 11023 6953
+rect 4062 6916 4068 6928
+rect 3344 6888 4068 6916
+rect 4062 6876 4068 6888
+rect 4120 6876 4126 6928
+rect 4525 6919 4583 6925
+rect 4172 6888 4476 6916
+rect 2869 6851 2927 6857
+rect 2004 6820 2049 6848
+rect 2004 6808 2010 6820
+rect 2869 6817 2881 6851
+rect 2915 6817 2927 6851
+rect 2869 6811 2927 6817
+rect 3053 6851 3111 6857
+rect 3053 6817 3065 6851
+rect 3099 6848 3111 6851
+rect 3142 6848 3148 6860
+rect 3099 6820 3148 6848
+rect 3099 6817 3111 6820
+rect 3053 6811 3111 6817
+rect 3142 6808 3148 6820
+rect 3200 6808 3206 6860
+rect 4172 6857 4200 6888
+rect 4157 6851 4215 6857
+rect 4157 6817 4169 6851
+rect 4203 6817 4215 6851
+rect 4157 6811 4215 6817
+rect 4246 6808 4252 6860
+rect 4304 6848 4310 6860
+rect 4341 6851 4399 6857
+rect 4341 6848 4353 6851
+rect 4304 6820 4353 6848
+rect 4304 6808 4310 6820
+rect 4341 6817 4353 6820
+rect 4387 6817 4399 6851
+rect 4448 6848 4476 6888
+rect 4525 6885 4537 6919
+rect 4571 6916 4583 6919
+rect 4614 6916 4620 6928
+rect 4571 6888 4620 6916
+rect 4571 6885 4583 6888
+rect 4525 6879 4583 6885
+rect 4614 6876 4620 6888
+rect 4672 6876 4678 6928
+rect 5350 6916 5356 6928
+rect 5311 6888 5356 6916
+rect 5350 6876 5356 6888
+rect 5408 6876 5414 6928
+rect 6086 6876 6092 6928
+rect 6144 6916 6150 6928
+rect 6917 6919 6975 6925
+rect 6917 6916 6929 6919
+rect 6144 6888 6929 6916
+rect 6144 6876 6150 6888
+rect 6917 6885 6929 6888
+rect 6963 6885 6975 6919
+rect 10778 6916 10784 6928
+rect 6917 6879 6975 6885
+rect 9784 6888 10784 6916
+rect 5166 6848 5172 6860
+rect 4448 6820 5172 6848
+rect 4341 6811 4399 6817
+rect 5166 6808 5172 6820
+rect 5224 6808 5230 6860
+rect 5537 6851 5595 6857
+rect 5537 6817 5549 6851
+rect 5583 6848 5595 6851
+rect 5583 6820 5672 6848
+rect 5583 6817 5595 6820
+rect 5537 6811 5595 6817
+rect 5644 6792 5672 6820
+rect 6454 6808 6460 6860
+rect 6512 6808 6518 6860
+rect 6638 6848 6644 6860
+rect 6599 6820 6644 6848
+rect 6638 6808 6644 6820
+rect 6696 6808 6702 6860
+rect 6733 6851 6791 6857
+rect 6733 6817 6745 6851
+rect 6779 6817 6791 6851
+rect 7742 6848 7748 6860
+rect 7703 6820 7748 6848
+rect 6733 6811 6791 6817
+rect 4614 6780 4620 6792
+rect 1872 6752 4620 6780
+rect 4614 6740 4620 6752
+rect 4672 6740 4678 6792
+rect 5626 6740 5632 6792
+rect 5684 6740 5690 6792
+rect 6472 6780 6500 6808
+rect 6748 6780 6776 6811
+rect 7742 6808 7748 6820
+rect 7800 6808 7806 6860
+rect 7929 6851 7987 6857
+rect 7929 6817 7941 6851
+rect 7975 6848 7987 6851
+rect 8846 6848 8852 6860
+rect 7975 6820 8852 6848
+rect 7975 6817 7987 6820
+rect 7929 6811 7987 6817
+rect 8846 6808 8852 6820
+rect 8904 6808 8910 6860
+rect 9582 6808 9588 6860
+rect 9640 6808 9646 6860
+rect 9674 6808 9680 6860
+rect 9732 6808 9738 6860
+rect 9784 6857 9812 6888
+rect 10778 6876 10784 6888
+rect 10836 6876 10842 6928
+rect 9769 6851 9827 6857
+rect 9769 6817 9781 6851
+rect 9815 6817 9827 6851
+rect 9769 6811 9827 6817
+rect 9953 6851 10011 6857
+rect 9953 6817 9965 6851
+rect 9999 6817 10011 6851
+rect 10870 6848 10876 6860
+rect 10831 6820 10876 6848
+rect 9953 6811 10011 6817
+rect 6472 6752 6776 6780
+rect 8386 6740 8392 6792
+rect 8444 6780 8450 6792
+rect 9600 6780 9628 6808
+rect 9692 6780 9720 6808
+rect 8444 6752 9720 6780
+rect 9968 6780 9996 6811
+rect 10870 6808 10876 6820
+rect 10928 6808 10934 6860
+rect 11054 6848 11060 6860
+rect 11015 6820 11060 6848
+rect 11054 6808 11060 6820
+rect 11112 6808 11118 6860
+rect 11146 6780 11152 6792
+rect 9968 6752 11152 6780
+rect 8444 6740 8450 6752
+rect 3970 6712 3976 6724
+rect 1780 6684 3976 6712
+rect 3970 6672 3976 6684
+rect 4028 6672 4034 6724
+rect 8113 6715 8171 6721
+rect 8113 6681 8125 6715
+rect 8159 6712 8171 6715
+rect 9030 6712 9036 6724
+rect 8159 6684 9036 6712
+rect 8159 6681 8171 6684
+rect 8113 6675 8171 6681
+rect 9030 6672 9036 6684
+rect 9088 6672 9094 6724
+rect 9968 6712 9996 6752
+rect 11146 6740 11152 6752
+rect 11204 6740 11210 6792
+rect 9600 6684 9996 6712
+rect 3234 6604 3240 6656
+rect 3292 6644 3298 6656
+rect 5629 6647 5687 6653
+rect 5629 6644 5641 6647
+rect 3292 6616 5641 6644
+rect 3292 6604 3298 6616
+rect 5629 6613 5641 6616
+rect 5675 6613 5687 6647
+rect 5629 6607 5687 6613
+rect 7466 6604 7472 6656
+rect 7524 6644 7530 6656
+rect 7745 6647 7803 6653
+rect 7745 6644 7757 6647
+rect 7524 6616 7757 6644
+rect 7524 6604 7530 6616
+rect 7745 6613 7757 6616
+rect 7791 6613 7803 6647
+rect 7745 6607 7803 6613
+rect 8570 6604 8576 6656
+rect 8628 6644 8634 6656
+rect 9600 6644 9628 6684
+rect 9766 6644 9772 6656
+rect 8628 6616 9628 6644
+rect 9727 6616 9772 6644
+rect 8628 6604 8634 6616
+rect 9766 6604 9772 6616
+rect 9824 6604 9830 6656
+rect 1104 6554 12328 6576
+rect 1104 6502 2852 6554
+rect 2904 6502 2916 6554
+rect 2968 6502 2980 6554
+rect 3032 6502 3044 6554
+rect 3096 6502 6594 6554
+rect 6646 6502 6658 6554
+rect 6710 6502 6722 6554
+rect 6774 6502 6786 6554
+rect 6838 6502 10335 6554
+rect 10387 6502 10399 6554
+rect 10451 6502 10463 6554
+rect 10515 6502 10527 6554
+rect 10579 6502 12328 6554
+rect 1104 6480 12328 6502
+rect 3421 6443 3479 6449
+rect 3421 6409 3433 6443
+rect 3467 6440 3479 6443
+rect 5810 6440 5816 6452
+rect 3467 6412 5672 6440
+rect 5771 6412 5816 6440
+rect 3467 6409 3479 6412
+rect 3421 6403 3479 6409
+rect 3142 6332 3148 6384
+rect 3200 6372 3206 6384
+rect 4617 6375 4675 6381
+rect 4617 6372 4629 6375
+rect 3200 6344 4629 6372
+rect 3200 6332 3206 6344
+rect 4617 6341 4629 6344
+rect 4663 6341 4675 6375
+rect 5644 6372 5672 6412
+rect 5810 6400 5816 6412
+rect 5868 6400 5874 6452
+rect 7466 6440 7472 6452
+rect 7427 6412 7472 6440
+rect 7466 6400 7472 6412
+rect 7524 6400 7530 6452
+rect 9582 6400 9588 6452
+rect 9640 6440 9646 6452
+rect 9677 6443 9735 6449
+rect 9677 6440 9689 6443
+rect 9640 6412 9689 6440
+rect 9640 6400 9646 6412
+rect 9677 6409 9689 6412
+rect 9723 6409 9735 6443
+rect 9677 6403 9735 6409
+rect 8570 6372 8576 6384
+rect 5644 6344 8576 6372
+rect 4617 6335 4675 6341
+rect 8570 6332 8576 6344
+rect 8628 6332 8634 6384
+rect 8754 6372 8760 6384
+rect 8715 6344 8760 6372
+rect 8754 6332 8760 6344
+rect 8812 6332 8818 6384
+rect 9030 6332 9036 6384
+rect 9088 6372 9094 6384
+rect 9398 6372 9404 6384
+rect 9088 6344 9404 6372
+rect 9088 6332 9094 6344
+rect 9398 6332 9404 6344
+rect 9456 6372 9462 6384
+rect 10873 6375 10931 6381
+rect 10873 6372 10885 6375
+rect 9456 6344 10885 6372
+rect 9456 6332 9462 6344
+rect 10873 6341 10885 6344
+rect 10919 6341 10931 6375
+rect 10873 6335 10931 6341
+rect 2409 6307 2467 6313
+rect 2409 6273 2421 6307
+rect 2455 6304 2467 6307
+rect 2590 6304 2596 6316
+rect 2455 6276 2596 6304
+rect 2455 6273 2467 6276
+rect 2409 6267 2467 6273
+rect 2590 6264 2596 6276
+rect 2648 6264 2654 6316
+rect 4062 6264 4068 6316
+rect 4120 6304 4126 6316
+rect 7742 6304 7748 6316
+rect 4120 6276 7748 6304
+rect 4120 6264 4126 6276
+rect 1762 6236 1768 6248
+rect 1723 6208 1768 6236
+rect 1762 6196 1768 6208
+rect 1820 6196 1826 6248
+rect 3234 6236 3240 6248
+rect 3195 6208 3240 6236
+rect 3234 6196 3240 6208
+rect 3292 6196 3298 6248
+rect 3970 6196 3976 6248
+rect 4028 6236 4034 6248
+rect 4338 6236 4344 6248
+rect 4028 6208 4344 6236
+rect 4028 6196 4034 6208
+rect 4338 6196 4344 6208
+rect 4396 6196 4402 6248
+rect 4448 6245 4476 6276
+rect 7742 6264 7748 6276
+rect 7800 6304 7806 6316
+rect 7926 6304 7932 6316
+rect 7800 6276 7932 6304
+rect 7800 6264 7806 6276
+rect 7926 6264 7932 6276
+rect 7984 6264 7990 6316
+rect 10226 6264 10232 6316
+rect 10284 6304 10290 6316
+rect 10284 6276 11008 6304
+rect 10284 6264 10290 6276
+rect 4433 6239 4491 6245
+rect 4433 6205 4445 6239
+rect 4479 6205 4491 6239
+rect 4433 6199 4491 6205
+rect 4617 6239 4675 6245
+rect 4617 6205 4629 6239
+rect 4663 6205 4675 6239
+rect 5534 6236 5540 6248
+rect 5495 6208 5540 6236
+rect 4617 6199 4675 6205
+rect 4632 6100 4660 6199
+rect 5534 6196 5540 6208
+rect 5592 6196 5598 6248
+rect 5810 6196 5816 6248
+rect 5868 6236 5874 6248
+rect 7101 6239 7159 6245
+rect 7101 6236 7113 6239
+rect 5868 6208 7113 6236
+rect 5868 6196 5874 6208
+rect 7101 6205 7113 6208
+rect 7147 6205 7159 6239
+rect 7282 6236 7288 6248
+rect 7243 6208 7288 6236
+rect 7101 6199 7159 6205
+rect 7282 6196 7288 6208
+rect 7340 6196 7346 6248
+rect 8386 6236 8392 6248
+rect 8347 6208 8392 6236
+rect 8386 6196 8392 6208
+rect 8444 6196 8450 6248
+rect 8573 6239 8631 6245
+rect 8573 6205 8585 6239
+rect 8619 6236 8631 6239
+rect 8938 6236 8944 6248
+rect 8619 6208 8944 6236
+rect 8619 6205 8631 6208
+rect 8573 6199 8631 6205
+rect 8938 6196 8944 6208
+rect 8996 6196 9002 6248
+rect 9582 6236 9588 6248
+rect 9543 6208 9588 6236
+rect 9582 6196 9588 6208
+rect 9640 6196 9646 6248
+rect 9766 6236 9772 6248
+rect 9727 6208 9772 6236
+rect 9766 6196 9772 6208
+rect 9824 6196 9830 6248
+rect 10318 6196 10324 6248
+rect 10376 6236 10382 6248
+rect 10980 6245 11008 6276
+rect 10781 6239 10839 6245
+rect 10781 6236 10793 6239
+rect 10376 6208 10793 6236
+rect 10376 6196 10382 6208
+rect 10781 6205 10793 6208
+rect 10827 6205 10839 6239
+rect 10781 6199 10839 6205
+rect 10965 6239 11023 6245
+rect 10965 6205 10977 6239
+rect 11011 6205 11023 6239
+rect 10965 6199 11023 6205
+rect 5721 6171 5779 6177
+rect 5721 6137 5733 6171
+rect 5767 6168 5779 6171
+rect 6086 6168 6092 6180
+rect 5767 6140 6092 6168
+rect 5767 6137 5779 6140
+rect 5721 6131 5779 6137
+rect 6086 6128 6092 6140
+rect 6144 6128 6150 6180
+rect 7466 6128 7472 6180
+rect 7524 6168 7530 6180
+rect 10870 6168 10876 6180
+rect 7524 6140 10876 6168
+rect 7524 6128 7530 6140
+rect 10870 6128 10876 6140
+rect 10928 6128 10934 6180
+rect 7374 6100 7380 6112
+rect 4632 6072 7380 6100
+rect 7374 6060 7380 6072
+rect 7432 6060 7438 6112
+rect 1104 6010 12328 6032
+rect 1104 5958 4723 6010
+rect 4775 5958 4787 6010
+rect 4839 5958 4851 6010
+rect 4903 5958 4915 6010
+rect 4967 5958 8464 6010
+rect 8516 5958 8528 6010
+rect 8580 5958 8592 6010
+rect 8644 5958 8656 6010
+rect 8708 5958 12328 6010
+rect 1104 5936 12328 5958
+rect 5718 5856 5724 5908
+rect 5776 5896 5782 5908
+rect 9769 5899 9827 5905
+rect 9769 5896 9781 5899
+rect 5776 5868 5948 5896
+rect 5776 5856 5782 5868
+rect 3326 5828 3332 5840
+rect 2884 5800 3332 5828
+rect 2884 5769 2912 5800
+rect 3326 5788 3332 5800
+rect 3384 5788 3390 5840
+rect 5534 5828 5540 5840
+rect 4540 5800 5540 5828
+rect 2869 5763 2927 5769
+rect 2869 5729 2881 5763
+rect 2915 5729 2927 5763
+rect 2869 5723 2927 5729
+rect 2961 5763 3019 5769
+rect 2961 5729 2973 5763
+rect 3007 5729 3019 5763
+rect 4338 5760 4344 5772
+rect 4299 5732 4344 5760
+rect 2961 5723 3019 5729
+rect 2590 5652 2596 5704
+rect 2648 5692 2654 5704
+rect 2976 5692 3004 5723
+rect 4338 5720 4344 5732
+rect 4396 5720 4402 5772
+rect 4540 5769 4568 5800
+rect 5534 5788 5540 5800
+rect 5592 5788 5598 5840
+rect 5810 5828 5816 5840
+rect 5771 5800 5816 5828
+rect 5810 5788 5816 5800
+rect 5868 5788 5874 5840
+rect 4525 5763 4583 5769
+rect 4525 5729 4537 5763
+rect 4571 5729 4583 5763
+rect 4525 5723 4583 5729
+rect 5074 5720 5080 5772
+rect 5132 5760 5138 5772
+rect 5442 5760 5448 5772
+rect 5132 5732 5448 5760
+rect 5132 5720 5138 5732
+rect 5442 5720 5448 5732
+rect 5500 5720 5506 5772
+rect 5629 5763 5687 5769
+rect 5629 5729 5641 5763
+rect 5675 5760 5687 5763
+rect 5920 5760 5948 5868
+rect 7116 5868 9781 5896
+rect 5994 5788 6000 5840
+rect 6052 5828 6058 5840
+rect 7009 5831 7067 5837
+rect 7009 5828 7021 5831
+rect 6052 5800 7021 5828
+rect 6052 5788 6058 5800
+rect 7009 5797 7021 5800
+rect 7055 5797 7067 5831
+rect 7009 5791 7067 5797
+rect 6641 5763 6699 5769
+rect 6641 5760 6653 5763
+rect 5675 5732 5856 5760
+rect 5920 5732 6653 5760
+rect 5675 5729 5687 5732
+rect 5629 5723 5687 5729
+rect 2648 5664 3004 5692
+rect 3145 5695 3203 5701
+rect 2648 5652 2654 5664
+rect 3145 5661 3157 5695
+rect 3191 5692 3203 5695
+rect 5718 5692 5724 5704
+rect 3191 5664 5724 5692
+rect 3191 5661 3203 5664
+rect 3145 5655 3203 5661
+rect 5718 5652 5724 5664
+rect 5776 5652 5782 5704
+rect 5828 5692 5856 5732
+rect 6641 5729 6653 5732
+rect 6687 5729 6699 5763
+rect 6822 5760 6828 5772
+rect 6783 5732 6828 5760
+rect 6641 5723 6699 5729
+rect 6822 5720 6828 5732
+rect 6880 5720 6886 5772
+rect 6730 5692 6736 5704
+rect 5828 5664 6736 5692
+rect 6730 5652 6736 5664
+rect 6788 5652 6794 5704
+rect 4154 5584 4160 5636
+rect 4212 5624 4218 5636
+rect 4525 5627 4583 5633
+rect 4525 5624 4537 5627
+rect 4212 5596 4537 5624
+rect 4212 5584 4218 5596
+rect 4525 5593 4537 5596
+rect 4571 5624 4583 5627
+rect 5810 5624 5816 5636
+rect 4571 5596 5816 5624
+rect 4571 5593 4583 5596
+rect 4525 5587 4583 5593
+rect 5810 5584 5816 5596
+rect 5868 5584 5874 5636
+rect 5902 5584 5908 5636
+rect 5960 5624 5966 5636
+rect 7116 5624 7144 5868
+rect 9769 5865 9781 5868
+rect 9815 5865 9827 5899
+rect 9769 5859 9827 5865
+rect 8481 5831 8539 5837
+rect 8481 5797 8493 5831
+rect 8527 5828 8539 5831
+rect 9582 5828 9588 5840
+rect 8527 5800 9588 5828
+rect 8527 5797 8539 5800
+rect 8481 5791 8539 5797
+rect 9582 5788 9588 5800
+rect 9640 5788 9646 5840
+rect 8110 5760 8116 5772
+rect 8071 5732 8116 5760
+rect 8110 5720 8116 5732
+rect 8168 5720 8174 5772
+rect 8389 5763 8447 5769
+rect 8389 5729 8401 5763
+rect 8435 5760 8447 5763
+rect 9122 5760 9128 5772
+rect 8435 5732 9128 5760
+rect 8435 5729 8447 5732
+rect 8389 5723 8447 5729
+rect 9122 5720 9128 5732
+rect 9180 5720 9186 5772
+rect 9766 5760 9772 5772
+rect 9727 5732 9772 5760
+rect 9766 5720 9772 5732
+rect 9824 5720 9830 5772
+rect 9861 5763 9919 5769
+rect 9861 5729 9873 5763
+rect 9907 5729 9919 5763
+rect 9861 5723 9919 5729
+rect 7834 5652 7840 5704
+rect 7892 5692 7898 5704
+rect 9876 5692 9904 5723
+rect 10686 5720 10692 5772
+rect 10744 5760 10750 5772
+rect 10873 5763 10931 5769
+rect 10873 5760 10885 5763
+rect 10744 5732 10885 5760
+rect 10744 5720 10750 5732
+rect 10873 5729 10885 5732
+rect 10919 5729 10931 5763
+rect 10873 5723 10931 5729
+rect 10962 5720 10968 5772
+rect 11020 5760 11026 5772
+rect 11057 5763 11115 5769
+rect 11057 5760 11069 5763
+rect 11020 5732 11069 5760
+rect 11020 5720 11026 5732
+rect 11057 5729 11069 5732
+rect 11103 5729 11115 5763
+rect 11057 5723 11115 5729
+rect 7892 5664 9904 5692
+rect 7892 5652 7898 5664
+rect 8128 5636 8156 5664
+rect 5960 5596 7144 5624
+rect 5960 5584 5966 5596
+rect 8110 5584 8116 5636
+rect 8168 5584 8174 5636
+rect 9306 5584 9312 5636
+rect 9364 5624 9370 5636
+rect 10965 5627 11023 5633
+rect 10965 5624 10977 5627
+rect 9364 5596 10977 5624
+rect 9364 5584 9370 5596
+rect 10965 5593 10977 5596
+rect 11011 5593 11023 5627
+rect 10965 5587 11023 5593
+rect 1104 5466 12328 5488
+rect 1104 5414 2852 5466
+rect 2904 5414 2916 5466
+rect 2968 5414 2980 5466
+rect 3032 5414 3044 5466
+rect 3096 5414 6594 5466
+rect 6646 5414 6658 5466
+rect 6710 5414 6722 5466
+rect 6774 5414 6786 5466
+rect 6838 5414 10335 5466
+rect 10387 5414 10399 5466
+rect 10451 5414 10463 5466
+rect 10515 5414 10527 5466
+rect 10579 5414 12328 5466
+rect 1104 5392 12328 5414
+rect 7098 5312 7104 5364
+rect 7156 5352 7162 5364
+rect 7653 5355 7711 5361
+rect 7653 5352 7665 5355
+rect 7156 5324 7665 5352
+rect 7156 5312 7162 5324
+rect 7653 5321 7665 5324
+rect 7699 5321 7711 5355
+rect 7653 5315 7711 5321
+rect 9766 5312 9772 5364
+rect 9824 5352 9830 5364
+rect 10229 5355 10287 5361
+rect 10229 5352 10241 5355
+rect 9824 5324 10241 5352
+rect 9824 5312 9830 5324
+rect 10229 5321 10241 5324
+rect 10275 5321 10287 5355
+rect 10229 5315 10287 5321
+rect 4614 5284 4620 5296
+rect 4575 5256 4620 5284
+rect 4614 5244 4620 5256
+rect 4672 5244 4678 5296
+rect 6086 5284 6092 5296
+rect 5644 5256 6092 5284
+rect 1946 5176 1952 5228
+rect 2004 5216 2010 5228
+rect 2004 5188 4660 5216
+rect 2004 5176 2010 5188
+rect 3252 5157 3280 5188
+rect 3237 5151 3295 5157
+rect 3237 5117 3249 5151
+rect 3283 5117 3295 5151
+rect 3237 5111 3295 5117
+rect 3421 5151 3479 5157
+rect 3421 5117 3433 5151
+rect 3467 5148 3479 5151
+rect 4246 5148 4252 5160
+rect 3467 5120 4252 5148
+rect 3467 5117 3479 5120
+rect 3421 5111 3479 5117
+rect 4246 5108 4252 5120
+rect 4304 5108 4310 5160
+rect 4430 5148 4436 5160
+rect 4391 5120 4436 5148
+rect 4430 5108 4436 5120
+rect 4488 5108 4494 5160
+rect 4632 5157 4660 5188
+rect 4617 5151 4675 5157
+rect 4617 5117 4629 5151
+rect 4663 5148 4675 5151
+rect 4706 5148 4712 5160
+rect 4663 5120 4712 5148
+rect 4663 5117 4675 5120
+rect 4617 5111 4675 5117
+rect 4706 5108 4712 5120
+rect 4764 5108 4770 5160
+rect 5644 5157 5672 5256
+rect 6086 5244 6092 5256
+rect 6144 5244 6150 5296
+rect 8846 5244 8852 5296
+rect 8904 5284 8910 5296
+rect 9033 5287 9091 5293
+rect 9033 5284 9045 5287
+rect 8904 5256 9045 5284
+rect 8904 5244 8910 5256
+rect 9033 5253 9045 5256
+rect 9079 5253 9091 5287
+rect 9033 5247 9091 5253
+rect 5905 5219 5963 5225
+rect 5905 5185 5917 5219
+rect 5951 5216 5963 5219
+rect 6914 5216 6920 5228
+rect 5951 5188 6920 5216
+rect 5951 5185 5963 5188
+rect 5905 5179 5963 5185
+rect 6914 5176 6920 5188
+rect 6972 5176 6978 5228
+rect 9674 5216 9680 5228
+rect 7852 5188 9680 5216
+rect 5629 5151 5687 5157
+rect 5629 5117 5641 5151
+rect 5675 5117 5687 5151
+rect 5629 5111 5687 5117
+rect 5813 5151 5871 5157
+rect 5813 5117 5825 5151
+rect 5859 5148 5871 5151
+rect 6454 5148 6460 5160
+rect 5859 5120 6460 5148
+rect 5859 5117 5871 5120
+rect 5813 5111 5871 5117
+rect 6454 5108 6460 5120
+rect 6512 5108 6518 5160
+rect 7190 5108 7196 5160
+rect 7248 5148 7254 5160
+rect 7852 5157 7880 5188
+rect 9674 5176 9680 5188
+rect 9732 5176 9738 5228
+rect 7561 5151 7619 5157
+rect 7561 5148 7573 5151
+rect 7248 5120 7573 5148
+rect 7248 5108 7254 5120
+rect 7561 5117 7573 5120
+rect 7607 5117 7619 5151
+rect 7561 5111 7619 5117
+rect 7837 5151 7895 5157
+rect 7837 5117 7849 5151
+rect 7883 5117 7895 5151
+rect 7837 5111 7895 5117
+rect 8662 5108 8668 5160
+rect 8720 5148 8726 5160
+rect 8757 5151 8815 5157
+rect 8757 5148 8769 5151
+rect 8720 5120 8769 5148
+rect 8720 5108 8726 5120
+rect 8757 5117 8769 5120
+rect 8803 5117 8815 5151
+rect 8938 5148 8944 5160
+rect 8899 5120 8944 5148
+rect 8757 5111 8815 5117
+rect 3513 5083 3571 5089
+rect 3513 5049 3525 5083
+rect 3559 5080 3571 5083
+rect 6178 5080 6184 5092
+rect 3559 5052 6184 5080
+rect 3559 5049 3571 5052
+rect 3513 5043 3571 5049
+rect 6178 5040 6184 5052
+rect 6236 5040 6242 5092
+rect 8772 5080 8800 5111
+rect 8938 5108 8944 5120
+rect 8996 5108 9002 5160
+rect 10134 5148 10140 5160
+rect 10095 5120 10140 5148
+rect 10134 5108 10140 5120
+rect 10192 5108 10198 5160
+rect 9398 5080 9404 5092
+rect 8772 5052 9404 5080
+rect 9398 5040 9404 5052
+rect 9456 5040 9462 5092
+rect 9953 5083 10011 5089
+rect 9953 5049 9965 5083
+rect 9999 5080 10011 5083
+rect 10042 5080 10048 5092
+rect 9999 5052 10048 5080
+rect 9999 5049 10011 5052
+rect 9953 5043 10011 5049
+rect 10042 5040 10048 5052
+rect 10100 5040 10106 5092
+rect 1104 4922 12328 4944
+rect 1104 4870 4723 4922
+rect 4775 4870 4787 4922
+rect 4839 4870 4851 4922
+rect 4903 4870 4915 4922
+rect 4967 4870 8464 4922
+rect 8516 4870 8528 4922
+rect 8580 4870 8592 4922
+rect 8644 4870 8656 4922
+rect 8708 4870 12328 4922
+rect 1104 4848 12328 4870
+rect 5534 4768 5540 4820
+rect 5592 4808 5598 4820
+rect 6273 4811 6331 4817
+rect 6273 4808 6285 4811
+rect 5592 4780 6285 4808
+rect 5592 4768 5598 4780
+rect 6273 4777 6285 4780
+rect 6319 4777 6331 4811
+rect 6273 4771 6331 4777
+rect 7653 4811 7711 4817
+rect 7653 4777 7665 4811
+rect 7699 4808 7711 4811
+rect 8938 4808 8944 4820
+rect 7699 4780 8944 4808
+rect 7699 4777 7711 4780
+rect 7653 4771 7711 4777
+rect 8938 4768 8944 4780
+rect 8996 4768 9002 4820
+rect 4522 4700 4528 4752
+rect 4580 4740 4586 4752
+rect 9214 4740 9220 4752
+rect 4580 4712 6408 4740
+rect 4580 4700 4586 4712
+rect 4338 4632 4344 4684
+rect 4396 4672 4402 4684
+rect 5074 4672 5080 4684
+rect 4396 4644 5080 4672
+rect 4396 4632 4402 4644
+rect 5074 4632 5080 4644
+rect 5132 4632 5138 4684
+rect 5261 4675 5319 4681
+rect 5261 4641 5273 4675
+rect 5307 4672 5319 4675
+rect 5534 4672 5540 4684
+rect 5307 4644 5540 4672
+rect 5307 4641 5319 4644
+rect 5261 4635 5319 4641
+rect 5534 4632 5540 4644
+rect 5592 4632 5598 4684
+rect 5810 4632 5816 4684
+rect 5868 4672 5874 4684
+rect 6380 4681 6408 4712
+rect 7392 4712 9220 4740
+rect 6181 4675 6239 4681
+rect 6181 4672 6193 4675
+rect 5868 4644 6193 4672
+rect 5868 4632 5874 4644
+rect 6181 4641 6193 4644
+rect 6227 4641 6239 4675
+rect 6181 4635 6239 4641
+rect 6365 4675 6423 4681
+rect 6365 4641 6377 4675
+rect 6411 4641 6423 4675
+rect 6365 4635 6423 4641
+rect 7282 4632 7288 4684
+rect 7340 4672 7346 4684
+rect 7392 4681 7420 4712
+rect 9214 4700 9220 4712
+rect 9272 4700 9278 4752
+rect 9398 4700 9404 4752
+rect 9456 4740 9462 4752
+rect 9861 4743 9919 4749
+rect 9861 4740 9873 4743
+rect 9456 4712 9873 4740
+rect 9456 4700 9462 4712
+rect 9861 4709 9873 4712
+rect 9907 4709 9919 4743
+rect 9861 4703 9919 4709
+rect 9950 4700 9956 4752
+rect 10008 4740 10014 4752
+rect 10045 4743 10103 4749
+rect 10045 4740 10057 4743
+rect 10008 4712 10057 4740
+rect 10008 4700 10014 4712
+rect 10045 4709 10057 4712
+rect 10091 4709 10103 4743
+rect 10045 4703 10103 4709
+rect 7377 4675 7435 4681
+rect 7377 4672 7389 4675
+rect 7340 4644 7389 4672
+rect 7340 4632 7346 4644
+rect 7377 4641 7389 4644
+rect 7423 4641 7435 4675
+rect 7558 4672 7564 4684
+rect 7519 4644 7564 4672
+rect 7377 4635 7435 4641
+rect 7558 4632 7564 4644
+rect 7616 4632 7622 4684
+rect 7742 4632 7748 4684
+rect 7800 4672 7806 4684
+rect 9677 4675 9735 4681
+rect 9677 4672 9689 4675
+rect 7800 4644 9689 4672
+rect 7800 4632 7806 4644
+rect 9677 4641 9689 4644
+rect 9723 4641 9735 4675
+rect 9677 4635 9735 4641
+rect 5261 4539 5319 4545
+rect 5261 4505 5273 4539
+rect 5307 4536 5319 4539
+rect 5350 4536 5356 4548
+rect 5307 4508 5356 4536
+rect 5307 4505 5319 4508
+rect 5261 4499 5319 4505
+rect 5350 4496 5356 4508
+rect 5408 4536 5414 4548
+rect 5902 4536 5908 4548
+rect 5408 4508 5908 4536
+rect 5408 4496 5414 4508
+rect 5902 4496 5908 4508
+rect 5960 4496 5966 4548
+rect 1104 4378 12328 4400
+rect 1104 4326 2852 4378
+rect 2904 4326 2916 4378
+rect 2968 4326 2980 4378
+rect 3032 4326 3044 4378
+rect 3096 4326 6594 4378
+rect 6646 4326 6658 4378
+rect 6710 4326 6722 4378
+rect 6774 4326 6786 4378
+rect 6838 4326 10335 4378
+rect 10387 4326 10399 4378
+rect 10451 4326 10463 4378
+rect 10515 4326 10527 4378
+rect 10579 4326 12328 4378
+rect 1104 4304 12328 4326
+rect 4430 4224 4436 4276
+rect 4488 4264 4494 4276
+rect 5537 4267 5595 4273
+rect 5537 4264 5549 4267
+rect 4488 4236 5549 4264
+rect 4488 4224 4494 4236
+rect 5537 4233 5549 4236
+rect 5583 4233 5595 4267
+rect 5537 4227 5595 4233
+rect 3970 4088 3976 4140
+rect 4028 4128 4034 4140
+rect 5626 4128 5632 4140
+rect 4028 4100 5632 4128
+rect 4028 4088 4034 4100
+rect 5626 4088 5632 4100
+rect 5684 4088 5690 4140
+rect 9306 4128 9312 4140
+rect 8588 4100 9312 4128
+rect 5537 4063 5595 4069
+rect 5537 4029 5549 4063
+rect 5583 4029 5595 4063
+rect 5718 4060 5724 4072
+rect 5679 4032 5724 4060
+rect 5537 4023 5595 4029
+rect 5552 3992 5580 4023
+rect 5718 4020 5724 4032
+rect 5776 4020 5782 4072
+rect 7282 4060 7288 4072
+rect 7243 4032 7288 4060
+rect 7282 4020 7288 4032
+rect 7340 4020 7346 4072
+rect 7561 4063 7619 4069
+rect 7561 4029 7573 4063
+rect 7607 4060 7619 4063
+rect 7650 4060 7656 4072
+rect 7607 4032 7656 4060
+rect 7607 4029 7619 4032
+rect 7561 4023 7619 4029
+rect 7650 4020 7656 4032
+rect 7708 4020 7714 4072
+rect 8588 4069 8616 4100
+rect 9306 4088 9312 4100
+rect 9364 4088 9370 4140
+rect 9398 4088 9404 4140
+rect 9456 4128 9462 4140
+rect 9674 4128 9680 4140
+rect 9456 4100 9680 4128
+rect 9456 4088 9462 4100
+rect 9674 4088 9680 4100
+rect 9732 4088 9738 4140
+rect 8573 4063 8631 4069
+rect 8573 4029 8585 4063
+rect 8619 4029 8631 4063
+rect 8573 4023 8631 4029
+rect 8757 4063 8815 4069
+rect 8757 4029 8769 4063
+rect 8803 4060 8815 4063
+rect 9030 4060 9036 4072
+rect 8803 4032 9036 4060
+rect 8803 4029 8815 4032
+rect 8757 4023 8815 4029
+rect 9030 4020 9036 4032
+rect 9088 4020 9094 4072
+rect 8846 3992 8852 4004
+rect 5552 3964 5672 3992
+rect 8807 3964 8852 3992
+rect 5644 3924 5672 3964
+rect 8846 3952 8852 3964
+rect 8904 3952 8910 4004
+rect 6270 3924 6276 3936
+rect 5644 3896 6276 3924
+rect 6270 3884 6276 3896
+rect 6328 3884 6334 3936
+rect 7374 3924 7380 3936
+rect 7335 3896 7380 3924
+rect 7374 3884 7380 3896
+rect 7432 3884 7438 3936
+rect 1104 3834 12328 3856
+rect 1104 3782 4723 3834
+rect 4775 3782 4787 3834
+rect 4839 3782 4851 3834
+rect 4903 3782 4915 3834
+rect 4967 3782 8464 3834
+rect 8516 3782 8528 3834
+rect 8580 3782 8592 3834
+rect 8644 3782 8656 3834
+rect 8708 3782 12328 3834
+rect 1104 3760 12328 3782
+rect 5534 3680 5540 3732
+rect 5592 3720 5598 3732
+rect 6917 3723 6975 3729
+rect 6917 3720 6929 3723
+rect 5592 3692 6929 3720
+rect 5592 3680 5598 3692
+rect 6917 3689 6929 3692
+rect 6963 3689 6975 3723
+rect 6917 3683 6975 3689
+rect 5442 3612 5448 3664
+rect 5500 3652 5506 3664
+rect 8389 3655 8447 3661
+rect 5500 3624 5856 3652
+rect 5500 3612 5506 3624
+rect 4246 3544 4252 3596
+rect 4304 3584 4310 3596
+rect 5258 3584 5264 3596
+rect 4304 3556 5264 3584
+rect 4304 3544 4310 3556
+rect 5258 3544 5264 3556
+rect 5316 3584 5322 3596
+rect 5828 3593 5856 3624
+rect 8389 3621 8401 3655
+rect 8435 3652 8447 3655
+rect 11238 3652 11244 3664
+rect 8435 3624 11244 3652
+rect 8435 3621 8447 3624
+rect 8389 3615 8447 3621
+rect 11238 3612 11244 3624
+rect 11296 3612 11302 3664
+rect 5629 3587 5687 3593
+rect 5629 3584 5641 3587
+rect 5316 3556 5641 3584
+rect 5316 3544 5322 3556
+rect 5629 3553 5641 3556
+rect 5675 3553 5687 3587
+rect 5629 3547 5687 3553
+rect 5813 3587 5871 3593
+rect 5813 3553 5825 3587
+rect 5859 3553 5871 3587
+rect 5813 3547 5871 3553
+rect 5902 3544 5908 3596
+rect 5960 3584 5966 3596
+rect 6825 3587 6883 3593
+rect 6825 3584 6837 3587
+rect 5960 3556 6837 3584
+rect 5960 3544 5966 3556
+rect 6825 3553 6837 3556
+rect 6871 3553 6883 3587
+rect 7006 3584 7012 3596
+rect 6967 3556 7012 3584
+rect 6825 3547 6883 3553
+rect 7006 3544 7012 3556
+rect 7064 3544 7070 3596
+rect 7466 3544 7472 3596
+rect 7524 3584 7530 3596
+rect 8021 3587 8079 3593
+rect 8021 3584 8033 3587
+rect 7524 3556 8033 3584
+rect 7524 3544 7530 3556
+rect 8021 3553 8033 3556
+rect 8067 3553 8079 3587
+rect 8021 3547 8079 3553
+rect 8110 3544 8116 3596
+rect 8168 3584 8174 3596
+rect 8205 3587 8263 3593
+rect 8205 3584 8217 3587
+rect 8168 3556 8217 3584
+rect 8168 3544 8174 3556
+rect 8205 3553 8217 3556
+rect 8251 3553 8263 3587
+rect 8205 3547 8263 3553
+rect 5905 3451 5963 3457
+rect 5905 3417 5917 3451
+rect 5951 3448 5963 3451
+rect 7006 3448 7012 3460
+rect 5951 3420 7012 3448
+rect 5951 3417 5963 3420
+rect 5905 3411 5963 3417
+rect 7006 3408 7012 3420
+rect 7064 3408 7070 3460
+rect 1104 3290 12328 3312
+rect 1104 3238 2852 3290
+rect 2904 3238 2916 3290
+rect 2968 3238 2980 3290
+rect 3032 3238 3044 3290
+rect 3096 3238 6594 3290
+rect 6646 3238 6658 3290
+rect 6710 3238 6722 3290
+rect 6774 3238 6786 3290
+rect 6838 3238 10335 3290
+rect 10387 3238 10399 3290
+rect 10451 3238 10463 3290
+rect 10515 3238 10527 3290
+rect 10579 3238 12328 3290
+rect 1104 3216 12328 3238
+rect 5258 3068 5264 3120
+rect 5316 3108 5322 3120
+rect 7101 3111 7159 3117
+rect 7101 3108 7113 3111
+rect 5316 3080 7113 3108
+rect 5316 3068 5322 3080
+rect 7101 3077 7113 3080
+rect 7147 3077 7159 3111
+rect 8294 3108 8300 3120
+rect 8255 3080 8300 3108
+rect 7101 3071 7159 3077
+rect 8294 3068 8300 3080
+rect 8352 3068 8358 3120
+rect 5074 2932 5080 2984
+rect 5132 2972 5138 2984
+rect 6825 2975 6883 2981
+rect 6825 2972 6837 2975
+rect 5132 2944 6837 2972
+rect 5132 2932 5138 2944
+rect 6825 2941 6837 2944
+rect 6871 2941 6883 2975
+rect 7006 2972 7012 2984
+rect 6967 2944 7012 2972
+rect 6825 2935 6883 2941
+rect 7006 2932 7012 2944
+rect 7064 2932 7070 2984
+rect 8018 2972 8024 2984
+rect 7979 2944 8024 2972
+rect 8018 2932 8024 2944
+rect 8076 2932 8082 2984
+rect 8202 2972 8208 2984
+rect 8163 2944 8208 2972
+rect 8202 2932 8208 2944
+rect 8260 2932 8266 2984
+rect 9490 2796 9496 2848
+rect 9548 2836 9554 2848
+rect 11146 2836 11152 2848
+rect 9548 2808 11152 2836
+rect 9548 2796 9554 2808
+rect 11146 2796 11152 2808
+rect 11204 2796 11210 2848
+rect 1104 2746 12328 2768
+rect 1104 2694 4723 2746
+rect 4775 2694 4787 2746
+rect 4839 2694 4851 2746
+rect 4903 2694 4915 2746
+rect 4967 2694 8464 2746
+rect 8516 2694 8528 2746
+rect 8580 2694 8592 2746
+rect 8644 2694 8656 2746
+rect 8708 2694 12328 2746
+rect 1104 2672 12328 2694
+rect 1104 2202 12328 2224
+rect 1104 2150 2852 2202
+rect 2904 2150 2916 2202
+rect 2968 2150 2980 2202
+rect 3032 2150 3044 2202
+rect 3096 2150 6594 2202
+rect 6646 2150 6658 2202
+rect 6710 2150 6722 2202
+rect 6774 2150 6786 2202
+rect 6838 2150 10335 2202
+rect 10387 2150 10399 2202
+rect 10451 2150 10463 2202
+rect 10515 2150 10527 2202
+rect 10579 2150 12328 2202
+rect 1104 2128 12328 2150
+<< via1 >>
+rect 4528 14696 4580 14748
+rect 4620 14696 4672 14748
+rect 1584 13132 1636 13184
+rect 7472 13132 7524 13184
+rect 2852 13030 2904 13082
+rect 2916 13030 2968 13082
+rect 2980 13030 3032 13082
+rect 3044 13030 3096 13082
+rect 6594 13030 6646 13082
+rect 6658 13030 6710 13082
+rect 6722 13030 6774 13082
+rect 6786 13030 6838 13082
+rect 10335 13030 10387 13082
+rect 10399 13030 10451 13082
+rect 10463 13030 10515 13082
+rect 10527 13030 10579 13082
+rect 8760 12928 8812 12980
+rect 9496 12928 9548 12980
+rect 10692 12928 10744 12980
+rect 11888 12928 11940 12980
+rect 5080 12860 5132 12912
+rect 7472 12835 7524 12844
+rect 7472 12801 7481 12835
+rect 7481 12801 7515 12835
+rect 7515 12801 7524 12835
+rect 7472 12792 7524 12801
+rect 9864 12792 9916 12844
+rect 10876 12860 10928 12912
+rect 1400 12767 1452 12776
+rect 1400 12733 1409 12767
+rect 1409 12733 1443 12767
+rect 1443 12733 1452 12767
+rect 1400 12724 1452 12733
+rect 3516 12724 3568 12776
+rect 4068 12767 4120 12776
+rect 4068 12733 4077 12767
+rect 4077 12733 4111 12767
+rect 4111 12733 4120 12767
+rect 4068 12724 4120 12733
+rect 4160 12724 4212 12776
+rect 3792 12656 3844 12708
+rect 3700 12588 3752 12640
+rect 7932 12767 7984 12776
+rect 7932 12733 7941 12767
+rect 7941 12733 7975 12767
+rect 7975 12733 7984 12767
+rect 7932 12724 7984 12733
+rect 9588 12724 9640 12776
+rect 10692 12724 10744 12776
+rect 10876 12767 10928 12776
+rect 10876 12733 10885 12767
+rect 10885 12733 10919 12767
+rect 10919 12733 10928 12767
+rect 10876 12724 10928 12733
+rect 9680 12656 9732 12708
+rect 5448 12631 5500 12640
+rect 5448 12597 5457 12631
+rect 5457 12597 5491 12631
+rect 5491 12597 5500 12631
+rect 5448 12588 5500 12597
+rect 4723 12486 4775 12538
+rect 4787 12486 4839 12538
+rect 4851 12486 4903 12538
+rect 4915 12486 4967 12538
+rect 8464 12486 8516 12538
+rect 8528 12486 8580 12538
+rect 8592 12486 8644 12538
+rect 8656 12486 8708 12538
+rect 480 12384 532 12436
+rect 1584 12384 1636 12436
+rect 2504 12384 2556 12436
+rect 4160 12384 4212 12436
+rect 9588 12384 9640 12436
+rect 6276 12316 6328 12368
+rect 10692 12316 10744 12368
+rect 3148 12248 3200 12300
+rect 4068 12248 4120 12300
+rect 5264 12248 5316 12300
+rect 7104 12291 7156 12300
+rect 1492 12180 1544 12232
+rect 3516 12112 3568 12164
+rect 5448 12180 5500 12232
+rect 7104 12257 7113 12291
+rect 7113 12257 7147 12291
+rect 7147 12257 7156 12291
+rect 7104 12248 7156 12257
+rect 7288 12291 7340 12300
+rect 7288 12257 7297 12291
+rect 7297 12257 7331 12291
+rect 7331 12257 7340 12291
+rect 7288 12248 7340 12257
+rect 7472 12291 7524 12300
+rect 7472 12257 7481 12291
+rect 7481 12257 7515 12291
+rect 7515 12257 7524 12291
+rect 7472 12248 7524 12257
+rect 3608 12044 3660 12096
+rect 7196 12044 7248 12096
+rect 8208 12180 8260 12232
+rect 2852 11942 2904 11994
+rect 2916 11942 2968 11994
+rect 2980 11942 3032 11994
+rect 3044 11942 3096 11994
+rect 6594 11942 6646 11994
+rect 6658 11942 6710 11994
+rect 6722 11942 6774 11994
+rect 6786 11942 6838 11994
+rect 10335 11942 10387 11994
+rect 10399 11942 10451 11994
+rect 10463 11942 10515 11994
+rect 10527 11942 10579 11994
+rect 4252 11840 4304 11892
+rect 7472 11840 7524 11892
+rect 3976 11704 4028 11756
+rect 7472 11704 7524 11756
+rect 7748 11704 7800 11756
+rect 8944 11840 8996 11892
+rect 9588 11840 9640 11892
+rect 2688 11636 2740 11688
+rect 3516 11636 3568 11688
+rect 3884 11636 3936 11688
+rect 6276 11636 6328 11688
+rect 8024 11679 8076 11688
+rect 8024 11645 8033 11679
+rect 8033 11645 8067 11679
+rect 8067 11645 8076 11679
+rect 8024 11636 8076 11645
+rect 8300 11636 8352 11688
+rect 8852 11636 8904 11688
+rect 10048 11772 10100 11824
+rect 9956 11636 10008 11688
+rect 10784 11636 10836 11688
+rect 3240 11568 3292 11620
+rect 2504 11500 2556 11552
+rect 7104 11568 7156 11620
+rect 9772 11568 9824 11620
+rect 10692 11568 10744 11620
+rect 5172 11543 5224 11552
+rect 5172 11509 5181 11543
+rect 5181 11509 5215 11543
+rect 5215 11509 5224 11543
+rect 5172 11500 5224 11509
+rect 5724 11500 5776 11552
+rect 7932 11500 7984 11552
+rect 9680 11543 9732 11552
+rect 9680 11509 9689 11543
+rect 9689 11509 9723 11543
+rect 9723 11509 9732 11543
+rect 9680 11500 9732 11509
+rect 4723 11398 4775 11450
+rect 4787 11398 4839 11450
+rect 4851 11398 4903 11450
+rect 4915 11398 4967 11450
+rect 8464 11398 8516 11450
+rect 8528 11398 8580 11450
+rect 8592 11398 8644 11450
+rect 8656 11398 8708 11450
+rect 2412 11296 2464 11348
+rect 7472 11296 7524 11348
+rect 9772 11296 9824 11348
+rect 9956 11296 10008 11348
+rect 2412 11203 2464 11212
+rect 2412 11169 2421 11203
+rect 2421 11169 2455 11203
+rect 2455 11169 2464 11203
+rect 2412 11160 2464 11169
+rect 3148 11228 3200 11280
+rect 4068 11271 4120 11280
+rect 4068 11237 4077 11271
+rect 4077 11237 4111 11271
+rect 4111 11237 4120 11271
+rect 4068 11228 4120 11237
+rect 5724 11228 5776 11280
+rect 6460 11228 6512 11280
+rect 9128 11228 9180 11280
+rect 9404 11228 9456 11280
+rect 2596 11160 2648 11212
+rect 4528 11203 4580 11212
+rect 4528 11169 4537 11203
+rect 4537 11169 4571 11203
+rect 4571 11169 4580 11203
+rect 4528 11160 4580 11169
+rect 4620 11160 4672 11212
+rect 5264 11160 5316 11212
+rect 5540 11160 5592 11212
+rect 5908 11203 5960 11212
+rect 5908 11169 5917 11203
+rect 5917 11169 5951 11203
+rect 5951 11169 5960 11203
+rect 5908 11160 5960 11169
+rect 3516 11092 3568 11144
+rect 4436 11092 4488 11144
+rect 7380 11160 7432 11212
+rect 1400 11024 1452 11076
+rect 7012 11092 7064 11144
+rect 7656 11024 7708 11076
+rect 9312 11160 9364 11212
+rect 10692 11203 10744 11212
+rect 9496 11092 9548 11144
+rect 9680 11092 9732 11144
+rect 10692 11169 10701 11203
+rect 10701 11169 10735 11203
+rect 10735 11169 10744 11203
+rect 10692 11160 10744 11169
+rect 9956 11067 10008 11076
+rect 9956 11033 9965 11067
+rect 9965 11033 9999 11067
+rect 9999 11033 10008 11067
+rect 9956 11024 10008 11033
+rect 11152 11092 11204 11144
+rect 10692 11024 10744 11076
+rect 10968 11024 11020 11076
+rect 12900 11024 12952 11076
+rect 3240 10956 3292 11008
+rect 4344 10956 4396 11008
+rect 5080 10956 5132 11008
+rect 9496 10956 9548 11008
+rect 2852 10854 2904 10906
+rect 2916 10854 2968 10906
+rect 2980 10854 3032 10906
+rect 3044 10854 3096 10906
+rect 6594 10854 6646 10906
+rect 6658 10854 6710 10906
+rect 6722 10854 6774 10906
+rect 6786 10854 6838 10906
+rect 10335 10854 10387 10906
+rect 10399 10854 10451 10906
+rect 10463 10854 10515 10906
+rect 10527 10854 10579 10906
+rect 5724 10752 5776 10804
+rect 1492 10684 1544 10736
+rect 4712 10684 4764 10736
+rect 9036 10684 9088 10736
+rect 3976 10616 4028 10668
+rect 3700 10591 3752 10600
+rect 1308 10412 1360 10464
+rect 3700 10557 3709 10591
+rect 3709 10557 3743 10591
+rect 3743 10557 3752 10591
+rect 3700 10548 3752 10557
+rect 8760 10616 8812 10668
+rect 4712 10548 4764 10600
+rect 6276 10548 6328 10600
+rect 7840 10548 7892 10600
+rect 8024 10548 8076 10600
+rect 8116 10591 8168 10600
+rect 8116 10557 8125 10591
+rect 8125 10557 8159 10591
+rect 8159 10557 8168 10591
+rect 11152 10616 11204 10668
+rect 8116 10548 8168 10557
+rect 10232 10548 10284 10600
+rect 3148 10412 3200 10464
+rect 4068 10412 4120 10464
+rect 5448 10455 5500 10464
+rect 5448 10421 5457 10455
+rect 5457 10421 5491 10455
+rect 5491 10421 5500 10455
+rect 5448 10412 5500 10421
+rect 7748 10480 7800 10532
+rect 8208 10480 8260 10532
+rect 9404 10480 9456 10532
+rect 9864 10480 9916 10532
+rect 10140 10480 10192 10532
+rect 8300 10412 8352 10464
+rect 4723 10310 4775 10362
+rect 4787 10310 4839 10362
+rect 4851 10310 4903 10362
+rect 4915 10310 4967 10362
+rect 8464 10310 8516 10362
+rect 8528 10310 8580 10362
+rect 8592 10310 8644 10362
+rect 8656 10310 8708 10362
+rect 3240 10208 3292 10260
+rect 4068 10208 4120 10260
+rect 3424 10140 3476 10192
+rect 5264 10208 5316 10260
+rect 7564 10208 7616 10260
+rect 1400 10115 1452 10124
+rect 1400 10081 1409 10115
+rect 1409 10081 1443 10115
+rect 1443 10081 1452 10115
+rect 1400 10072 1452 10081
+rect 3148 10072 3200 10124
+rect 5080 10072 5132 10124
+rect 6000 10072 6052 10124
+rect 7104 10115 7156 10124
+rect 7104 10081 7113 10115
+rect 7113 10081 7147 10115
+rect 7147 10081 7156 10115
+rect 7104 10072 7156 10081
+rect 7288 10115 7340 10124
+rect 7288 10081 7297 10115
+rect 7297 10081 7331 10115
+rect 7331 10081 7340 10115
+rect 7288 10072 7340 10081
+rect 9864 10115 9916 10124
+rect 9864 10081 9873 10115
+rect 9873 10081 9907 10115
+rect 9907 10081 9916 10115
+rect 9864 10072 9916 10081
+rect 1584 10004 1636 10056
+rect 4160 9936 4212 9988
+rect 7840 10004 7892 10056
+rect 8024 10004 8076 10056
+rect 8576 10047 8628 10056
+rect 8576 10013 8585 10047
+rect 8585 10013 8619 10047
+rect 8619 10013 8628 10047
+rect 8576 10004 8628 10013
+rect 9220 10004 9272 10056
+rect 7196 9936 7248 9988
+rect 8116 9936 8168 9988
+rect 1492 9911 1544 9920
+rect 1492 9877 1501 9911
+rect 1501 9877 1535 9911
+rect 1535 9877 1544 9911
+rect 1492 9868 1544 9877
+rect 1768 9911 1820 9920
+rect 1768 9877 1777 9911
+rect 1777 9877 1811 9911
+rect 1811 9877 1820 9911
+rect 1768 9868 1820 9877
+rect 2688 9868 2740 9920
+rect 5080 9868 5132 9920
+rect 7472 9868 7524 9920
+rect 8576 9868 8628 9920
+rect 2852 9766 2904 9818
+rect 2916 9766 2968 9818
+rect 2980 9766 3032 9818
+rect 3044 9766 3096 9818
+rect 6594 9766 6646 9818
+rect 6658 9766 6710 9818
+rect 6722 9766 6774 9818
+rect 6786 9766 6838 9818
+rect 10335 9766 10387 9818
+rect 10399 9766 10451 9818
+rect 10463 9766 10515 9818
+rect 10527 9766 10579 9818
+rect 3608 9664 3660 9716
+rect 1492 9503 1544 9512
+rect 1492 9469 1501 9503
+rect 1501 9469 1535 9503
+rect 1535 9469 1544 9503
+rect 1492 9460 1544 9469
+rect 1952 9596 2004 9648
+rect 4344 9596 4396 9648
+rect 6920 9664 6972 9716
+rect 7380 9664 7432 9716
+rect 2504 9528 2556 9580
+rect 3148 9528 3200 9580
+rect 2596 9392 2648 9444
+rect 2872 9460 2924 9512
+rect 6092 9528 6144 9580
+rect 6184 9528 6236 9580
+rect 6368 9528 6420 9580
+rect 7564 9528 7616 9580
+rect 10784 9528 10836 9580
+rect 11244 9528 11296 9580
+rect 3792 9460 3844 9512
+rect 4068 9503 4120 9512
+rect 4068 9469 4077 9503
+rect 4077 9469 4111 9503
+rect 4111 9469 4120 9503
+rect 4068 9460 4120 9469
+rect 5632 9503 5684 9512
+rect 5632 9469 5641 9503
+rect 5641 9469 5675 9503
+rect 5675 9469 5684 9503
+rect 5632 9460 5684 9469
+rect 6828 9460 6880 9512
+rect 8944 9503 8996 9512
+rect 8944 9469 8953 9503
+rect 8953 9469 8987 9503
+rect 8987 9469 8996 9503
+rect 8944 9460 8996 9469
+rect 10140 9503 10192 9512
+rect 10140 9469 10149 9503
+rect 10149 9469 10183 9503
+rect 10183 9469 10192 9503
+rect 10140 9460 10192 9469
+rect 7472 9392 7524 9444
+rect 10692 9435 10744 9444
+rect 10692 9401 10701 9435
+rect 10701 9401 10735 9435
+rect 10735 9401 10744 9435
+rect 10692 9392 10744 9401
+rect 4436 9324 4488 9376
+rect 5080 9324 5132 9376
+rect 5632 9367 5684 9376
+rect 5632 9333 5641 9367
+rect 5641 9333 5675 9367
+rect 5675 9333 5684 9367
+rect 5632 9324 5684 9333
+rect 5816 9324 5868 9376
+rect 7196 9324 7248 9376
+rect 8852 9367 8904 9376
+rect 8852 9333 8861 9367
+rect 8861 9333 8895 9367
+rect 8895 9333 8904 9367
+rect 8852 9324 8904 9333
+rect 4723 9222 4775 9274
+rect 4787 9222 4839 9274
+rect 4851 9222 4903 9274
+rect 4915 9222 4967 9274
+rect 8464 9222 8516 9274
+rect 8528 9222 8580 9274
+rect 8592 9222 8644 9274
+rect 8656 9222 8708 9274
+rect 2872 9120 2924 9172
+rect 3056 9163 3108 9172
+rect 3056 9129 3065 9163
+rect 3065 9129 3099 9163
+rect 3099 9129 3108 9163
+rect 3056 9120 3108 9129
+rect 7012 9120 7064 9172
+rect 2044 9052 2096 9104
+rect 1860 9027 1912 9036
+rect 1860 8993 1869 9027
+rect 1869 8993 1903 9027
+rect 1903 8993 1912 9027
+rect 1860 8984 1912 8993
+rect 3240 9052 3292 9104
+rect 4160 9052 4212 9104
+rect 4252 9095 4304 9104
+rect 4252 9061 4261 9095
+rect 4261 9061 4295 9095
+rect 4295 9061 4304 9095
+rect 4252 9052 4304 9061
+rect 5540 9052 5592 9104
+rect 5816 9095 5868 9104
+rect 5816 9061 5825 9095
+rect 5825 9061 5859 9095
+rect 5859 9061 5868 9095
+rect 5816 9052 5868 9061
+rect 7196 9052 7248 9104
+rect 8944 9120 8996 9172
+rect 8852 9052 8904 9104
+rect 3424 8984 3476 9036
+rect 1492 8848 1544 8900
+rect 3056 8848 3108 8900
+rect 2044 8780 2096 8832
+rect 3884 8848 3936 8900
+rect 4344 8984 4396 9036
+rect 5448 9027 5500 9036
+rect 5448 8993 5457 9027
+rect 5457 8993 5491 9027
+rect 5491 8993 5500 9027
+rect 5448 8984 5500 8993
+rect 5724 8984 5776 9036
+rect 4436 8916 4488 8968
+rect 4620 8916 4672 8968
+rect 4712 8916 4764 8968
+rect 6000 8916 6052 8968
+rect 5264 8848 5316 8900
+rect 6828 8848 6880 8900
+rect 3240 8780 3292 8832
+rect 4528 8780 4580 8832
+rect 9036 8984 9088 9036
+rect 9404 8984 9456 9036
+rect 7380 8916 7432 8968
+rect 9956 8984 10008 9036
+rect 10784 8984 10836 9036
+rect 7196 8780 7248 8832
+rect 9404 8780 9456 8832
+rect 9772 8823 9824 8832
+rect 9772 8789 9781 8823
+rect 9781 8789 9815 8823
+rect 9815 8789 9824 8823
+rect 9772 8780 9824 8789
+rect 2852 8678 2904 8730
+rect 2916 8678 2968 8730
+rect 2980 8678 3032 8730
+rect 3044 8678 3096 8730
+rect 6594 8678 6646 8730
+rect 6658 8678 6710 8730
+rect 6722 8678 6774 8730
+rect 6786 8678 6838 8730
+rect 10335 8678 10387 8730
+rect 10399 8678 10451 8730
+rect 10463 8678 10515 8730
+rect 10527 8678 10579 8730
+rect 4344 8619 4396 8628
+rect 4344 8585 4353 8619
+rect 4353 8585 4387 8619
+rect 4387 8585 4396 8619
+rect 4344 8576 4396 8585
+rect 5448 8576 5500 8628
+rect 9772 8576 9824 8628
+rect 7012 8551 7064 8560
+rect 2044 8440 2096 8492
+rect 1952 8415 2004 8424
+rect 1952 8381 1961 8415
+rect 1961 8381 1995 8415
+rect 1995 8381 2004 8415
+rect 3240 8440 3292 8492
+rect 1952 8372 2004 8381
+rect 4988 8440 5040 8492
+rect 7012 8517 7021 8551
+rect 7021 8517 7055 8551
+rect 7055 8517 7064 8551
+rect 7012 8508 7064 8517
+rect 2136 8347 2188 8356
+rect 2136 8313 2145 8347
+rect 2145 8313 2179 8347
+rect 2179 8313 2188 8347
+rect 2136 8304 2188 8313
+rect 3792 8304 3844 8356
+rect 5172 8372 5224 8424
+rect 7288 8440 7340 8492
+rect 8024 8440 8076 8492
+rect 9864 8508 9916 8560
+rect 9772 8440 9824 8492
+rect 6184 8372 6236 8424
+rect 4712 8304 4764 8356
+rect 5540 8304 5592 8356
+rect 5724 8304 5776 8356
+rect 8300 8372 8352 8424
+rect 7564 8304 7616 8356
+rect 8116 8304 8168 8356
+rect 10784 8304 10836 8356
+rect 12072 8304 12124 8356
+rect 1860 8236 1912 8288
+rect 7104 8236 7156 8288
+rect 9956 8236 10008 8288
+rect 10048 8236 10100 8288
+rect 4723 8134 4775 8186
+rect 4787 8134 4839 8186
+rect 4851 8134 4903 8186
+rect 4915 8134 4967 8186
+rect 8464 8134 8516 8186
+rect 8528 8134 8580 8186
+rect 8592 8134 8644 8186
+rect 8656 8134 8708 8186
+rect 4344 8032 4396 8084
+rect 1676 7939 1728 7948
+rect 1676 7905 1685 7939
+rect 1685 7905 1719 7939
+rect 1719 7905 1728 7939
+rect 1676 7896 1728 7905
+rect 1860 7939 1912 7948
+rect 1860 7905 1869 7939
+rect 1869 7905 1903 7939
+rect 1903 7905 1912 7939
+rect 1860 7896 1912 7905
+rect 3240 7964 3292 8016
+rect 3700 7964 3752 8016
+rect 3792 7964 3844 8016
+rect 5356 7964 5408 8016
+rect 3424 7896 3476 7948
+rect 4252 7896 4304 7948
+rect 4988 7939 5040 7948
+rect 4528 7828 4580 7880
+rect 4988 7905 4997 7939
+rect 4997 7905 5031 7939
+rect 5031 7905 5040 7939
+rect 7012 7964 7064 8016
+rect 4988 7896 5040 7905
+rect 6828 7896 6880 7948
+rect 9956 8032 10008 8084
+rect 8116 8007 8168 8016
+rect 8116 7973 8125 8007
+rect 8125 7973 8159 8007
+rect 8159 7973 8168 8007
+rect 8116 7964 8168 7973
+rect 9036 7964 9088 8016
+rect 10232 8007 10284 8016
+rect 10232 7973 10241 8007
+rect 10241 7973 10275 8007
+rect 10275 7973 10284 8007
+rect 10232 7964 10284 7973
+rect 5448 7828 5500 7880
+rect 6368 7828 6420 7880
+rect 7656 7939 7708 7948
+rect 7656 7905 7665 7939
+rect 7665 7905 7699 7939
+rect 7699 7905 7708 7939
+rect 7656 7896 7708 7905
+rect 7840 7828 7892 7880
+rect 9496 7828 9548 7880
+rect 11060 7939 11112 7948
+rect 11060 7905 11069 7939
+rect 11069 7905 11103 7939
+rect 11103 7905 11112 7939
+rect 11060 7896 11112 7905
+rect 3976 7760 4028 7812
+rect 4344 7760 4396 7812
+rect 4988 7760 5040 7812
+rect 9588 7760 9640 7812
+rect 2228 7692 2280 7744
+rect 5540 7692 5592 7744
+rect 5632 7692 5684 7744
+rect 5816 7692 5868 7744
+rect 5908 7692 5960 7744
+rect 6184 7692 6236 7744
+rect 7564 7692 7616 7744
+rect 9956 7692 10008 7744
+rect 10140 7692 10192 7744
+rect 10784 7692 10836 7744
+rect 2852 7590 2904 7642
+rect 2916 7590 2968 7642
+rect 2980 7590 3032 7642
+rect 3044 7590 3096 7642
+rect 6594 7590 6646 7642
+rect 6658 7590 6710 7642
+rect 6722 7590 6774 7642
+rect 6786 7590 6838 7642
+rect 10335 7590 10387 7642
+rect 10399 7590 10451 7642
+rect 10463 7590 10515 7642
+rect 10527 7590 10579 7642
+rect 2136 7488 2188 7540
+rect 4252 7488 4304 7540
+rect 4620 7488 4672 7540
+rect 5540 7488 5592 7540
+rect 6000 7488 6052 7540
+rect 6276 7488 6328 7540
+rect 11060 7488 11112 7540
+rect 1676 7284 1728 7336
+rect 2228 7327 2280 7336
+rect 2228 7293 2237 7327
+rect 2237 7293 2271 7327
+rect 2271 7293 2280 7327
+rect 2228 7284 2280 7293
+rect 3240 7327 3292 7336
+rect 3240 7293 3249 7327
+rect 3249 7293 3283 7327
+rect 3283 7293 3292 7327
+rect 3240 7284 3292 7293
+rect 5816 7420 5868 7472
+rect 7380 7420 7432 7472
+rect 7196 7352 7248 7404
+rect 8208 7420 8260 7472
+rect 7840 7395 7892 7404
+rect 7840 7361 7849 7395
+rect 7849 7361 7883 7395
+rect 7883 7361 7892 7395
+rect 7840 7352 7892 7361
+rect 9956 7352 10008 7404
+rect 10140 7395 10192 7404
+rect 10140 7361 10149 7395
+rect 10149 7361 10183 7395
+rect 10183 7361 10192 7395
+rect 10140 7352 10192 7361
+rect 2320 7259 2372 7268
+rect 2320 7225 2329 7259
+rect 2329 7225 2363 7259
+rect 2363 7225 2372 7259
+rect 2320 7216 2372 7225
+rect 4068 7148 4120 7200
+rect 4988 7284 5040 7336
+rect 5264 7284 5316 7336
+rect 7380 7327 7432 7336
+rect 7380 7293 7389 7327
+rect 7389 7293 7423 7327
+rect 7423 7293 7432 7327
+rect 7380 7284 7432 7293
+rect 6552 7216 6604 7268
+rect 8760 7284 8812 7336
+rect 9036 7327 9088 7336
+rect 9036 7293 9045 7327
+rect 9045 7293 9079 7327
+rect 9079 7293 9088 7327
+rect 9036 7284 9088 7293
+rect 10876 7284 10928 7336
+rect 7840 7216 7892 7268
+rect 8208 7216 8260 7268
+rect 5816 7148 5868 7200
+rect 10140 7148 10192 7200
+rect 4723 7046 4775 7098
+rect 4787 7046 4839 7098
+rect 4851 7046 4903 7098
+rect 4915 7046 4967 7098
+rect 8464 7046 8516 7098
+rect 8528 7046 8580 7098
+rect 8592 7046 8644 7098
+rect 8656 7046 8708 7098
+rect 1768 6808 1820 6860
+rect 1952 6851 2004 6860
+rect 1952 6817 1961 6851
+rect 1961 6817 1995 6851
+rect 1995 6817 2004 6851
+rect 3240 6876 3292 6928
+rect 3424 6944 3476 6996
+rect 4068 6876 4120 6928
+rect 1952 6808 2004 6817
+rect 3148 6808 3200 6860
+rect 4252 6808 4304 6860
+rect 4620 6876 4672 6928
+rect 5356 6919 5408 6928
+rect 5356 6885 5365 6919
+rect 5365 6885 5399 6919
+rect 5399 6885 5408 6919
+rect 5356 6876 5408 6885
+rect 6092 6876 6144 6928
+rect 5172 6808 5224 6860
+rect 6460 6808 6512 6860
+rect 6644 6851 6696 6860
+rect 6644 6817 6653 6851
+rect 6653 6817 6687 6851
+rect 6687 6817 6696 6851
+rect 6644 6808 6696 6817
+rect 7748 6851 7800 6860
+rect 4620 6740 4672 6792
+rect 5632 6740 5684 6792
+rect 7748 6817 7757 6851
+rect 7757 6817 7791 6851
+rect 7791 6817 7800 6851
+rect 7748 6808 7800 6817
+rect 8852 6808 8904 6860
+rect 9588 6808 9640 6860
+rect 9680 6808 9732 6860
+rect 10784 6876 10836 6928
+rect 10876 6851 10928 6860
+rect 8392 6740 8444 6792
+rect 10876 6817 10885 6851
+rect 10885 6817 10919 6851
+rect 10919 6817 10928 6851
+rect 10876 6808 10928 6817
+rect 11060 6851 11112 6860
+rect 11060 6817 11069 6851
+rect 11069 6817 11103 6851
+rect 11103 6817 11112 6851
+rect 11060 6808 11112 6817
+rect 3976 6672 4028 6724
+rect 9036 6672 9088 6724
+rect 11152 6740 11204 6792
+rect 3240 6604 3292 6656
+rect 7472 6604 7524 6656
+rect 8576 6604 8628 6656
+rect 9772 6647 9824 6656
+rect 9772 6613 9781 6647
+rect 9781 6613 9815 6647
+rect 9815 6613 9824 6647
+rect 9772 6604 9824 6613
+rect 2852 6502 2904 6554
+rect 2916 6502 2968 6554
+rect 2980 6502 3032 6554
+rect 3044 6502 3096 6554
+rect 6594 6502 6646 6554
+rect 6658 6502 6710 6554
+rect 6722 6502 6774 6554
+rect 6786 6502 6838 6554
+rect 10335 6502 10387 6554
+rect 10399 6502 10451 6554
+rect 10463 6502 10515 6554
+rect 10527 6502 10579 6554
+rect 5816 6443 5868 6452
+rect 3148 6332 3200 6384
+rect 5816 6409 5825 6443
+rect 5825 6409 5859 6443
+rect 5859 6409 5868 6443
+rect 5816 6400 5868 6409
+rect 7472 6443 7524 6452
+rect 7472 6409 7481 6443
+rect 7481 6409 7515 6443
+rect 7515 6409 7524 6443
+rect 7472 6400 7524 6409
+rect 9588 6400 9640 6452
+rect 8576 6332 8628 6384
+rect 8760 6375 8812 6384
+rect 8760 6341 8769 6375
+rect 8769 6341 8803 6375
+rect 8803 6341 8812 6375
+rect 8760 6332 8812 6341
+rect 9036 6332 9088 6384
+rect 9404 6332 9456 6384
+rect 2596 6264 2648 6316
+rect 4068 6264 4120 6316
+rect 1768 6239 1820 6248
+rect 1768 6205 1777 6239
+rect 1777 6205 1811 6239
+rect 1811 6205 1820 6239
+rect 1768 6196 1820 6205
+rect 3240 6239 3292 6248
+rect 3240 6205 3249 6239
+rect 3249 6205 3283 6239
+rect 3283 6205 3292 6239
+rect 3240 6196 3292 6205
+rect 3976 6196 4028 6248
+rect 4344 6196 4396 6248
+rect 7748 6264 7800 6316
+rect 7932 6264 7984 6316
+rect 10232 6264 10284 6316
+rect 5540 6239 5592 6248
+rect 5540 6205 5549 6239
+rect 5549 6205 5583 6239
+rect 5583 6205 5592 6239
+rect 5540 6196 5592 6205
+rect 5816 6196 5868 6248
+rect 7288 6239 7340 6248
+rect 7288 6205 7297 6239
+rect 7297 6205 7331 6239
+rect 7331 6205 7340 6239
+rect 7288 6196 7340 6205
+rect 8392 6239 8444 6248
+rect 8392 6205 8401 6239
+rect 8401 6205 8435 6239
+rect 8435 6205 8444 6239
+rect 8392 6196 8444 6205
+rect 8944 6196 8996 6248
+rect 9588 6239 9640 6248
+rect 9588 6205 9597 6239
+rect 9597 6205 9631 6239
+rect 9631 6205 9640 6239
+rect 9588 6196 9640 6205
+rect 9772 6239 9824 6248
+rect 9772 6205 9781 6239
+rect 9781 6205 9815 6239
+rect 9815 6205 9824 6239
+rect 9772 6196 9824 6205
+rect 10324 6196 10376 6248
+rect 6092 6128 6144 6180
+rect 7472 6128 7524 6180
+rect 10876 6128 10928 6180
+rect 7380 6060 7432 6112
+rect 4723 5958 4775 6010
+rect 4787 5958 4839 6010
+rect 4851 5958 4903 6010
+rect 4915 5958 4967 6010
+rect 8464 5958 8516 6010
+rect 8528 5958 8580 6010
+rect 8592 5958 8644 6010
+rect 8656 5958 8708 6010
+rect 5724 5856 5776 5908
+rect 3332 5788 3384 5840
+rect 4344 5763 4396 5772
+rect 2596 5652 2648 5704
+rect 4344 5729 4353 5763
+rect 4353 5729 4387 5763
+rect 4387 5729 4396 5763
+rect 4344 5720 4396 5729
+rect 5540 5788 5592 5840
+rect 5816 5831 5868 5840
+rect 5816 5797 5825 5831
+rect 5825 5797 5859 5831
+rect 5859 5797 5868 5831
+rect 5816 5788 5868 5797
+rect 5080 5720 5132 5772
+rect 5448 5763 5500 5772
+rect 5448 5729 5457 5763
+rect 5457 5729 5491 5763
+rect 5491 5729 5500 5763
+rect 5448 5720 5500 5729
+rect 6000 5788 6052 5840
+rect 5724 5652 5776 5704
+rect 6828 5763 6880 5772
+rect 6828 5729 6837 5763
+rect 6837 5729 6871 5763
+rect 6871 5729 6880 5763
+rect 6828 5720 6880 5729
+rect 6736 5652 6788 5704
+rect 4160 5584 4212 5636
+rect 5816 5584 5868 5636
+rect 5908 5584 5960 5636
+rect 9588 5788 9640 5840
+rect 8116 5763 8168 5772
+rect 8116 5729 8125 5763
+rect 8125 5729 8159 5763
+rect 8159 5729 8168 5763
+rect 8116 5720 8168 5729
+rect 9128 5720 9180 5772
+rect 9772 5763 9824 5772
+rect 9772 5729 9781 5763
+rect 9781 5729 9815 5763
+rect 9815 5729 9824 5763
+rect 9772 5720 9824 5729
+rect 7840 5652 7892 5704
+rect 10692 5720 10744 5772
+rect 10968 5720 11020 5772
+rect 8116 5584 8168 5636
+rect 9312 5584 9364 5636
+rect 2852 5414 2904 5466
+rect 2916 5414 2968 5466
+rect 2980 5414 3032 5466
+rect 3044 5414 3096 5466
+rect 6594 5414 6646 5466
+rect 6658 5414 6710 5466
+rect 6722 5414 6774 5466
+rect 6786 5414 6838 5466
+rect 10335 5414 10387 5466
+rect 10399 5414 10451 5466
+rect 10463 5414 10515 5466
+rect 10527 5414 10579 5466
+rect 7104 5312 7156 5364
+rect 9772 5312 9824 5364
+rect 4620 5287 4672 5296
+rect 4620 5253 4629 5287
+rect 4629 5253 4663 5287
+rect 4663 5253 4672 5287
+rect 4620 5244 4672 5253
+rect 1952 5176 2004 5228
+rect 4252 5108 4304 5160
+rect 4436 5151 4488 5160
+rect 4436 5117 4445 5151
+rect 4445 5117 4479 5151
+rect 4479 5117 4488 5151
+rect 4436 5108 4488 5117
+rect 4712 5108 4764 5160
+rect 6092 5244 6144 5296
+rect 8852 5244 8904 5296
+rect 6920 5176 6972 5228
+rect 6460 5108 6512 5160
+rect 7196 5108 7248 5160
+rect 9680 5176 9732 5228
+rect 8668 5108 8720 5160
+rect 8944 5151 8996 5160
+rect 6184 5040 6236 5092
+rect 8944 5117 8953 5151
+rect 8953 5117 8987 5151
+rect 8987 5117 8996 5151
+rect 8944 5108 8996 5117
+rect 10140 5151 10192 5160
+rect 10140 5117 10149 5151
+rect 10149 5117 10183 5151
+rect 10183 5117 10192 5151
+rect 10140 5108 10192 5117
+rect 9404 5040 9456 5092
+rect 10048 5040 10100 5092
+rect 4723 4870 4775 4922
+rect 4787 4870 4839 4922
+rect 4851 4870 4903 4922
+rect 4915 4870 4967 4922
+rect 8464 4870 8516 4922
+rect 8528 4870 8580 4922
+rect 8592 4870 8644 4922
+rect 8656 4870 8708 4922
+rect 5540 4768 5592 4820
+rect 8944 4768 8996 4820
+rect 4528 4700 4580 4752
+rect 4344 4632 4396 4684
+rect 5080 4675 5132 4684
+rect 5080 4641 5089 4675
+rect 5089 4641 5123 4675
+rect 5123 4641 5132 4675
+rect 5080 4632 5132 4641
+rect 5540 4632 5592 4684
+rect 5816 4632 5868 4684
+rect 7288 4632 7340 4684
+rect 9220 4700 9272 4752
+rect 9404 4700 9456 4752
+rect 9956 4700 10008 4752
+rect 7564 4675 7616 4684
+rect 7564 4641 7573 4675
+rect 7573 4641 7607 4675
+rect 7607 4641 7616 4675
+rect 7564 4632 7616 4641
+rect 7748 4632 7800 4684
+rect 5356 4496 5408 4548
+rect 5908 4496 5960 4548
+rect 2852 4326 2904 4378
+rect 2916 4326 2968 4378
+rect 2980 4326 3032 4378
+rect 3044 4326 3096 4378
+rect 6594 4326 6646 4378
+rect 6658 4326 6710 4378
+rect 6722 4326 6774 4378
+rect 6786 4326 6838 4378
+rect 10335 4326 10387 4378
+rect 10399 4326 10451 4378
+rect 10463 4326 10515 4378
+rect 10527 4326 10579 4378
+rect 4436 4224 4488 4276
+rect 3976 4088 4028 4140
+rect 5632 4088 5684 4140
+rect 5724 4063 5776 4072
+rect 5724 4029 5733 4063
+rect 5733 4029 5767 4063
+rect 5767 4029 5776 4063
+rect 5724 4020 5776 4029
+rect 7288 4063 7340 4072
+rect 7288 4029 7297 4063
+rect 7297 4029 7331 4063
+rect 7331 4029 7340 4063
+rect 7288 4020 7340 4029
+rect 7656 4020 7708 4072
+rect 9312 4088 9364 4140
+rect 9404 4088 9456 4140
+rect 9680 4088 9732 4140
+rect 9036 4020 9088 4072
+rect 8852 3995 8904 4004
+rect 8852 3961 8861 3995
+rect 8861 3961 8895 3995
+rect 8895 3961 8904 3995
+rect 8852 3952 8904 3961
+rect 6276 3884 6328 3936
+rect 7380 3927 7432 3936
+rect 7380 3893 7389 3927
+rect 7389 3893 7423 3927
+rect 7423 3893 7432 3927
+rect 7380 3884 7432 3893
+rect 4723 3782 4775 3834
+rect 4787 3782 4839 3834
+rect 4851 3782 4903 3834
+rect 4915 3782 4967 3834
+rect 8464 3782 8516 3834
+rect 8528 3782 8580 3834
+rect 8592 3782 8644 3834
+rect 8656 3782 8708 3834
+rect 5540 3680 5592 3732
+rect 5448 3612 5500 3664
+rect 4252 3544 4304 3596
+rect 5264 3544 5316 3596
+rect 11244 3612 11296 3664
+rect 5908 3544 5960 3596
+rect 7012 3587 7064 3596
+rect 7012 3553 7021 3587
+rect 7021 3553 7055 3587
+rect 7055 3553 7064 3587
+rect 7012 3544 7064 3553
+rect 7472 3544 7524 3596
+rect 8116 3544 8168 3596
+rect 7012 3408 7064 3460
+rect 2852 3238 2904 3290
+rect 2916 3238 2968 3290
+rect 2980 3238 3032 3290
+rect 3044 3238 3096 3290
+rect 6594 3238 6646 3290
+rect 6658 3238 6710 3290
+rect 6722 3238 6774 3290
+rect 6786 3238 6838 3290
+rect 10335 3238 10387 3290
+rect 10399 3238 10451 3290
+rect 10463 3238 10515 3290
+rect 10527 3238 10579 3290
+rect 5264 3068 5316 3120
+rect 8300 3111 8352 3120
+rect 8300 3077 8309 3111
+rect 8309 3077 8343 3111
+rect 8343 3077 8352 3111
+rect 8300 3068 8352 3077
+rect 5080 2932 5132 2984
+rect 7012 2975 7064 2984
+rect 7012 2941 7021 2975
+rect 7021 2941 7055 2975
+rect 7055 2941 7064 2975
+rect 7012 2932 7064 2941
+rect 8024 2975 8076 2984
+rect 8024 2941 8033 2975
+rect 8033 2941 8067 2975
+rect 8067 2941 8076 2975
+rect 8024 2932 8076 2941
+rect 8208 2975 8260 2984
+rect 8208 2941 8217 2975
+rect 8217 2941 8251 2975
+rect 8251 2941 8260 2975
+rect 8208 2932 8260 2941
+rect 9496 2796 9548 2848
+rect 11152 2796 11204 2848
+rect 4723 2694 4775 2746
+rect 4787 2694 4839 2746
+rect 4851 2694 4903 2746
+rect 4915 2694 4967 2746
+rect 8464 2694 8516 2746
+rect 8528 2694 8580 2746
+rect 8592 2694 8644 2746
+rect 8656 2694 8708 2746
+rect 2852 2150 2904 2202
+rect 2916 2150 2968 2202
+rect 2980 2150 3032 2202
+rect 3044 2150 3096 2202
+rect 6594 2150 6646 2202
+rect 6658 2150 6710 2202
+rect 6722 2150 6774 2202
+rect 6786 2150 6838 2202
+rect 10335 2150 10387 2202
+rect 10399 2150 10451 2202
+rect 10463 2150 10515 2202
+rect 10527 2150 10579 2202
+<< metal2 >>
+rect 478 14842 534 15642
+rect 1490 14842 1546 15642
+rect 2502 14842 2558 15642
+rect 3514 14842 3570 15642
+rect 4618 14842 4674 15642
+rect 5630 14842 5686 15642
+rect 6642 14842 6698 15642
+rect 7746 14842 7802 15642
+rect 8758 14842 8814 15642
+rect 9770 14842 9826 15642
+rect 10874 14842 10930 15642
+rect 11886 14842 11942 15642
+rect 12898 14842 12954 15642
+rect 492 12442 520 14842
+rect 1400 12776 1452 12782
+rect 1398 12744 1400 12753
+rect 1452 12744 1454 12753
+rect 1398 12679 1454 12688
+rect 480 12436 532 12442
+rect 480 12378 532 12384
+rect 1504 12238 1532 14842
+rect 1584 13184 1636 13190
+rect 1584 13126 1636 13132
+rect 1596 12442 1624 13126
+rect 2516 12442 2544 14842
+rect 2826 13084 3122 13104
+rect 2882 13082 2906 13084
+rect 2962 13082 2986 13084
+rect 3042 13082 3066 13084
+rect 2904 13030 2906 13082
+rect 2968 13030 2980 13082
+rect 3042 13030 3044 13082
+rect 2882 13028 2906 13030
+rect 2962 13028 2986 13030
+rect 3042 13028 3066 13030
+rect 2826 13008 3122 13028
+rect 3330 12880 3386 12889
+rect 3330 12815 3386 12824
+rect 1584 12436 1636 12442
+rect 1584 12378 1636 12384
+rect 2504 12436 2556 12442
+rect 2504 12378 2556 12384
+rect 1492 12232 1544 12238
+rect 1492 12174 1544 12180
+rect 1400 11076 1452 11082
+rect 1400 11018 1452 11024
+rect 1308 10464 1360 10470
+rect 1308 10406 1360 10412
+rect 1320 800 1348 10406
+rect 1412 10130 1440 11018
+rect 1492 10736 1544 10742
+rect 1492 10678 1544 10684
+rect 1400 10124 1452 10130
+rect 1400 10066 1452 10072
+rect 1504 9926 1532 10678
+rect 1596 10062 1624 12378
+rect 3148 12300 3200 12306
+rect 3148 12242 3200 12248
+rect 2826 11996 3122 12016
+rect 2882 11994 2906 11996
+rect 2962 11994 2986 11996
+rect 3042 11994 3066 11996
+rect 2904 11942 2906 11994
+rect 2968 11942 2980 11994
+rect 3042 11942 3044 11994
+rect 2882 11940 2906 11942
+rect 2962 11940 2986 11942
+rect 3042 11940 3066 11942
+rect 2826 11920 3122 11940
+rect 2688 11688 2740 11694
+rect 2688 11630 2740 11636
+rect 2504 11552 2556 11558
+rect 2504 11494 2556 11500
+rect 2412 11348 2464 11354
+rect 2412 11290 2464 11296
+rect 2424 11218 2452 11290
+rect 2412 11212 2464 11218
+rect 2412 11154 2464 11160
+rect 1584 10056 1636 10062
+rect 1584 9998 1636 10004
+rect 1492 9920 1544 9926
+rect 1492 9862 1544 9868
+rect 1768 9920 1820 9926
+rect 1768 9862 1820 9868
+rect 1492 9512 1544 9518
+rect 1492 9454 1544 9460
+rect 1504 8906 1532 9454
+rect 1492 8900 1544 8906
+rect 1492 8842 1544 8848
+rect 1674 7984 1730 7993
+rect 1674 7919 1676 7928
+rect 1728 7919 1730 7928
+rect 1676 7890 1728 7896
+rect 1688 7342 1716 7890
+rect 1676 7336 1728 7342
+rect 1676 7278 1728 7284
+rect 1780 6866 1808 9862
+rect 1952 9648 2004 9654
+rect 1952 9590 2004 9596
+rect 1860 9036 1912 9042
+rect 1860 8978 1912 8984
+rect 1872 8401 1900 8978
+rect 1964 8430 1992 9590
+rect 2516 9586 2544 11494
+rect 2596 11212 2648 11218
+rect 2596 11154 2648 11160
+rect 2504 9580 2556 9586
+rect 2504 9522 2556 9528
+rect 2042 9480 2098 9489
+rect 2608 9450 2636 11154
+rect 2700 9926 2728 11630
+rect 3160 11286 3188 12242
+rect 3240 11620 3292 11626
+rect 3240 11562 3292 11568
+rect 3148 11280 3200 11286
+rect 3148 11222 3200 11228
+rect 3252 11014 3280 11562
+rect 3240 11008 3292 11014
+rect 3240 10950 3292 10956
+rect 2826 10908 3122 10928
+rect 2882 10906 2906 10908
+rect 2962 10906 2986 10908
+rect 3042 10906 3066 10908
+rect 2904 10854 2906 10906
+rect 2968 10854 2980 10906
+rect 3042 10854 3044 10906
+rect 2882 10852 2906 10854
+rect 2962 10852 2986 10854
+rect 3042 10852 3066 10854
+rect 2826 10832 3122 10852
+rect 3148 10464 3200 10470
+rect 3148 10406 3200 10412
+rect 3160 10130 3188 10406
+rect 3240 10260 3292 10266
+rect 3240 10202 3292 10208
+rect 3148 10124 3200 10130
+rect 3148 10066 3200 10072
+rect 2688 9920 2740 9926
+rect 2688 9862 2740 9868
+rect 2826 9820 3122 9840
+rect 2882 9818 2906 9820
+rect 2962 9818 2986 9820
+rect 3042 9818 3066 9820
+rect 2904 9766 2906 9818
+rect 2968 9766 2980 9818
+rect 3042 9766 3044 9818
+rect 2882 9764 2906 9766
+rect 2962 9764 2986 9766
+rect 3042 9764 3066 9766
+rect 2826 9744 3122 9764
+rect 3148 9580 3200 9586
+rect 3148 9522 3200 9528
+rect 2872 9512 2924 9518
+rect 2872 9454 2924 9460
+rect 2042 9415 2098 9424
+rect 2596 9444 2648 9450
+rect 2056 9110 2084 9415
+rect 2596 9386 2648 9392
+rect 2044 9104 2096 9110
+rect 2044 9046 2096 9052
+rect 2044 8832 2096 8838
+rect 2044 8774 2096 8780
+rect 2056 8498 2084 8774
+rect 2044 8492 2096 8498
+rect 2044 8434 2096 8440
+rect 1952 8424 2004 8430
+rect 1858 8392 1914 8401
+rect 1952 8366 2004 8372
+rect 1858 8327 1914 8336
+rect 2136 8356 2188 8362
+rect 2136 8298 2188 8304
+rect 1860 8288 1912 8294
+rect 1860 8230 1912 8236
+rect 1872 7954 1900 8230
+rect 1860 7948 1912 7954
+rect 1860 7890 1912 7896
+rect 2148 7546 2176 8298
+rect 2228 7744 2280 7750
+rect 2228 7686 2280 7692
+rect 2136 7540 2188 7546
+rect 2136 7482 2188 7488
+rect 2240 7342 2268 7686
+rect 2228 7336 2280 7342
+rect 2228 7278 2280 7284
+rect 2318 7304 2374 7313
+rect 2318 7239 2320 7248
+rect 2372 7239 2374 7248
+rect 2320 7210 2372 7216
+rect 1768 6860 1820 6866
+rect 1768 6802 1820 6808
+rect 1952 6860 2004 6866
+rect 1952 6802 2004 6808
+rect 1768 6248 1820 6254
+rect 1768 6190 1820 6196
+rect 1780 2689 1808 6190
+rect 1964 5234 1992 6802
+rect 2608 6322 2636 9386
+rect 2884 9178 2912 9454
+rect 2872 9172 2924 9178
+rect 2872 9114 2924 9120
+rect 3056 9172 3108 9178
+rect 3056 9114 3108 9120
+rect 3068 8906 3096 9114
+rect 3056 8900 3108 8906
+rect 3056 8842 3108 8848
+rect 2826 8732 3122 8752
+rect 2882 8730 2906 8732
+rect 2962 8730 2986 8732
+rect 3042 8730 3066 8732
+rect 2904 8678 2906 8730
+rect 2968 8678 2980 8730
+rect 3042 8678 3044 8730
+rect 2882 8676 2906 8678
+rect 2962 8676 2986 8678
+rect 3042 8676 3066 8678
+rect 2826 8656 3122 8676
+rect 3160 7857 3188 9522
+rect 3252 9110 3280 10202
+rect 3240 9104 3292 9110
+rect 3240 9046 3292 9052
+rect 3240 8832 3292 8838
+rect 3240 8774 3292 8780
+rect 3252 8498 3280 8774
+rect 3240 8492 3292 8498
+rect 3240 8434 3292 8440
+rect 3240 8016 3292 8022
+rect 3240 7958 3292 7964
+rect 3146 7848 3202 7857
+rect 3146 7783 3202 7792
+rect 2826 7644 3122 7664
+rect 2882 7642 2906 7644
+rect 2962 7642 2986 7644
+rect 3042 7642 3066 7644
+rect 2904 7590 2906 7642
+rect 2968 7590 2980 7642
+rect 3042 7590 3044 7642
+rect 2882 7588 2906 7590
+rect 2962 7588 2986 7590
+rect 3042 7588 3066 7590
+rect 2826 7568 3122 7588
+rect 3252 7342 3280 7958
+rect 3240 7336 3292 7342
+rect 3240 7278 3292 7284
+rect 3252 6934 3280 7278
+rect 3240 6928 3292 6934
+rect 3240 6870 3292 6876
+rect 3148 6860 3200 6866
+rect 3148 6802 3200 6808
+rect 2826 6556 3122 6576
+rect 2882 6554 2906 6556
+rect 2962 6554 2986 6556
+rect 3042 6554 3066 6556
+rect 2904 6502 2906 6554
+rect 2968 6502 2980 6554
+rect 3042 6502 3044 6554
+rect 2882 6500 2906 6502
+rect 2962 6500 2986 6502
+rect 3042 6500 3066 6502
+rect 2826 6480 3122 6500
+rect 3160 6390 3188 6802
+rect 3240 6656 3292 6662
+rect 3240 6598 3292 6604
+rect 3148 6384 3200 6390
+rect 3148 6326 3200 6332
+rect 2596 6316 2648 6322
+rect 2596 6258 2648 6264
+rect 2608 5710 2636 6258
+rect 3252 6254 3280 6598
+rect 3240 6248 3292 6254
+rect 3240 6190 3292 6196
+rect 3344 5846 3372 12815
+rect 3528 12782 3556 14842
+rect 4632 14754 4660 14842
+rect 4528 14748 4580 14754
+rect 4528 14690 4580 14696
+rect 4620 14748 4672 14754
+rect 4620 14690 4672 14696
+rect 3516 12776 3568 12782
+rect 3516 12718 3568 12724
+rect 4068 12776 4120 12782
+rect 4068 12718 4120 12724
+rect 4160 12776 4212 12782
+rect 4160 12718 4212 12724
+rect 3792 12708 3844 12714
+rect 3792 12650 3844 12656
+rect 3700 12640 3752 12646
+rect 3700 12582 3752 12588
+rect 3516 12164 3568 12170
+rect 3516 12106 3568 12112
+rect 3528 11694 3556 12106
+rect 3608 12096 3660 12102
+rect 3608 12038 3660 12044
+rect 3516 11688 3568 11694
+rect 3516 11630 3568 11636
+rect 3516 11144 3568 11150
+rect 3516 11086 3568 11092
+rect 3424 10192 3476 10198
+rect 3424 10134 3476 10140
+rect 3436 9042 3464 10134
+rect 3424 9036 3476 9042
+rect 3424 8978 3476 8984
+rect 3528 8809 3556 11086
+rect 3620 9722 3648 12038
+rect 3712 10606 3740 12582
+rect 3804 10713 3832 12650
+rect 4080 12306 4108 12718
+rect 4172 12442 4200 12718
+rect 4160 12436 4212 12442
+rect 4160 12378 4212 12384
+rect 4068 12300 4120 12306
+rect 4068 12242 4120 12248
+rect 4080 11778 4108 12242
+rect 4252 11892 4304 11898
+rect 4252 11834 4304 11840
+rect 3988 11762 4108 11778
+rect 3976 11756 4108 11762
+rect 4028 11750 4108 11756
+rect 3976 11698 4028 11704
+rect 3884 11688 3936 11694
+rect 3884 11630 3936 11636
+rect 3790 10704 3846 10713
+rect 3790 10639 3846 10648
+rect 3700 10600 3752 10606
+rect 3700 10542 3752 10548
+rect 3790 10568 3846 10577
+rect 3608 9716 3660 9722
+rect 3608 9658 3660 9664
+rect 3514 8800 3570 8809
+rect 3514 8735 3570 8744
+rect 3712 8022 3740 10542
+rect 3790 10503 3846 10512
+rect 3804 9518 3832 10503
+rect 3792 9512 3844 9518
+rect 3792 9454 3844 9460
+rect 3896 8906 3924 11630
+rect 4068 11280 4120 11286
+rect 4068 11222 4120 11228
+rect 3976 10668 4028 10674
+rect 3976 10610 4028 10616
+rect 3988 9081 4016 10610
+rect 4080 10470 4108 11222
+rect 4068 10464 4120 10470
+rect 4068 10406 4120 10412
+rect 4080 10266 4108 10406
+rect 4068 10260 4120 10266
+rect 4068 10202 4120 10208
+rect 4160 9988 4212 9994
+rect 4160 9930 4212 9936
+rect 4066 9616 4122 9625
+rect 4066 9551 4122 9560
+rect 4080 9518 4108 9551
+rect 4068 9512 4120 9518
+rect 4068 9454 4120 9460
+rect 3974 9072 4030 9081
+rect 3974 9007 4030 9016
+rect 3884 8900 3936 8906
+rect 3884 8842 3936 8848
+rect 4080 8786 4108 9454
+rect 4172 9110 4200 9930
+rect 4264 9110 4292 11834
+rect 4540 11336 4568 14690
+rect 5080 12912 5132 12918
+rect 5080 12854 5132 12860
+rect 4697 12540 4993 12560
+rect 4753 12538 4777 12540
+rect 4833 12538 4857 12540
+rect 4913 12538 4937 12540
+rect 4775 12486 4777 12538
+rect 4839 12486 4851 12538
+rect 4913 12486 4915 12538
+rect 4753 12484 4777 12486
+rect 4833 12484 4857 12486
+rect 4913 12484 4937 12486
+rect 4697 12464 4993 12484
+rect 4697 11452 4993 11472
+rect 4753 11450 4777 11452
+rect 4833 11450 4857 11452
+rect 4913 11450 4937 11452
+rect 4775 11398 4777 11450
+rect 4839 11398 4851 11450
+rect 4913 11398 4915 11450
+rect 4753 11396 4777 11398
+rect 4833 11396 4857 11398
+rect 4913 11396 4937 11398
+rect 4697 11376 4993 11396
+rect 4540 11308 4752 11336
+rect 4528 11212 4580 11218
+rect 4528 11154 4580 11160
+rect 4620 11212 4672 11218
+rect 4620 11154 4672 11160
+rect 4436 11144 4488 11150
+rect 4436 11086 4488 11092
+rect 4344 11008 4396 11014
+rect 4344 10950 4396 10956
+rect 4356 9654 4384 10950
+rect 4344 9648 4396 9654
+rect 4344 9590 4396 9596
+rect 4160 9104 4212 9110
+rect 4160 9046 4212 9052
+rect 4252 9104 4304 9110
+rect 4252 9046 4304 9052
+rect 3988 8758 4108 8786
+rect 3792 8356 3844 8362
+rect 3792 8298 3844 8304
+rect 3804 8022 3832 8298
+rect 3700 8016 3752 8022
+rect 3700 7958 3752 7964
+rect 3792 8016 3844 8022
+rect 3792 7958 3844 7964
+rect 3424 7948 3476 7954
+rect 3424 7890 3476 7896
+rect 3436 7002 3464 7890
+rect 3988 7818 4016 8758
+rect 4066 7848 4122 7857
+rect 3976 7812 4028 7818
+rect 4066 7783 4122 7792
+rect 3976 7754 4028 7760
+rect 4080 7206 4108 7783
+rect 4068 7200 4120 7206
+rect 4068 7142 4120 7148
+rect 3424 6996 3476 7002
+rect 3424 6938 3476 6944
+rect 4068 6928 4120 6934
+rect 4068 6870 4120 6876
+rect 3976 6724 4028 6730
+rect 3976 6666 4028 6672
+rect 3988 6254 4016 6666
+rect 4080 6322 4108 6870
+rect 4068 6316 4120 6322
+rect 4068 6258 4120 6264
+rect 3976 6248 4028 6254
+rect 3976 6190 4028 6196
+rect 3332 5840 3384 5846
+rect 3332 5782 3384 5788
+rect 2596 5704 2648 5710
+rect 2596 5646 2648 5652
+rect 4172 5642 4200 9046
+rect 4264 7954 4292 9046
+rect 4356 9042 4384 9590
+rect 4448 9382 4476 11086
+rect 4436 9376 4488 9382
+rect 4436 9318 4488 9324
+rect 4344 9036 4396 9042
+rect 4344 8978 4396 8984
+rect 4436 8968 4488 8974
+rect 4436 8910 4488 8916
+rect 4344 8628 4396 8634
+rect 4344 8570 4396 8576
+rect 4356 8090 4384 8570
+rect 4344 8084 4396 8090
+rect 4344 8026 4396 8032
+rect 4252 7948 4304 7954
+rect 4252 7890 4304 7896
+rect 4344 7812 4396 7818
+rect 4344 7754 4396 7760
+rect 4252 7540 4304 7546
+rect 4252 7482 4304 7488
+rect 4264 6866 4292 7482
+rect 4252 6860 4304 6866
+rect 4252 6802 4304 6808
+rect 4356 6746 4384 7754
+rect 4264 6718 4384 6746
+rect 4160 5636 4212 5642
+rect 4160 5578 4212 5584
+rect 2826 5468 3122 5488
+rect 2882 5466 2906 5468
+rect 2962 5466 2986 5468
+rect 3042 5466 3066 5468
+rect 2904 5414 2906 5466
+rect 2968 5414 2980 5466
+rect 3042 5414 3044 5466
+rect 2882 5412 2906 5414
+rect 2962 5412 2986 5414
+rect 3042 5412 3066 5414
+rect 2826 5392 3122 5412
+rect 1952 5228 2004 5234
+rect 1952 5170 2004 5176
+rect 4264 5166 4292 6718
+rect 4344 6248 4396 6254
+rect 4344 6190 4396 6196
+rect 4356 5778 4384 6190
+rect 4344 5772 4396 5778
+rect 4344 5714 4396 5720
+rect 4252 5160 4304 5166
+rect 4252 5102 4304 5108
+rect 2826 4380 3122 4400
+rect 2882 4378 2906 4380
+rect 2962 4378 2986 4380
+rect 3042 4378 3066 4380
+rect 2904 4326 2906 4378
+rect 2968 4326 2980 4378
+rect 3042 4326 3044 4378
+rect 2882 4324 2906 4326
+rect 2962 4324 2986 4326
+rect 3042 4324 3066 4326
+rect 2826 4304 3122 4324
+rect 3976 4140 4028 4146
+rect 3976 4082 4028 4088
+rect 2826 3292 3122 3312
+rect 2882 3290 2906 3292
+rect 2962 3290 2986 3292
+rect 3042 3290 3066 3292
+rect 2904 3238 2906 3290
+rect 2968 3238 2980 3290
+rect 3042 3238 3044 3290
+rect 2882 3236 2906 3238
+rect 2962 3236 2986 3238
+rect 3042 3236 3066 3238
+rect 2826 3216 3122 3236
+rect 1766 2680 1822 2689
+rect 1766 2615 1822 2624
+rect 2826 2204 3122 2224
+rect 2882 2202 2906 2204
+rect 2962 2202 2986 2204
+rect 3042 2202 3066 2204
+rect 2904 2150 2906 2202
+rect 2968 2150 2980 2202
+rect 3042 2150 3044 2202
+rect 2882 2148 2906 2150
+rect 2962 2148 2986 2150
+rect 3042 2148 3066 2150
+rect 2826 2128 3122 2148
+rect 3988 800 4016 4082
+rect 4264 3602 4292 5102
+rect 4356 4690 4384 5714
+rect 4448 5166 4476 8910
+rect 4540 8838 4568 11154
+rect 4632 8974 4660 11154
+rect 4724 10742 4752 11308
+rect 5092 11014 5120 12854
+rect 5448 12640 5500 12646
+rect 5448 12582 5500 12588
+rect 5264 12300 5316 12306
+rect 5264 12242 5316 12248
+rect 5172 11552 5224 11558
+rect 5172 11494 5224 11500
+rect 5080 11008 5132 11014
+rect 5080 10950 5132 10956
+rect 4712 10736 4764 10742
+rect 4712 10678 4764 10684
+rect 4712 10600 4764 10606
+rect 4710 10568 4712 10577
+rect 4764 10568 4766 10577
+rect 4710 10503 4766 10512
+rect 4697 10364 4993 10384
+rect 4753 10362 4777 10364
+rect 4833 10362 4857 10364
+rect 4913 10362 4937 10364
+rect 4775 10310 4777 10362
+rect 4839 10310 4851 10362
+rect 4913 10310 4915 10362
+rect 4753 10308 4777 10310
+rect 4833 10308 4857 10310
+rect 4913 10308 4937 10310
+rect 4697 10288 4993 10308
+rect 5080 10124 5132 10130
+rect 5184 10112 5212 11494
+rect 5276 11218 5304 12242
+rect 5460 12238 5488 12582
+rect 5448 12232 5500 12238
+rect 5448 12174 5500 12180
+rect 5460 11937 5488 12174
+rect 5446 11928 5502 11937
+rect 5446 11863 5502 11872
+rect 5644 11506 5672 14842
+rect 6656 13682 6684 14842
+rect 6472 13654 6684 13682
+rect 6276 12368 6328 12374
+rect 6276 12310 6328 12316
+rect 6288 11694 6316 12310
+rect 6276 11688 6328 11694
+rect 6276 11630 6328 11636
+rect 5368 11478 5672 11506
+rect 5724 11552 5776 11558
+rect 5724 11494 5776 11500
+rect 5264 11212 5316 11218
+rect 5264 11154 5316 11160
+rect 5264 10260 5316 10266
+rect 5264 10202 5316 10208
+rect 5132 10084 5212 10112
+rect 5080 10066 5132 10072
+rect 5170 10024 5226 10033
+rect 5170 9959 5226 9968
+rect 5080 9920 5132 9926
+rect 5080 9862 5132 9868
+rect 5092 9761 5120 9862
+rect 5078 9752 5134 9761
+rect 5078 9687 5134 9696
+rect 5080 9376 5132 9382
+rect 5080 9318 5132 9324
+rect 4697 9276 4993 9296
+rect 4753 9274 4777 9276
+rect 4833 9274 4857 9276
+rect 4913 9274 4937 9276
+rect 4775 9222 4777 9274
+rect 4839 9222 4851 9274
+rect 4913 9222 4915 9274
+rect 4753 9220 4777 9222
+rect 4833 9220 4857 9222
+rect 4913 9220 4937 9222
+rect 4697 9200 4993 9220
+rect 4620 8968 4672 8974
+rect 4620 8910 4672 8916
+rect 4712 8968 4764 8974
+rect 4712 8910 4764 8916
+rect 4528 8832 4580 8838
+rect 4528 8774 4580 8780
+rect 4540 8378 4568 8774
+rect 4540 8350 4660 8378
+rect 4724 8362 4752 8910
+rect 4988 8492 5040 8498
+rect 4988 8434 5040 8440
+rect 5000 8401 5028 8434
+rect 4986 8392 5042 8401
+rect 4528 7880 4580 7886
+rect 4528 7822 4580 7828
+rect 4436 5160 4488 5166
+rect 4436 5102 4488 5108
+rect 4344 4684 4396 4690
+rect 4344 4626 4396 4632
+rect 4448 4282 4476 5102
+rect 4540 4758 4568 7822
+rect 4632 7546 4660 8350
+rect 4712 8356 4764 8362
+rect 4986 8327 5042 8336
+rect 4712 8298 4764 8304
+rect 4697 8188 4993 8208
+rect 4753 8186 4777 8188
+rect 4833 8186 4857 8188
+rect 4913 8186 4937 8188
+rect 4775 8134 4777 8186
+rect 4839 8134 4851 8186
+rect 4913 8134 4915 8186
+rect 4753 8132 4777 8134
+rect 4833 8132 4857 8134
+rect 4913 8132 4937 8134
+rect 4697 8112 4993 8132
+rect 4988 7948 5040 7954
+rect 4988 7890 5040 7896
+rect 5000 7818 5028 7890
+rect 4988 7812 5040 7818
+rect 4988 7754 5040 7760
+rect 4710 7576 4766 7585
+rect 4620 7540 4672 7546
+rect 4710 7511 4766 7520
+rect 4620 7482 4672 7488
+rect 4724 7188 4752 7511
+rect 4986 7440 5042 7449
+rect 4986 7375 5042 7384
+rect 5000 7342 5028 7375
+rect 4988 7336 5040 7342
+rect 4988 7278 5040 7284
+rect 4632 7160 4752 7188
+rect 4632 6934 4660 7160
+rect 4697 7100 4993 7120
+rect 4753 7098 4777 7100
+rect 4833 7098 4857 7100
+rect 4913 7098 4937 7100
+rect 4775 7046 4777 7098
+rect 4839 7046 4851 7098
+rect 4913 7046 4915 7098
+rect 4753 7044 4777 7046
+rect 4833 7044 4857 7046
+rect 4913 7044 4937 7046
+rect 4697 7024 4993 7044
+rect 4620 6928 4672 6934
+rect 4620 6870 4672 6876
+rect 4620 6792 4672 6798
+rect 4620 6734 4672 6740
+rect 4632 5302 4660 6734
+rect 4697 6012 4993 6032
+rect 4753 6010 4777 6012
+rect 4833 6010 4857 6012
+rect 4913 6010 4937 6012
+rect 4775 5958 4777 6010
+rect 4839 5958 4851 6010
+rect 4913 5958 4915 6010
+rect 4753 5956 4777 5958
+rect 4833 5956 4857 5958
+rect 4913 5956 4937 5958
+rect 4697 5936 4993 5956
+rect 5092 5778 5120 9318
+rect 5184 8430 5212 9959
+rect 5276 8906 5304 10202
+rect 5264 8900 5316 8906
+rect 5264 8842 5316 8848
+rect 5172 8424 5224 8430
+rect 5172 8366 5224 8372
+rect 5276 7342 5304 8842
+rect 5368 8022 5396 11478
+rect 5736 11286 5764 11494
+rect 5724 11280 5776 11286
+rect 5776 11240 5856 11268
+rect 5724 11222 5776 11228
+rect 5540 11212 5592 11218
+rect 5540 11154 5592 11160
+rect 5448 10464 5500 10470
+rect 5448 10406 5500 10412
+rect 5460 9042 5488 10406
+rect 5552 10010 5580 11154
+rect 5724 10804 5776 10810
+rect 5724 10746 5776 10752
+rect 5552 9982 5672 10010
+rect 5538 9888 5594 9897
+rect 5538 9823 5594 9832
+rect 5552 9110 5580 9823
+rect 5644 9518 5672 9982
+rect 5632 9512 5684 9518
+rect 5630 9480 5632 9489
+rect 5684 9480 5686 9489
+rect 5630 9415 5686 9424
+rect 5632 9376 5684 9382
+rect 5632 9318 5684 9324
+rect 5540 9104 5592 9110
+rect 5540 9046 5592 9052
+rect 5448 9036 5500 9042
+rect 5448 8978 5500 8984
+rect 5448 8628 5500 8634
+rect 5448 8570 5500 8576
+rect 5460 8242 5488 8570
+rect 5552 8362 5580 9046
+rect 5540 8356 5592 8362
+rect 5540 8298 5592 8304
+rect 5460 8214 5580 8242
+rect 5356 8016 5408 8022
+rect 5356 7958 5408 7964
+rect 5264 7336 5316 7342
+rect 5184 7296 5264 7324
+rect 5184 6866 5212 7296
+rect 5264 7278 5316 7284
+rect 5368 6934 5396 7958
+rect 5448 7880 5500 7886
+rect 5448 7822 5500 7828
+rect 5356 6928 5408 6934
+rect 5356 6870 5408 6876
+rect 5172 6860 5224 6866
+rect 5172 6802 5224 6808
+rect 5460 6746 5488 7822
+rect 5552 7750 5580 8214
+rect 5644 7993 5672 9318
+rect 5736 9042 5764 10746
+rect 5828 9382 5856 11240
+rect 5908 11212 5960 11218
+rect 5908 11154 5960 11160
+rect 5816 9376 5868 9382
+rect 5816 9318 5868 9324
+rect 5816 9104 5868 9110
+rect 5814 9072 5816 9081
+rect 5868 9072 5870 9081
+rect 5724 9036 5776 9042
+rect 5814 9007 5870 9016
+rect 5724 8978 5776 8984
+rect 5722 8936 5778 8945
+rect 5722 8871 5778 8880
+rect 5736 8514 5764 8871
+rect 5736 8486 5856 8514
+rect 5724 8356 5776 8362
+rect 5724 8298 5776 8304
+rect 5630 7984 5686 7993
+rect 5630 7919 5686 7928
+rect 5540 7744 5592 7750
+rect 5540 7686 5592 7692
+rect 5632 7744 5684 7750
+rect 5632 7686 5684 7692
+rect 5540 7540 5592 7546
+rect 5540 7482 5592 7488
+rect 5368 6718 5488 6746
+rect 5080 5772 5132 5778
+rect 5080 5714 5132 5720
+rect 4710 5672 4766 5681
+rect 4710 5607 4766 5616
+rect 4620 5296 4672 5302
+rect 4620 5238 4672 5244
+rect 4724 5166 4752 5607
+rect 4712 5160 4764 5166
+rect 4712 5102 4764 5108
+rect 4697 4924 4993 4944
+rect 4753 4922 4777 4924
+rect 4833 4922 4857 4924
+rect 4913 4922 4937 4924
+rect 4775 4870 4777 4922
+rect 4839 4870 4851 4922
+rect 4913 4870 4915 4922
+rect 4753 4868 4777 4870
+rect 4833 4868 4857 4870
+rect 4913 4868 4937 4870
+rect 4697 4848 4993 4868
+rect 4528 4752 4580 4758
+rect 4528 4694 4580 4700
+rect 5080 4684 5132 4690
+rect 5080 4626 5132 4632
+rect 4436 4276 4488 4282
+rect 4436 4218 4488 4224
+rect 4697 3836 4993 3856
+rect 4753 3834 4777 3836
+rect 4833 3834 4857 3836
+rect 4913 3834 4937 3836
+rect 4775 3782 4777 3834
+rect 4839 3782 4851 3834
+rect 4913 3782 4915 3834
+rect 4753 3780 4777 3782
+rect 4833 3780 4857 3782
+rect 4913 3780 4937 3782
+rect 4697 3760 4993 3780
+rect 4252 3596 4304 3602
+rect 4252 3538 4304 3544
+rect 5092 2990 5120 4626
+rect 5368 4554 5396 6718
+rect 5552 6254 5580 7482
+rect 5644 6882 5672 7686
+rect 5736 7585 5764 8298
+rect 5828 7750 5856 8486
+rect 5920 7750 5948 11154
+rect 6288 10606 6316 11630
+rect 6472 11286 6500 13654
+rect 7472 13184 7524 13190
+rect 7472 13126 7524 13132
+rect 6568 13084 6864 13104
+rect 6624 13082 6648 13084
+rect 6704 13082 6728 13084
+rect 6784 13082 6808 13084
+rect 6646 13030 6648 13082
+rect 6710 13030 6722 13082
+rect 6784 13030 6786 13082
+rect 6624 13028 6648 13030
+rect 6704 13028 6728 13030
+rect 6784 13028 6808 13030
+rect 6568 13008 6864 13028
+rect 7484 12850 7512 13126
+rect 7472 12844 7524 12850
+rect 7472 12786 7524 12792
+rect 7104 12300 7156 12306
+rect 7104 12242 7156 12248
+rect 7288 12300 7340 12306
+rect 7288 12242 7340 12248
+rect 7472 12300 7524 12306
+rect 7472 12242 7524 12248
+rect 7116 12209 7144 12242
+rect 7102 12200 7158 12209
+rect 7102 12135 7158 12144
+rect 6568 11996 6864 12016
+rect 6624 11994 6648 11996
+rect 6704 11994 6728 11996
+rect 6784 11994 6808 11996
+rect 6646 11942 6648 11994
+rect 6710 11942 6722 11994
+rect 6784 11942 6786 11994
+rect 6624 11940 6648 11942
+rect 6704 11940 6728 11942
+rect 6784 11940 6808 11942
+rect 6568 11920 6864 11940
+rect 7116 11626 7144 12135
+rect 7196 12096 7248 12102
+rect 7196 12038 7248 12044
+rect 7104 11620 7156 11626
+rect 7104 11562 7156 11568
+rect 6460 11280 6512 11286
+rect 6460 11222 6512 11228
+rect 7012 11144 7064 11150
+rect 7012 11086 7064 11092
+rect 6568 10908 6864 10928
+rect 6624 10906 6648 10908
+rect 6704 10906 6728 10908
+rect 6784 10906 6808 10908
+rect 6646 10854 6648 10906
+rect 6710 10854 6722 10906
+rect 6784 10854 6786 10906
+rect 6624 10852 6648 10854
+rect 6704 10852 6728 10854
+rect 6784 10852 6808 10854
+rect 6568 10832 6864 10852
+rect 6276 10600 6328 10606
+rect 6276 10542 6328 10548
+rect 6288 10169 6316 10542
+rect 6274 10160 6330 10169
+rect 6000 10124 6052 10130
+rect 6274 10095 6330 10104
+rect 6000 10066 6052 10072
+rect 6012 8974 6040 10066
+rect 6568 9820 6864 9840
+rect 6624 9818 6648 9820
+rect 6704 9818 6728 9820
+rect 6784 9818 6808 9820
+rect 6646 9766 6648 9818
+rect 6710 9766 6722 9818
+rect 6784 9766 6786 9818
+rect 6624 9764 6648 9766
+rect 6704 9764 6728 9766
+rect 6784 9764 6808 9766
+rect 6568 9744 6864 9764
+rect 6920 9716 6972 9722
+rect 6920 9658 6972 9664
+rect 6092 9580 6144 9586
+rect 6092 9522 6144 9528
+rect 6184 9580 6236 9586
+rect 6184 9522 6236 9528
+rect 6368 9580 6420 9586
+rect 6368 9522 6420 9528
+rect 6000 8968 6052 8974
+rect 6000 8910 6052 8916
+rect 5998 8800 6054 8809
+rect 5998 8735 6054 8744
+rect 5816 7744 5868 7750
+rect 5816 7686 5868 7692
+rect 5908 7744 5960 7750
+rect 5908 7686 5960 7692
+rect 5722 7576 5778 7585
+rect 6012 7546 6040 8735
+rect 5722 7511 5778 7520
+rect 6000 7540 6052 7546
+rect 6000 7482 6052 7488
+rect 5816 7472 5868 7478
+rect 5998 7440 6054 7449
+rect 5868 7420 5948 7426
+rect 5816 7414 5948 7420
+rect 5828 7398 5948 7414
+rect 5816 7200 5868 7206
+rect 5816 7142 5868 7148
+rect 5644 6854 5764 6882
+rect 5632 6792 5684 6798
+rect 5632 6734 5684 6740
+rect 5540 6248 5592 6254
+rect 5540 6190 5592 6196
+rect 5540 5840 5592 5846
+rect 5540 5782 5592 5788
+rect 5448 5772 5500 5778
+rect 5448 5714 5500 5720
+rect 5356 4548 5408 4554
+rect 5356 4490 5408 4496
+rect 5460 3670 5488 5714
+rect 5552 4826 5580 5782
+rect 5540 4820 5592 4826
+rect 5540 4762 5592 4768
+rect 5540 4684 5592 4690
+rect 5540 4626 5592 4632
+rect 5552 3738 5580 4626
+rect 5644 4146 5672 6734
+rect 5736 5914 5764 6854
+rect 5828 6458 5856 7142
+rect 5816 6452 5868 6458
+rect 5816 6394 5868 6400
+rect 5816 6248 5868 6254
+rect 5816 6190 5868 6196
+rect 5724 5908 5776 5914
+rect 5724 5850 5776 5856
+rect 5828 5846 5856 6190
+rect 5816 5840 5868 5846
+rect 5816 5782 5868 5788
+rect 5724 5704 5776 5710
+rect 5724 5646 5776 5652
+rect 5632 4140 5684 4146
+rect 5632 4082 5684 4088
+rect 5736 4078 5764 5646
+rect 5920 5642 5948 7398
+rect 5998 7375 6054 7384
+rect 6012 5846 6040 7375
+rect 6104 6934 6132 9522
+rect 6196 8430 6224 9522
+rect 6184 8424 6236 8430
+rect 6184 8366 6236 8372
+rect 6380 7970 6408 9522
+rect 6828 9512 6880 9518
+rect 6828 9454 6880 9460
+rect 6840 8906 6868 9454
+rect 6828 8900 6880 8906
+rect 6828 8842 6880 8848
+rect 6568 8732 6864 8752
+rect 6624 8730 6648 8732
+rect 6704 8730 6728 8732
+rect 6784 8730 6808 8732
+rect 6646 8678 6648 8730
+rect 6710 8678 6722 8730
+rect 6784 8678 6786 8730
+rect 6624 8676 6648 8678
+rect 6704 8676 6728 8678
+rect 6784 8676 6808 8678
+rect 6568 8656 6864 8676
+rect 6826 8392 6882 8401
+rect 6826 8327 6882 8336
+rect 6380 7942 6500 7970
+rect 6840 7954 6868 8327
+rect 6368 7880 6420 7886
+rect 6368 7822 6420 7828
+rect 6184 7744 6236 7750
+rect 6184 7686 6236 7692
+rect 6092 6928 6144 6934
+rect 6092 6870 6144 6876
+rect 6090 6760 6146 6769
+rect 6090 6695 6146 6704
+rect 6104 6186 6132 6695
+rect 6092 6180 6144 6186
+rect 6092 6122 6144 6128
+rect 6000 5840 6052 5846
+rect 6000 5782 6052 5788
+rect 5816 5636 5868 5642
+rect 5816 5578 5868 5584
+rect 5908 5636 5960 5642
+rect 5908 5578 5960 5584
+rect 5828 4690 5856 5578
+rect 6104 5302 6132 6122
+rect 6092 5296 6144 5302
+rect 6092 5238 6144 5244
+rect 6196 5098 6224 7686
+rect 6276 7540 6328 7546
+rect 6276 7482 6328 7488
+rect 6184 5092 6236 5098
+rect 6184 5034 6236 5040
+rect 5816 4684 5868 4690
+rect 5816 4626 5868 4632
+rect 5908 4548 5960 4554
+rect 5908 4490 5960 4496
+rect 5724 4072 5776 4078
+rect 5724 4014 5776 4020
+rect 5540 3732 5592 3738
+rect 5540 3674 5592 3680
+rect 5448 3664 5500 3670
+rect 5448 3606 5500 3612
+rect 5920 3602 5948 4490
+rect 6288 3942 6316 7482
+rect 6276 3936 6328 3942
+rect 6276 3878 6328 3884
+rect 5264 3596 5316 3602
+rect 5264 3538 5316 3544
+rect 5908 3596 5960 3602
+rect 5908 3538 5960 3544
+rect 5276 3126 5304 3538
+rect 5264 3120 5316 3126
+rect 5264 3062 5316 3068
+rect 5080 2984 5132 2990
+rect 5080 2926 5132 2932
+rect 4697 2748 4993 2768
+rect 4753 2746 4777 2748
+rect 4833 2746 4857 2748
+rect 4913 2746 4937 2748
+rect 4775 2694 4777 2746
+rect 4839 2694 4851 2746
+rect 4913 2694 4915 2746
+rect 4753 2692 4777 2694
+rect 4833 2692 4857 2694
+rect 4913 2692 4937 2694
+rect 4697 2672 4993 2692
+rect 6380 1986 6408 7822
+rect 6472 6866 6500 7942
+rect 6828 7948 6880 7954
+rect 6828 7890 6880 7896
+rect 6568 7644 6864 7664
+rect 6624 7642 6648 7644
+rect 6704 7642 6728 7644
+rect 6784 7642 6808 7644
+rect 6646 7590 6648 7642
+rect 6710 7590 6722 7642
+rect 6784 7590 6786 7642
+rect 6624 7588 6648 7590
+rect 6704 7588 6728 7590
+rect 6784 7588 6808 7590
+rect 6568 7568 6864 7588
+rect 6550 7440 6606 7449
+rect 6550 7375 6606 7384
+rect 6564 7274 6592 7375
+rect 6552 7268 6604 7274
+rect 6552 7210 6604 7216
+rect 6460 6860 6512 6866
+rect 6460 6802 6512 6808
+rect 6564 6644 6592 7210
+rect 6642 7032 6698 7041
+rect 6642 6967 6698 6976
+rect 6656 6866 6684 6967
+rect 6644 6860 6696 6866
+rect 6644 6802 6696 6808
+rect 6472 6616 6592 6644
+rect 6472 5166 6500 6616
+rect 6568 6556 6864 6576
+rect 6624 6554 6648 6556
+rect 6704 6554 6728 6556
+rect 6784 6554 6808 6556
+rect 6646 6502 6648 6554
+rect 6710 6502 6722 6554
+rect 6784 6502 6786 6554
+rect 6624 6500 6648 6502
+rect 6704 6500 6728 6502
+rect 6784 6500 6808 6502
+rect 6568 6480 6864 6500
+rect 6826 6352 6882 6361
+rect 6826 6287 6882 6296
+rect 6734 6216 6790 6225
+rect 6734 6151 6790 6160
+rect 6748 5710 6776 6151
+rect 6840 5778 6868 6287
+rect 6828 5772 6880 5778
+rect 6828 5714 6880 5720
+rect 6736 5704 6788 5710
+rect 6736 5646 6788 5652
+rect 6568 5468 6864 5488
+rect 6624 5466 6648 5468
+rect 6704 5466 6728 5468
+rect 6784 5466 6808 5468
+rect 6646 5414 6648 5466
+rect 6710 5414 6722 5466
+rect 6784 5414 6786 5466
+rect 6624 5412 6648 5414
+rect 6704 5412 6728 5414
+rect 6784 5412 6808 5414
+rect 6568 5392 6864 5412
+rect 6932 5234 6960 9658
+rect 7024 9178 7052 11086
+rect 7116 10577 7144 11562
+rect 7102 10568 7158 10577
+rect 7102 10503 7158 10512
+rect 7208 10305 7236 12038
+rect 7194 10296 7250 10305
+rect 7194 10231 7250 10240
+rect 7300 10130 7328 12242
+rect 7484 11898 7512 12242
+rect 7472 11892 7524 11898
+rect 7472 11834 7524 11840
+rect 7760 11762 7788 14842
+rect 8772 12986 8800 14842
+rect 9586 14104 9642 14113
+rect 9586 14039 9642 14048
+rect 8760 12980 8812 12986
+rect 8760 12922 8812 12928
+rect 9496 12980 9548 12986
+rect 9496 12922 9548 12928
+rect 7932 12776 7984 12782
+rect 7932 12718 7984 12724
+rect 7472 11756 7524 11762
+rect 7472 11698 7524 11704
+rect 7748 11756 7800 11762
+rect 7748 11698 7800 11704
+rect 7484 11354 7512 11698
+rect 7944 11558 7972 12718
+rect 8438 12540 8734 12560
+rect 8494 12538 8518 12540
+rect 8574 12538 8598 12540
+rect 8654 12538 8678 12540
+rect 8516 12486 8518 12538
+rect 8580 12486 8592 12538
+rect 8654 12486 8656 12538
+rect 8494 12484 8518 12486
+rect 8574 12484 8598 12486
+rect 8654 12484 8678 12486
+rect 8438 12464 8734 12484
+rect 8208 12232 8260 12238
+rect 8206 12200 8208 12209
+rect 8260 12200 8262 12209
+rect 8206 12135 8262 12144
+rect 8944 11892 8996 11898
+rect 8944 11834 8996 11840
+rect 8024 11688 8076 11694
+rect 8024 11630 8076 11636
+rect 8300 11688 8352 11694
+rect 8300 11630 8352 11636
+rect 8852 11688 8904 11694
+rect 8852 11630 8904 11636
+rect 7932 11552 7984 11558
+rect 7932 11494 7984 11500
+rect 7472 11348 7524 11354
+rect 7472 11290 7524 11296
+rect 7380 11212 7432 11218
+rect 7380 11154 7432 11160
+rect 7104 10124 7156 10130
+rect 7104 10066 7156 10072
+rect 7288 10124 7340 10130
+rect 7288 10066 7340 10072
+rect 7012 9172 7064 9178
+rect 7012 9114 7064 9120
+rect 7010 9072 7066 9081
+rect 7010 9007 7066 9016
+rect 7024 8566 7052 9007
+rect 7012 8560 7064 8566
+rect 7012 8502 7064 8508
+rect 7116 8378 7144 10066
+rect 7196 9988 7248 9994
+rect 7196 9930 7248 9936
+rect 7208 9625 7236 9930
+rect 7194 9616 7250 9625
+rect 7194 9551 7250 9560
+rect 7196 9376 7248 9382
+rect 7194 9344 7196 9353
+rect 7248 9344 7250 9353
+rect 7194 9279 7250 9288
+rect 7300 9217 7328 10066
+rect 7392 9722 7420 11154
+rect 7484 9926 7512 11290
+rect 7656 11076 7708 11082
+rect 7656 11018 7708 11024
+rect 7564 10260 7616 10266
+rect 7564 10202 7616 10208
+rect 7472 9920 7524 9926
+rect 7472 9862 7524 9868
+rect 7380 9716 7432 9722
+rect 7380 9658 7432 9664
+rect 7576 9586 7604 10202
+rect 7564 9580 7616 9586
+rect 7564 9522 7616 9528
+rect 7472 9444 7524 9450
+rect 7472 9386 7524 9392
+rect 7286 9208 7342 9217
+rect 7286 9143 7342 9152
+rect 7196 9104 7248 9110
+rect 7196 9046 7248 9052
+rect 7208 8838 7236 9046
+rect 7380 8968 7432 8974
+rect 7380 8910 7432 8916
+rect 7196 8832 7248 8838
+rect 7196 8774 7248 8780
+rect 7288 8492 7340 8498
+rect 7288 8434 7340 8440
+rect 7024 8350 7144 8378
+rect 7024 8022 7052 8350
+rect 7104 8288 7156 8294
+rect 7104 8230 7156 8236
+rect 7012 8016 7064 8022
+rect 7012 7958 7064 7964
+rect 7010 7304 7066 7313
+rect 7010 7239 7066 7248
+rect 6920 5228 6972 5234
+rect 6920 5170 6972 5176
+rect 6460 5160 6512 5166
+rect 6460 5102 6512 5108
+rect 6568 4380 6864 4400
+rect 6624 4378 6648 4380
+rect 6704 4378 6728 4380
+rect 6784 4378 6808 4380
+rect 6646 4326 6648 4378
+rect 6710 4326 6722 4378
+rect 6784 4326 6786 4378
+rect 6624 4324 6648 4326
+rect 6704 4324 6728 4326
+rect 6784 4324 6808 4326
+rect 6568 4304 6864 4324
+rect 7024 3602 7052 7239
+rect 7116 5370 7144 8230
+rect 7196 7404 7248 7410
+rect 7196 7346 7248 7352
+rect 7104 5364 7156 5370
+rect 7104 5306 7156 5312
+rect 7208 5166 7236 7346
+rect 7300 6254 7328 8434
+rect 7392 7478 7420 8910
+rect 7380 7472 7432 7478
+rect 7380 7414 7432 7420
+rect 7380 7336 7432 7342
+rect 7380 7278 7432 7284
+rect 7392 6338 7420 7278
+rect 7484 6662 7512 9386
+rect 7564 8356 7616 8362
+rect 7564 8298 7616 8304
+rect 7576 7750 7604 8298
+rect 7668 7954 7696 11018
+rect 8036 10606 8064 11630
+rect 7840 10600 7892 10606
+rect 8024 10600 8076 10606
+rect 7892 10548 7972 10554
+rect 7840 10542 7972 10548
+rect 8024 10542 8076 10548
+rect 8116 10600 8168 10606
+rect 8116 10542 8168 10548
+rect 7748 10532 7800 10538
+rect 7852 10526 7972 10542
+rect 7748 10474 7800 10480
+rect 7656 7948 7708 7954
+rect 7656 7890 7708 7896
+rect 7564 7744 7616 7750
+rect 7564 7686 7616 7692
+rect 7472 6656 7524 6662
+rect 7472 6598 7524 6604
+rect 7470 6488 7526 6497
+rect 7470 6423 7472 6432
+rect 7524 6423 7526 6432
+rect 7472 6394 7524 6400
+rect 7392 6310 7512 6338
+rect 7288 6248 7340 6254
+rect 7288 6190 7340 6196
+rect 7484 6186 7512 6310
+rect 7472 6180 7524 6186
+rect 7472 6122 7524 6128
+rect 7380 6112 7432 6118
+rect 7380 6054 7432 6060
+rect 7196 5160 7248 5166
+rect 7196 5102 7248 5108
+rect 7288 4684 7340 4690
+rect 7288 4626 7340 4632
+rect 7300 4078 7328 4626
+rect 7288 4072 7340 4078
+rect 7288 4014 7340 4020
+rect 7392 3942 7420 6054
+rect 7380 3936 7432 3942
+rect 7380 3878 7432 3884
+rect 7484 3602 7512 6122
+rect 7576 4690 7604 7686
+rect 7564 4684 7616 4690
+rect 7564 4626 7616 4632
+rect 7668 4078 7696 7890
+rect 7760 6866 7788 10474
+rect 7840 10056 7892 10062
+rect 7840 9998 7892 10004
+rect 7852 7993 7880 9998
+rect 7838 7984 7894 7993
+rect 7838 7919 7894 7928
+rect 7840 7880 7892 7886
+rect 7840 7822 7892 7828
+rect 7852 7410 7880 7822
+rect 7840 7404 7892 7410
+rect 7840 7346 7892 7352
+rect 7838 7304 7894 7313
+rect 7838 7239 7840 7248
+rect 7892 7239 7894 7248
+rect 7840 7210 7892 7216
+rect 7748 6860 7800 6866
+rect 7748 6802 7800 6808
+rect 7748 6316 7800 6322
+rect 7748 6258 7800 6264
+rect 7760 4690 7788 6258
+rect 7852 5710 7880 7210
+rect 7944 6322 7972 10526
+rect 8036 10062 8064 10542
+rect 8024 10056 8076 10062
+rect 8024 9998 8076 10004
+rect 8128 9994 8156 10542
+rect 8208 10532 8260 10538
+rect 8208 10474 8260 10480
+rect 8116 9988 8168 9994
+rect 8116 9930 8168 9936
+rect 8128 8537 8156 9930
+rect 8114 8528 8170 8537
+rect 8024 8492 8076 8498
+rect 8114 8463 8170 8472
+rect 8024 8434 8076 8440
+rect 7932 6316 7984 6322
+rect 7932 6258 7984 6264
+rect 7840 5704 7892 5710
+rect 7840 5646 7892 5652
+rect 7748 4684 7800 4690
+rect 7748 4626 7800 4632
+rect 7656 4072 7708 4078
+rect 7656 4014 7708 4020
+rect 7012 3596 7064 3602
+rect 7012 3538 7064 3544
+rect 7472 3596 7524 3602
+rect 7472 3538 7524 3544
+rect 7012 3460 7064 3466
+rect 7012 3402 7064 3408
+rect 6568 3292 6864 3312
+rect 6624 3290 6648 3292
+rect 6704 3290 6728 3292
+rect 6784 3290 6808 3292
+rect 6646 3238 6648 3290
+rect 6710 3238 6722 3290
+rect 6784 3238 6786 3290
+rect 6624 3236 6648 3238
+rect 6704 3236 6728 3238
+rect 6784 3236 6808 3238
+rect 6568 3216 6864 3236
+rect 7024 2990 7052 3402
+rect 8036 2990 8064 8434
+rect 8116 8356 8168 8362
+rect 8116 8298 8168 8304
+rect 8128 8022 8156 8298
+rect 8116 8016 8168 8022
+rect 8116 7958 8168 7964
+rect 8220 7834 8248 10474
+rect 8312 10470 8340 11630
+rect 8438 11452 8734 11472
+rect 8494 11450 8518 11452
+rect 8574 11450 8598 11452
+rect 8654 11450 8678 11452
+rect 8516 11398 8518 11450
+rect 8580 11398 8592 11450
+rect 8654 11398 8656 11450
+rect 8494 11396 8518 11398
+rect 8574 11396 8598 11398
+rect 8654 11396 8678 11398
+rect 8438 11376 8734 11396
+rect 8760 10668 8812 10674
+rect 8760 10610 8812 10616
+rect 8300 10464 8352 10470
+rect 8300 10406 8352 10412
+rect 8312 8673 8340 10406
+rect 8438 10364 8734 10384
+rect 8494 10362 8518 10364
+rect 8574 10362 8598 10364
+rect 8654 10362 8678 10364
+rect 8516 10310 8518 10362
+rect 8580 10310 8592 10362
+rect 8654 10310 8656 10362
+rect 8494 10308 8518 10310
+rect 8574 10308 8598 10310
+rect 8654 10308 8678 10310
+rect 8438 10288 8734 10308
+rect 8576 10056 8628 10062
+rect 8574 10024 8576 10033
+rect 8628 10024 8630 10033
+rect 8574 9959 8630 9968
+rect 8576 9920 8628 9926
+rect 8576 9862 8628 9868
+rect 8588 9489 8616 9862
+rect 8574 9480 8630 9489
+rect 8574 9415 8630 9424
+rect 8438 9276 8734 9296
+rect 8494 9274 8518 9276
+rect 8574 9274 8598 9276
+rect 8654 9274 8678 9276
+rect 8516 9222 8518 9274
+rect 8580 9222 8592 9274
+rect 8654 9222 8656 9274
+rect 8494 9220 8518 9222
+rect 8574 9220 8598 9222
+rect 8654 9220 8678 9222
+rect 8438 9200 8734 9220
+rect 8298 8664 8354 8673
+rect 8298 8599 8354 8608
+rect 8300 8424 8352 8430
+rect 8300 8366 8352 8372
+rect 8128 7806 8248 7834
+rect 8128 5778 8156 7806
+rect 8206 7712 8262 7721
+rect 8206 7647 8262 7656
+rect 8220 7478 8248 7647
+rect 8208 7472 8260 7478
+rect 8208 7414 8260 7420
+rect 8208 7268 8260 7274
+rect 8208 7210 8260 7216
+rect 8116 5772 8168 5778
+rect 8116 5714 8168 5720
+rect 8116 5636 8168 5642
+rect 8116 5578 8168 5584
+rect 8128 3602 8156 5578
+rect 8116 3596 8168 3602
+rect 8116 3538 8168 3544
+rect 8220 2990 8248 7210
+rect 8312 3126 8340 8366
+rect 8438 8188 8734 8208
+rect 8494 8186 8518 8188
+rect 8574 8186 8598 8188
+rect 8654 8186 8678 8188
+rect 8516 8134 8518 8186
+rect 8580 8134 8592 8186
+rect 8654 8134 8656 8186
+rect 8494 8132 8518 8134
+rect 8574 8132 8598 8134
+rect 8654 8132 8678 8134
+rect 8438 8112 8734 8132
+rect 8772 7426 8800 10610
+rect 8864 9382 8892 11630
+rect 8956 9518 8984 11834
+rect 9128 11280 9180 11286
+rect 9128 11222 9180 11228
+rect 9404 11280 9456 11286
+rect 9404 11222 9456 11228
+rect 9036 10736 9088 10742
+rect 9036 10678 9088 10684
+rect 8944 9512 8996 9518
+rect 8944 9454 8996 9460
+rect 8852 9376 8904 9382
+rect 8852 9318 8904 9324
+rect 8864 9110 8892 9318
+rect 8956 9178 8984 9454
+rect 8944 9172 8996 9178
+rect 8944 9114 8996 9120
+rect 8852 9104 8904 9110
+rect 8852 9046 8904 9052
+rect 8680 7398 8800 7426
+rect 8680 7313 8708 7398
+rect 8760 7336 8812 7342
+rect 8666 7304 8722 7313
+rect 8760 7278 8812 7284
+rect 8666 7239 8722 7248
+rect 8438 7100 8734 7120
+rect 8494 7098 8518 7100
+rect 8574 7098 8598 7100
+rect 8654 7098 8678 7100
+rect 8516 7046 8518 7098
+rect 8580 7046 8592 7098
+rect 8654 7046 8656 7098
+rect 8494 7044 8518 7046
+rect 8574 7044 8598 7046
+rect 8654 7044 8678 7046
+rect 8438 7024 8734 7044
+rect 8392 6792 8444 6798
+rect 8392 6734 8444 6740
+rect 8404 6254 8432 6734
+rect 8576 6656 8628 6662
+rect 8576 6598 8628 6604
+rect 8588 6390 8616 6598
+rect 8772 6390 8800 7278
+rect 8864 6866 8892 9046
+rect 8852 6860 8904 6866
+rect 8852 6802 8904 6808
+rect 8850 6760 8906 6769
+rect 8850 6695 8906 6704
+rect 8576 6384 8628 6390
+rect 8576 6326 8628 6332
+rect 8760 6384 8812 6390
+rect 8760 6326 8812 6332
+rect 8392 6248 8444 6254
+rect 8392 6190 8444 6196
+rect 8438 6012 8734 6032
+rect 8494 6010 8518 6012
+rect 8574 6010 8598 6012
+rect 8654 6010 8678 6012
+rect 8516 5958 8518 6010
+rect 8580 5958 8592 6010
+rect 8654 5958 8656 6010
+rect 8494 5956 8518 5958
+rect 8574 5956 8598 5958
+rect 8654 5956 8678 5958
+rect 8438 5936 8734 5956
+rect 8864 5302 8892 6695
+rect 8956 6254 8984 9114
+rect 9048 9042 9076 10678
+rect 9036 9036 9088 9042
+rect 9036 8978 9088 8984
+rect 9048 8022 9076 8978
+rect 9036 8016 9088 8022
+rect 9036 7958 9088 7964
+rect 9036 7336 9088 7342
+rect 9036 7278 9088 7284
+rect 9048 6730 9076 7278
+rect 9036 6724 9088 6730
+rect 9036 6666 9088 6672
+rect 9036 6384 9088 6390
+rect 9036 6326 9088 6332
+rect 8944 6248 8996 6254
+rect 8944 6190 8996 6196
+rect 8852 5296 8904 5302
+rect 8666 5264 8722 5273
+rect 8852 5238 8904 5244
+rect 8666 5199 8722 5208
+rect 8680 5166 8708 5199
+rect 8668 5160 8720 5166
+rect 8668 5102 8720 5108
+rect 8944 5160 8996 5166
+rect 8944 5102 8996 5108
+rect 8438 4924 8734 4944
+rect 8494 4922 8518 4924
+rect 8574 4922 8598 4924
+rect 8654 4922 8678 4924
+rect 8516 4870 8518 4922
+rect 8580 4870 8592 4922
+rect 8654 4870 8656 4922
+rect 8494 4868 8518 4870
+rect 8574 4868 8598 4870
+rect 8654 4868 8678 4870
+rect 8438 4848 8734 4868
+rect 8956 4826 8984 5102
+rect 8944 4820 8996 4826
+rect 8944 4762 8996 4768
+rect 8850 4176 8906 4185
+rect 8850 4111 8906 4120
+rect 8864 4010 8892 4111
+rect 9048 4078 9076 6326
+rect 9140 5778 9168 11222
+rect 9312 11212 9364 11218
+rect 9312 11154 9364 11160
+rect 9220 10056 9272 10062
+rect 9220 9998 9272 10004
+rect 9128 5772 9180 5778
+rect 9128 5714 9180 5720
+rect 9232 4758 9260 9998
+rect 9324 5642 9352 11154
+rect 9416 10538 9444 11222
+rect 9508 11150 9536 12922
+rect 9600 12782 9628 14039
+rect 9588 12776 9640 12782
+rect 9588 12718 9640 12724
+rect 9600 12442 9628 12718
+rect 9680 12708 9732 12714
+rect 9680 12650 9732 12656
+rect 9588 12436 9640 12442
+rect 9588 12378 9640 12384
+rect 9588 11892 9640 11898
+rect 9588 11834 9640 11840
+rect 9496 11144 9548 11150
+rect 9496 11086 9548 11092
+rect 9496 11008 9548 11014
+rect 9496 10950 9548 10956
+rect 9404 10532 9456 10538
+rect 9404 10474 9456 10480
+rect 9404 9036 9456 9042
+rect 9404 8978 9456 8984
+rect 9416 8838 9444 8978
+rect 9404 8832 9456 8838
+rect 9404 8774 9456 8780
+rect 9508 7970 9536 10950
+rect 9416 7942 9536 7970
+rect 9416 6390 9444 7942
+rect 9496 7880 9548 7886
+rect 9496 7822 9548 7828
+rect 9404 6384 9456 6390
+rect 9404 6326 9456 6332
+rect 9312 5636 9364 5642
+rect 9312 5578 9364 5584
+rect 9220 4752 9272 4758
+rect 9220 4694 9272 4700
+rect 9324 4146 9352 5578
+rect 9404 5092 9456 5098
+rect 9404 5034 9456 5040
+rect 9416 4758 9444 5034
+rect 9404 4752 9456 4758
+rect 9404 4694 9456 4700
+rect 9312 4140 9364 4146
+rect 9312 4082 9364 4088
+rect 9404 4140 9456 4146
+rect 9404 4082 9456 4088
+rect 9036 4072 9088 4078
+rect 9036 4014 9088 4020
+rect 8852 4004 8904 4010
+rect 8852 3946 8904 3952
+rect 8438 3836 8734 3856
+rect 8494 3834 8518 3836
+rect 8574 3834 8598 3836
+rect 8654 3834 8678 3836
+rect 8516 3782 8518 3834
+rect 8580 3782 8592 3834
+rect 8654 3782 8656 3834
+rect 8494 3780 8518 3782
+rect 8574 3780 8598 3782
+rect 8654 3780 8678 3782
+rect 8438 3760 8734 3780
+rect 8300 3120 8352 3126
+rect 8300 3062 8352 3068
+rect 7012 2984 7064 2990
+rect 7012 2926 7064 2932
+rect 8024 2984 8076 2990
+rect 8024 2926 8076 2932
+rect 8208 2984 8260 2990
+rect 8208 2926 8260 2932
+rect 8438 2748 8734 2768
+rect 8494 2746 8518 2748
+rect 8574 2746 8598 2748
+rect 8654 2746 8678 2748
+rect 8516 2694 8518 2746
+rect 8580 2694 8592 2746
+rect 8654 2694 8656 2746
+rect 8494 2692 8518 2694
+rect 8574 2692 8598 2694
+rect 8654 2692 8678 2694
+rect 8438 2672 8734 2692
+rect 6568 2204 6864 2224
+rect 6624 2202 6648 2204
+rect 6704 2202 6728 2204
+rect 6784 2202 6808 2204
+rect 6646 2150 6648 2202
+rect 6710 2150 6722 2202
+rect 6784 2150 6786 2202
+rect 6624 2148 6648 2150
+rect 6704 2148 6728 2150
+rect 6784 2148 6808 2150
+rect 6568 2128 6864 2148
+rect 6380 1958 6684 1986
+rect 6656 800 6684 1958
+rect 9416 800 9444 4082
+rect 9508 2854 9536 7822
+rect 9600 7818 9628 11834
+rect 9692 11558 9720 12650
+rect 9784 11626 9812 14842
+rect 10309 13084 10605 13104
+rect 10365 13082 10389 13084
+rect 10445 13082 10469 13084
+rect 10525 13082 10549 13084
+rect 10387 13030 10389 13082
+rect 10451 13030 10463 13082
+rect 10525 13030 10527 13082
+rect 10365 13028 10389 13030
+rect 10445 13028 10469 13030
+rect 10525 13028 10549 13030
+rect 10309 13008 10605 13028
+rect 10692 12980 10744 12986
+rect 10692 12922 10744 12928
+rect 9864 12844 9916 12850
+rect 9864 12786 9916 12792
+rect 9772 11620 9824 11626
+rect 9772 11562 9824 11568
+rect 9680 11552 9732 11558
+rect 9680 11494 9732 11500
+rect 9772 11348 9824 11354
+rect 9772 11290 9824 11296
+rect 9680 11144 9732 11150
+rect 9680 11086 9732 11092
+rect 9692 8673 9720 11086
+rect 9784 10418 9812 11290
+rect 9876 10538 9904 12786
+rect 10704 12782 10732 12922
+rect 10888 12918 10916 14842
+rect 11900 12986 11928 14842
+rect 11888 12980 11940 12986
+rect 11888 12922 11940 12928
+rect 10876 12912 10928 12918
+rect 10876 12854 10928 12860
+rect 10692 12776 10744 12782
+rect 10692 12718 10744 12724
+rect 10876 12776 10928 12782
+rect 10876 12718 10928 12724
+rect 10704 12374 10732 12718
+rect 10692 12368 10744 12374
+rect 10692 12310 10744 12316
+rect 10309 11996 10605 12016
+rect 10365 11994 10389 11996
+rect 10445 11994 10469 11996
+rect 10525 11994 10549 11996
+rect 10387 11942 10389 11994
+rect 10451 11942 10463 11994
+rect 10525 11942 10527 11994
+rect 10365 11940 10389 11942
+rect 10445 11940 10469 11942
+rect 10525 11940 10549 11942
+rect 10309 11920 10605 11940
+rect 10048 11824 10100 11830
+rect 10048 11766 10100 11772
+rect 9956 11688 10008 11694
+rect 9956 11630 10008 11636
+rect 9968 11354 9996 11630
+rect 9956 11348 10008 11354
+rect 9956 11290 10008 11296
+rect 9956 11076 10008 11082
+rect 9956 11018 10008 11024
+rect 9864 10532 9916 10538
+rect 9864 10474 9916 10480
+rect 9784 10390 9904 10418
+rect 9876 10130 9904 10390
+rect 9864 10124 9916 10130
+rect 9864 10066 9916 10072
+rect 9772 8832 9824 8838
+rect 9772 8774 9824 8780
+rect 9678 8664 9734 8673
+rect 9784 8634 9812 8774
+rect 9678 8599 9734 8608
+rect 9772 8628 9824 8634
+rect 9772 8570 9824 8576
+rect 9876 8566 9904 10066
+rect 9968 9042 9996 11018
+rect 9956 9036 10008 9042
+rect 9956 8978 10008 8984
+rect 9864 8560 9916 8566
+rect 9864 8502 9916 8508
+rect 9772 8492 9824 8498
+rect 9772 8434 9824 8440
+rect 9588 7812 9640 7818
+rect 9588 7754 9640 7760
+rect 9600 6866 9628 7754
+rect 9678 6896 9734 6905
+rect 9588 6860 9640 6866
+rect 9678 6831 9680 6840
+rect 9588 6802 9640 6808
+rect 9732 6831 9734 6840
+rect 9680 6802 9732 6808
+rect 9784 6746 9812 8434
+rect 10060 8378 10088 11766
+rect 10784 11688 10836 11694
+rect 10784 11630 10836 11636
+rect 10692 11620 10744 11626
+rect 10692 11562 10744 11568
+rect 10704 11218 10732 11562
+rect 10692 11212 10744 11218
+rect 10692 11154 10744 11160
+rect 10692 11076 10744 11082
+rect 10692 11018 10744 11024
+rect 10704 10985 10732 11018
+rect 10690 10976 10746 10985
+rect 10309 10908 10605 10928
+rect 10690 10911 10746 10920
+rect 10365 10906 10389 10908
+rect 10445 10906 10469 10908
+rect 10525 10906 10549 10908
+rect 10387 10854 10389 10906
+rect 10451 10854 10463 10906
+rect 10525 10854 10527 10906
+rect 10365 10852 10389 10854
+rect 10445 10852 10469 10854
+rect 10525 10852 10549 10854
+rect 10309 10832 10605 10852
+rect 10232 10600 10284 10606
+rect 10232 10542 10284 10548
+rect 10140 10532 10192 10538
+rect 10140 10474 10192 10480
+rect 10152 9518 10180 10474
+rect 10140 9512 10192 9518
+rect 10140 9454 10192 9460
+rect 9600 6718 9812 6746
+rect 9876 8350 10088 8378
+rect 9600 6458 9628 6718
+rect 9772 6656 9824 6662
+rect 9772 6598 9824 6604
+rect 9588 6452 9640 6458
+rect 9588 6394 9640 6400
+rect 9600 6338 9628 6394
+rect 9600 6310 9720 6338
+rect 9588 6248 9640 6254
+rect 9588 6190 9640 6196
+rect 9600 5846 9628 6190
+rect 9588 5840 9640 5846
+rect 9588 5782 9640 5788
+rect 9692 5234 9720 6310
+rect 9784 6254 9812 6598
+rect 9772 6248 9824 6254
+rect 9772 6190 9824 6196
+rect 9876 6066 9904 8350
+rect 9956 8288 10008 8294
+rect 9956 8230 10008 8236
+rect 10048 8288 10100 8294
+rect 10048 8230 10100 8236
+rect 9968 8090 9996 8230
+rect 9956 8084 10008 8090
+rect 9956 8026 10008 8032
+rect 9968 7750 9996 8026
+rect 9956 7744 10008 7750
+rect 9956 7686 10008 7692
+rect 9956 7404 10008 7410
+rect 9956 7346 10008 7352
+rect 9784 6038 9904 6066
+rect 9784 5778 9812 6038
+rect 9772 5772 9824 5778
+rect 9772 5714 9824 5720
+rect 9784 5370 9812 5714
+rect 9772 5364 9824 5370
+rect 9772 5306 9824 5312
+rect 9680 5228 9732 5234
+rect 9680 5170 9732 5176
+rect 9692 4146 9720 5170
+rect 9968 4758 9996 7346
+rect 10060 5098 10088 8230
+rect 10152 7834 10180 9454
+rect 10244 8022 10272 10542
+rect 10309 9820 10605 9840
+rect 10365 9818 10389 9820
+rect 10445 9818 10469 9820
+rect 10525 9818 10549 9820
+rect 10387 9766 10389 9818
+rect 10451 9766 10463 9818
+rect 10525 9766 10527 9818
+rect 10365 9764 10389 9766
+rect 10445 9764 10469 9766
+rect 10525 9764 10549 9766
+rect 10309 9744 10605 9764
+rect 10796 9586 10824 11630
+rect 10784 9580 10836 9586
+rect 10784 9522 10836 9528
+rect 10692 9444 10744 9450
+rect 10888 9432 10916 12718
+rect 11152 11144 11204 11150
+rect 11152 11086 11204 11092
+rect 10968 11076 11020 11082
+rect 10968 11018 11020 11024
+rect 10744 9404 10916 9432
+rect 10692 9386 10744 9392
+rect 10309 8732 10605 8752
+rect 10365 8730 10389 8732
+rect 10445 8730 10469 8732
+rect 10525 8730 10549 8732
+rect 10387 8678 10389 8730
+rect 10451 8678 10463 8730
+rect 10525 8678 10527 8730
+rect 10365 8676 10389 8678
+rect 10445 8676 10469 8678
+rect 10525 8676 10549 8678
+rect 10309 8656 10605 8676
+rect 10232 8016 10284 8022
+rect 10232 7958 10284 7964
+rect 10152 7806 10272 7834
+rect 10140 7744 10192 7750
+rect 10140 7686 10192 7692
+rect 10152 7410 10180 7686
+rect 10140 7404 10192 7410
+rect 10140 7346 10192 7352
+rect 10140 7200 10192 7206
+rect 10140 7142 10192 7148
+rect 10152 5166 10180 7142
+rect 10244 6322 10272 7806
+rect 10309 7644 10605 7664
+rect 10365 7642 10389 7644
+rect 10445 7642 10469 7644
+rect 10525 7642 10549 7644
+rect 10387 7590 10389 7642
+rect 10451 7590 10463 7642
+rect 10525 7590 10527 7642
+rect 10365 7588 10389 7590
+rect 10445 7588 10469 7590
+rect 10525 7588 10549 7590
+rect 10309 7568 10605 7588
+rect 10309 6556 10605 6576
+rect 10365 6554 10389 6556
+rect 10445 6554 10469 6556
+rect 10525 6554 10549 6556
+rect 10387 6502 10389 6554
+rect 10451 6502 10463 6554
+rect 10525 6502 10527 6554
+rect 10365 6500 10389 6502
+rect 10445 6500 10469 6502
+rect 10525 6500 10549 6502
+rect 10309 6480 10605 6500
+rect 10322 6352 10378 6361
+rect 10232 6316 10284 6322
+rect 10322 6287 10378 6296
+rect 10232 6258 10284 6264
+rect 10336 6254 10364 6287
+rect 10324 6248 10376 6254
+rect 10324 6190 10376 6196
+rect 10704 5778 10732 9386
+rect 10980 9364 11008 11018
+rect 11164 10674 11192 11086
+rect 12912 11082 12940 14842
+rect 12900 11076 12952 11082
+rect 12900 11018 12952 11024
+rect 11152 10668 11204 10674
+rect 11152 10610 11204 10616
+rect 10888 9336 11008 9364
+rect 10784 9036 10836 9042
+rect 10784 8978 10836 8984
+rect 10796 8362 10824 8978
+rect 10784 8356 10836 8362
+rect 10784 8298 10836 8304
+rect 10784 7744 10836 7750
+rect 10784 7686 10836 7692
+rect 10796 6934 10824 7686
+rect 10888 7342 10916 9336
+rect 11060 7948 11112 7954
+rect 11060 7890 11112 7896
+rect 10966 7848 11022 7857
+rect 10966 7783 11022 7792
+rect 10876 7336 10928 7342
+rect 10876 7278 10928 7284
+rect 10784 6928 10836 6934
+rect 10784 6870 10836 6876
+rect 10692 5772 10744 5778
+rect 10692 5714 10744 5720
+rect 10309 5468 10605 5488
+rect 10365 5466 10389 5468
+rect 10445 5466 10469 5468
+rect 10525 5466 10549 5468
+rect 10387 5414 10389 5466
+rect 10451 5414 10463 5466
+rect 10525 5414 10527 5466
+rect 10365 5412 10389 5414
+rect 10445 5412 10469 5414
+rect 10525 5412 10549 5414
+rect 10309 5392 10605 5412
+rect 10140 5160 10192 5166
+rect 10140 5102 10192 5108
+rect 10048 5092 10100 5098
+rect 10048 5034 10100 5040
+rect 9956 4752 10008 4758
+rect 10796 4729 10824 6870
+rect 10876 6860 10928 6866
+rect 10876 6802 10928 6808
+rect 10888 6186 10916 6802
+rect 10876 6180 10928 6186
+rect 10876 6122 10928 6128
+rect 10980 5778 11008 7783
+rect 11072 7546 11100 7890
+rect 11060 7540 11112 7546
+rect 11060 7482 11112 7488
+rect 11058 6896 11114 6905
+rect 11058 6831 11060 6840
+rect 11112 6831 11114 6840
+rect 11060 6802 11112 6808
+rect 11164 6798 11192 10610
+rect 11244 9580 11296 9586
+rect 11244 9522 11296 9528
+rect 11152 6792 11204 6798
+rect 11152 6734 11204 6740
+rect 10968 5772 11020 5778
+rect 10968 5714 11020 5720
+rect 9956 4694 10008 4700
+rect 10782 4720 10838 4729
+rect 10782 4655 10838 4664
+rect 10309 4380 10605 4400
+rect 10365 4378 10389 4380
+rect 10445 4378 10469 4380
+rect 10525 4378 10549 4380
+rect 10387 4326 10389 4378
+rect 10451 4326 10463 4378
+rect 10525 4326 10527 4378
+rect 10365 4324 10389 4326
+rect 10445 4324 10469 4326
+rect 10525 4324 10549 4326
+rect 10309 4304 10605 4324
+rect 9680 4140 9732 4146
+rect 9680 4082 9732 4088
+rect 11256 3670 11284 9522
+rect 12072 8356 12124 8362
+rect 12072 8298 12124 8304
+rect 11244 3664 11296 3670
+rect 11244 3606 11296 3612
+rect 10309 3292 10605 3312
+rect 10365 3290 10389 3292
+rect 10445 3290 10469 3292
+rect 10525 3290 10549 3292
+rect 10387 3238 10389 3290
+rect 10451 3238 10463 3290
+rect 10525 3238 10527 3290
+rect 10365 3236 10389 3238
+rect 10445 3236 10469 3238
+rect 10525 3236 10549 3238
+rect 10309 3216 10605 3236
+rect 9496 2848 9548 2854
+rect 9496 2790 9548 2796
+rect 11152 2848 11204 2854
+rect 11152 2790 11204 2796
+rect 10309 2204 10605 2224
+rect 10365 2202 10389 2204
+rect 10445 2202 10469 2204
+rect 10525 2202 10549 2204
+rect 10387 2150 10389 2202
+rect 10451 2150 10463 2202
+rect 10525 2150 10527 2202
+rect 10365 2148 10389 2150
+rect 10445 2148 10469 2150
+rect 10525 2148 10549 2150
+rect 10309 2128 10605 2148
+rect 11164 1601 11192 2790
+rect 11150 1592 11206 1601
+rect 11150 1527 11206 1536
+rect 12084 800 12112 8298
+rect 1306 0 1362 800
+rect 3974 0 4030 800
+rect 6642 0 6698 800
+rect 9402 0 9458 800
+rect 12070 0 12126 800
+<< via2 >>
+rect 1398 12724 1400 12744
+rect 1400 12724 1452 12744
+rect 1452 12724 1454 12744
+rect 1398 12688 1454 12724
+rect 2826 13082 2882 13084
+rect 2906 13082 2962 13084
+rect 2986 13082 3042 13084
+rect 3066 13082 3122 13084
+rect 2826 13030 2852 13082
+rect 2852 13030 2882 13082
+rect 2906 13030 2916 13082
+rect 2916 13030 2962 13082
+rect 2986 13030 3032 13082
+rect 3032 13030 3042 13082
+rect 3066 13030 3096 13082
+rect 3096 13030 3122 13082
+rect 2826 13028 2882 13030
+rect 2906 13028 2962 13030
+rect 2986 13028 3042 13030
+rect 3066 13028 3122 13030
+rect 3330 12824 3386 12880
+rect 2826 11994 2882 11996
+rect 2906 11994 2962 11996
+rect 2986 11994 3042 11996
+rect 3066 11994 3122 11996
+rect 2826 11942 2852 11994
+rect 2852 11942 2882 11994
+rect 2906 11942 2916 11994
+rect 2916 11942 2962 11994
+rect 2986 11942 3032 11994
+rect 3032 11942 3042 11994
+rect 3066 11942 3096 11994
+rect 3096 11942 3122 11994
+rect 2826 11940 2882 11942
+rect 2906 11940 2962 11942
+rect 2986 11940 3042 11942
+rect 3066 11940 3122 11942
+rect 1674 7948 1730 7984
+rect 1674 7928 1676 7948
+rect 1676 7928 1728 7948
+rect 1728 7928 1730 7948
+rect 2042 9424 2098 9480
+rect 2826 10906 2882 10908
+rect 2906 10906 2962 10908
+rect 2986 10906 3042 10908
+rect 3066 10906 3122 10908
+rect 2826 10854 2852 10906
+rect 2852 10854 2882 10906
+rect 2906 10854 2916 10906
+rect 2916 10854 2962 10906
+rect 2986 10854 3032 10906
+rect 3032 10854 3042 10906
+rect 3066 10854 3096 10906
+rect 3096 10854 3122 10906
+rect 2826 10852 2882 10854
+rect 2906 10852 2962 10854
+rect 2986 10852 3042 10854
+rect 3066 10852 3122 10854
+rect 2826 9818 2882 9820
+rect 2906 9818 2962 9820
+rect 2986 9818 3042 9820
+rect 3066 9818 3122 9820
+rect 2826 9766 2852 9818
+rect 2852 9766 2882 9818
+rect 2906 9766 2916 9818
+rect 2916 9766 2962 9818
+rect 2986 9766 3032 9818
+rect 3032 9766 3042 9818
+rect 3066 9766 3096 9818
+rect 3096 9766 3122 9818
+rect 2826 9764 2882 9766
+rect 2906 9764 2962 9766
+rect 2986 9764 3042 9766
+rect 3066 9764 3122 9766
+rect 1858 8336 1914 8392
+rect 2318 7268 2374 7304
+rect 2318 7248 2320 7268
+rect 2320 7248 2372 7268
+rect 2372 7248 2374 7268
+rect 2826 8730 2882 8732
+rect 2906 8730 2962 8732
+rect 2986 8730 3042 8732
+rect 3066 8730 3122 8732
+rect 2826 8678 2852 8730
+rect 2852 8678 2882 8730
+rect 2906 8678 2916 8730
+rect 2916 8678 2962 8730
+rect 2986 8678 3032 8730
+rect 3032 8678 3042 8730
+rect 3066 8678 3096 8730
+rect 3096 8678 3122 8730
+rect 2826 8676 2882 8678
+rect 2906 8676 2962 8678
+rect 2986 8676 3042 8678
+rect 3066 8676 3122 8678
+rect 3146 7792 3202 7848
+rect 2826 7642 2882 7644
+rect 2906 7642 2962 7644
+rect 2986 7642 3042 7644
+rect 3066 7642 3122 7644
+rect 2826 7590 2852 7642
+rect 2852 7590 2882 7642
+rect 2906 7590 2916 7642
+rect 2916 7590 2962 7642
+rect 2986 7590 3032 7642
+rect 3032 7590 3042 7642
+rect 3066 7590 3096 7642
+rect 3096 7590 3122 7642
+rect 2826 7588 2882 7590
+rect 2906 7588 2962 7590
+rect 2986 7588 3042 7590
+rect 3066 7588 3122 7590
+rect 2826 6554 2882 6556
+rect 2906 6554 2962 6556
+rect 2986 6554 3042 6556
+rect 3066 6554 3122 6556
+rect 2826 6502 2852 6554
+rect 2852 6502 2882 6554
+rect 2906 6502 2916 6554
+rect 2916 6502 2962 6554
+rect 2986 6502 3032 6554
+rect 3032 6502 3042 6554
+rect 3066 6502 3096 6554
+rect 3096 6502 3122 6554
+rect 2826 6500 2882 6502
+rect 2906 6500 2962 6502
+rect 2986 6500 3042 6502
+rect 3066 6500 3122 6502
+rect 3790 10648 3846 10704
+rect 3514 8744 3570 8800
+rect 3790 10512 3846 10568
+rect 4066 9560 4122 9616
+rect 3974 9016 4030 9072
+rect 4697 12538 4753 12540
+rect 4777 12538 4833 12540
+rect 4857 12538 4913 12540
+rect 4937 12538 4993 12540
+rect 4697 12486 4723 12538
+rect 4723 12486 4753 12538
+rect 4777 12486 4787 12538
+rect 4787 12486 4833 12538
+rect 4857 12486 4903 12538
+rect 4903 12486 4913 12538
+rect 4937 12486 4967 12538
+rect 4967 12486 4993 12538
+rect 4697 12484 4753 12486
+rect 4777 12484 4833 12486
+rect 4857 12484 4913 12486
+rect 4937 12484 4993 12486
+rect 4697 11450 4753 11452
+rect 4777 11450 4833 11452
+rect 4857 11450 4913 11452
+rect 4937 11450 4993 11452
+rect 4697 11398 4723 11450
+rect 4723 11398 4753 11450
+rect 4777 11398 4787 11450
+rect 4787 11398 4833 11450
+rect 4857 11398 4903 11450
+rect 4903 11398 4913 11450
+rect 4937 11398 4967 11450
+rect 4967 11398 4993 11450
+rect 4697 11396 4753 11398
+rect 4777 11396 4833 11398
+rect 4857 11396 4913 11398
+rect 4937 11396 4993 11398
+rect 4066 7792 4122 7848
+rect 2826 5466 2882 5468
+rect 2906 5466 2962 5468
+rect 2986 5466 3042 5468
+rect 3066 5466 3122 5468
+rect 2826 5414 2852 5466
+rect 2852 5414 2882 5466
+rect 2906 5414 2916 5466
+rect 2916 5414 2962 5466
+rect 2986 5414 3032 5466
+rect 3032 5414 3042 5466
+rect 3066 5414 3096 5466
+rect 3096 5414 3122 5466
+rect 2826 5412 2882 5414
+rect 2906 5412 2962 5414
+rect 2986 5412 3042 5414
+rect 3066 5412 3122 5414
+rect 2826 4378 2882 4380
+rect 2906 4378 2962 4380
+rect 2986 4378 3042 4380
+rect 3066 4378 3122 4380
+rect 2826 4326 2852 4378
+rect 2852 4326 2882 4378
+rect 2906 4326 2916 4378
+rect 2916 4326 2962 4378
+rect 2986 4326 3032 4378
+rect 3032 4326 3042 4378
+rect 3066 4326 3096 4378
+rect 3096 4326 3122 4378
+rect 2826 4324 2882 4326
+rect 2906 4324 2962 4326
+rect 2986 4324 3042 4326
+rect 3066 4324 3122 4326
+rect 2826 3290 2882 3292
+rect 2906 3290 2962 3292
+rect 2986 3290 3042 3292
+rect 3066 3290 3122 3292
+rect 2826 3238 2852 3290
+rect 2852 3238 2882 3290
+rect 2906 3238 2916 3290
+rect 2916 3238 2962 3290
+rect 2986 3238 3032 3290
+rect 3032 3238 3042 3290
+rect 3066 3238 3096 3290
+rect 3096 3238 3122 3290
+rect 2826 3236 2882 3238
+rect 2906 3236 2962 3238
+rect 2986 3236 3042 3238
+rect 3066 3236 3122 3238
+rect 1766 2624 1822 2680
+rect 2826 2202 2882 2204
+rect 2906 2202 2962 2204
+rect 2986 2202 3042 2204
+rect 3066 2202 3122 2204
+rect 2826 2150 2852 2202
+rect 2852 2150 2882 2202
+rect 2906 2150 2916 2202
+rect 2916 2150 2962 2202
+rect 2986 2150 3032 2202
+rect 3032 2150 3042 2202
+rect 3066 2150 3096 2202
+rect 3096 2150 3122 2202
+rect 2826 2148 2882 2150
+rect 2906 2148 2962 2150
+rect 2986 2148 3042 2150
+rect 3066 2148 3122 2150
+rect 4710 10548 4712 10568
+rect 4712 10548 4764 10568
+rect 4764 10548 4766 10568
+rect 4710 10512 4766 10548
+rect 4697 10362 4753 10364
+rect 4777 10362 4833 10364
+rect 4857 10362 4913 10364
+rect 4937 10362 4993 10364
+rect 4697 10310 4723 10362
+rect 4723 10310 4753 10362
+rect 4777 10310 4787 10362
+rect 4787 10310 4833 10362
+rect 4857 10310 4903 10362
+rect 4903 10310 4913 10362
+rect 4937 10310 4967 10362
+rect 4967 10310 4993 10362
+rect 4697 10308 4753 10310
+rect 4777 10308 4833 10310
+rect 4857 10308 4913 10310
+rect 4937 10308 4993 10310
+rect 5446 11872 5502 11928
+rect 5170 9968 5226 10024
+rect 5078 9696 5134 9752
+rect 4697 9274 4753 9276
+rect 4777 9274 4833 9276
+rect 4857 9274 4913 9276
+rect 4937 9274 4993 9276
+rect 4697 9222 4723 9274
+rect 4723 9222 4753 9274
+rect 4777 9222 4787 9274
+rect 4787 9222 4833 9274
+rect 4857 9222 4903 9274
+rect 4903 9222 4913 9274
+rect 4937 9222 4967 9274
+rect 4967 9222 4993 9274
+rect 4697 9220 4753 9222
+rect 4777 9220 4833 9222
+rect 4857 9220 4913 9222
+rect 4937 9220 4993 9222
+rect 4986 8336 5042 8392
+rect 4697 8186 4753 8188
+rect 4777 8186 4833 8188
+rect 4857 8186 4913 8188
+rect 4937 8186 4993 8188
+rect 4697 8134 4723 8186
+rect 4723 8134 4753 8186
+rect 4777 8134 4787 8186
+rect 4787 8134 4833 8186
+rect 4857 8134 4903 8186
+rect 4903 8134 4913 8186
+rect 4937 8134 4967 8186
+rect 4967 8134 4993 8186
+rect 4697 8132 4753 8134
+rect 4777 8132 4833 8134
+rect 4857 8132 4913 8134
+rect 4937 8132 4993 8134
+rect 4710 7520 4766 7576
+rect 4986 7384 5042 7440
+rect 4697 7098 4753 7100
+rect 4777 7098 4833 7100
+rect 4857 7098 4913 7100
+rect 4937 7098 4993 7100
+rect 4697 7046 4723 7098
+rect 4723 7046 4753 7098
+rect 4777 7046 4787 7098
+rect 4787 7046 4833 7098
+rect 4857 7046 4903 7098
+rect 4903 7046 4913 7098
+rect 4937 7046 4967 7098
+rect 4967 7046 4993 7098
+rect 4697 7044 4753 7046
+rect 4777 7044 4833 7046
+rect 4857 7044 4913 7046
+rect 4937 7044 4993 7046
+rect 4697 6010 4753 6012
+rect 4777 6010 4833 6012
+rect 4857 6010 4913 6012
+rect 4937 6010 4993 6012
+rect 4697 5958 4723 6010
+rect 4723 5958 4753 6010
+rect 4777 5958 4787 6010
+rect 4787 5958 4833 6010
+rect 4857 5958 4903 6010
+rect 4903 5958 4913 6010
+rect 4937 5958 4967 6010
+rect 4967 5958 4993 6010
+rect 4697 5956 4753 5958
+rect 4777 5956 4833 5958
+rect 4857 5956 4913 5958
+rect 4937 5956 4993 5958
+rect 5538 9832 5594 9888
+rect 5630 9460 5632 9480
+rect 5632 9460 5684 9480
+rect 5684 9460 5686 9480
+rect 5630 9424 5686 9460
+rect 5814 9052 5816 9072
+rect 5816 9052 5868 9072
+rect 5868 9052 5870 9072
+rect 5814 9016 5870 9052
+rect 5722 8880 5778 8936
+rect 5630 7928 5686 7984
+rect 4710 5616 4766 5672
+rect 4697 4922 4753 4924
+rect 4777 4922 4833 4924
+rect 4857 4922 4913 4924
+rect 4937 4922 4993 4924
+rect 4697 4870 4723 4922
+rect 4723 4870 4753 4922
+rect 4777 4870 4787 4922
+rect 4787 4870 4833 4922
+rect 4857 4870 4903 4922
+rect 4903 4870 4913 4922
+rect 4937 4870 4967 4922
+rect 4967 4870 4993 4922
+rect 4697 4868 4753 4870
+rect 4777 4868 4833 4870
+rect 4857 4868 4913 4870
+rect 4937 4868 4993 4870
+rect 4697 3834 4753 3836
+rect 4777 3834 4833 3836
+rect 4857 3834 4913 3836
+rect 4937 3834 4993 3836
+rect 4697 3782 4723 3834
+rect 4723 3782 4753 3834
+rect 4777 3782 4787 3834
+rect 4787 3782 4833 3834
+rect 4857 3782 4903 3834
+rect 4903 3782 4913 3834
+rect 4937 3782 4967 3834
+rect 4967 3782 4993 3834
+rect 4697 3780 4753 3782
+rect 4777 3780 4833 3782
+rect 4857 3780 4913 3782
+rect 4937 3780 4993 3782
+rect 6568 13082 6624 13084
+rect 6648 13082 6704 13084
+rect 6728 13082 6784 13084
+rect 6808 13082 6864 13084
+rect 6568 13030 6594 13082
+rect 6594 13030 6624 13082
+rect 6648 13030 6658 13082
+rect 6658 13030 6704 13082
+rect 6728 13030 6774 13082
+rect 6774 13030 6784 13082
+rect 6808 13030 6838 13082
+rect 6838 13030 6864 13082
+rect 6568 13028 6624 13030
+rect 6648 13028 6704 13030
+rect 6728 13028 6784 13030
+rect 6808 13028 6864 13030
+rect 7102 12144 7158 12200
+rect 6568 11994 6624 11996
+rect 6648 11994 6704 11996
+rect 6728 11994 6784 11996
+rect 6808 11994 6864 11996
+rect 6568 11942 6594 11994
+rect 6594 11942 6624 11994
+rect 6648 11942 6658 11994
+rect 6658 11942 6704 11994
+rect 6728 11942 6774 11994
+rect 6774 11942 6784 11994
+rect 6808 11942 6838 11994
+rect 6838 11942 6864 11994
+rect 6568 11940 6624 11942
+rect 6648 11940 6704 11942
+rect 6728 11940 6784 11942
+rect 6808 11940 6864 11942
+rect 6568 10906 6624 10908
+rect 6648 10906 6704 10908
+rect 6728 10906 6784 10908
+rect 6808 10906 6864 10908
+rect 6568 10854 6594 10906
+rect 6594 10854 6624 10906
+rect 6648 10854 6658 10906
+rect 6658 10854 6704 10906
+rect 6728 10854 6774 10906
+rect 6774 10854 6784 10906
+rect 6808 10854 6838 10906
+rect 6838 10854 6864 10906
+rect 6568 10852 6624 10854
+rect 6648 10852 6704 10854
+rect 6728 10852 6784 10854
+rect 6808 10852 6864 10854
+rect 6274 10104 6330 10160
+rect 6568 9818 6624 9820
+rect 6648 9818 6704 9820
+rect 6728 9818 6784 9820
+rect 6808 9818 6864 9820
+rect 6568 9766 6594 9818
+rect 6594 9766 6624 9818
+rect 6648 9766 6658 9818
+rect 6658 9766 6704 9818
+rect 6728 9766 6774 9818
+rect 6774 9766 6784 9818
+rect 6808 9766 6838 9818
+rect 6838 9766 6864 9818
+rect 6568 9764 6624 9766
+rect 6648 9764 6704 9766
+rect 6728 9764 6784 9766
+rect 6808 9764 6864 9766
+rect 5998 8744 6054 8800
+rect 5722 7520 5778 7576
+rect 5998 7384 6054 7440
+rect 6568 8730 6624 8732
+rect 6648 8730 6704 8732
+rect 6728 8730 6784 8732
+rect 6808 8730 6864 8732
+rect 6568 8678 6594 8730
+rect 6594 8678 6624 8730
+rect 6648 8678 6658 8730
+rect 6658 8678 6704 8730
+rect 6728 8678 6774 8730
+rect 6774 8678 6784 8730
+rect 6808 8678 6838 8730
+rect 6838 8678 6864 8730
+rect 6568 8676 6624 8678
+rect 6648 8676 6704 8678
+rect 6728 8676 6784 8678
+rect 6808 8676 6864 8678
+rect 6826 8336 6882 8392
+rect 6090 6704 6146 6760
+rect 4697 2746 4753 2748
+rect 4777 2746 4833 2748
+rect 4857 2746 4913 2748
+rect 4937 2746 4993 2748
+rect 4697 2694 4723 2746
+rect 4723 2694 4753 2746
+rect 4777 2694 4787 2746
+rect 4787 2694 4833 2746
+rect 4857 2694 4903 2746
+rect 4903 2694 4913 2746
+rect 4937 2694 4967 2746
+rect 4967 2694 4993 2746
+rect 4697 2692 4753 2694
+rect 4777 2692 4833 2694
+rect 4857 2692 4913 2694
+rect 4937 2692 4993 2694
+rect 6568 7642 6624 7644
+rect 6648 7642 6704 7644
+rect 6728 7642 6784 7644
+rect 6808 7642 6864 7644
+rect 6568 7590 6594 7642
+rect 6594 7590 6624 7642
+rect 6648 7590 6658 7642
+rect 6658 7590 6704 7642
+rect 6728 7590 6774 7642
+rect 6774 7590 6784 7642
+rect 6808 7590 6838 7642
+rect 6838 7590 6864 7642
+rect 6568 7588 6624 7590
+rect 6648 7588 6704 7590
+rect 6728 7588 6784 7590
+rect 6808 7588 6864 7590
+rect 6550 7384 6606 7440
+rect 6642 6976 6698 7032
+rect 6568 6554 6624 6556
+rect 6648 6554 6704 6556
+rect 6728 6554 6784 6556
+rect 6808 6554 6864 6556
+rect 6568 6502 6594 6554
+rect 6594 6502 6624 6554
+rect 6648 6502 6658 6554
+rect 6658 6502 6704 6554
+rect 6728 6502 6774 6554
+rect 6774 6502 6784 6554
+rect 6808 6502 6838 6554
+rect 6838 6502 6864 6554
+rect 6568 6500 6624 6502
+rect 6648 6500 6704 6502
+rect 6728 6500 6784 6502
+rect 6808 6500 6864 6502
+rect 6826 6296 6882 6352
+rect 6734 6160 6790 6216
+rect 6568 5466 6624 5468
+rect 6648 5466 6704 5468
+rect 6728 5466 6784 5468
+rect 6808 5466 6864 5468
+rect 6568 5414 6594 5466
+rect 6594 5414 6624 5466
+rect 6648 5414 6658 5466
+rect 6658 5414 6704 5466
+rect 6728 5414 6774 5466
+rect 6774 5414 6784 5466
+rect 6808 5414 6838 5466
+rect 6838 5414 6864 5466
+rect 6568 5412 6624 5414
+rect 6648 5412 6704 5414
+rect 6728 5412 6784 5414
+rect 6808 5412 6864 5414
+rect 7102 10512 7158 10568
+rect 7194 10240 7250 10296
+rect 9586 14048 9642 14104
+rect 8438 12538 8494 12540
+rect 8518 12538 8574 12540
+rect 8598 12538 8654 12540
+rect 8678 12538 8734 12540
+rect 8438 12486 8464 12538
+rect 8464 12486 8494 12538
+rect 8518 12486 8528 12538
+rect 8528 12486 8574 12538
+rect 8598 12486 8644 12538
+rect 8644 12486 8654 12538
+rect 8678 12486 8708 12538
+rect 8708 12486 8734 12538
+rect 8438 12484 8494 12486
+rect 8518 12484 8574 12486
+rect 8598 12484 8654 12486
+rect 8678 12484 8734 12486
+rect 8206 12180 8208 12200
+rect 8208 12180 8260 12200
+rect 8260 12180 8262 12200
+rect 8206 12144 8262 12180
+rect 7010 9016 7066 9072
+rect 7194 9560 7250 9616
+rect 7194 9324 7196 9344
+rect 7196 9324 7248 9344
+rect 7248 9324 7250 9344
+rect 7194 9288 7250 9324
+rect 7286 9152 7342 9208
+rect 7010 7248 7066 7304
+rect 6568 4378 6624 4380
+rect 6648 4378 6704 4380
+rect 6728 4378 6784 4380
+rect 6808 4378 6864 4380
+rect 6568 4326 6594 4378
+rect 6594 4326 6624 4378
+rect 6648 4326 6658 4378
+rect 6658 4326 6704 4378
+rect 6728 4326 6774 4378
+rect 6774 4326 6784 4378
+rect 6808 4326 6838 4378
+rect 6838 4326 6864 4378
+rect 6568 4324 6624 4326
+rect 6648 4324 6704 4326
+rect 6728 4324 6784 4326
+rect 6808 4324 6864 4326
+rect 7470 6452 7526 6488
+rect 7470 6432 7472 6452
+rect 7472 6432 7524 6452
+rect 7524 6432 7526 6452
+rect 7838 7928 7894 7984
+rect 7838 7268 7894 7304
+rect 7838 7248 7840 7268
+rect 7840 7248 7892 7268
+rect 7892 7248 7894 7268
+rect 8114 8472 8170 8528
+rect 6568 3290 6624 3292
+rect 6648 3290 6704 3292
+rect 6728 3290 6784 3292
+rect 6808 3290 6864 3292
+rect 6568 3238 6594 3290
+rect 6594 3238 6624 3290
+rect 6648 3238 6658 3290
+rect 6658 3238 6704 3290
+rect 6728 3238 6774 3290
+rect 6774 3238 6784 3290
+rect 6808 3238 6838 3290
+rect 6838 3238 6864 3290
+rect 6568 3236 6624 3238
+rect 6648 3236 6704 3238
+rect 6728 3236 6784 3238
+rect 6808 3236 6864 3238
+rect 8438 11450 8494 11452
+rect 8518 11450 8574 11452
+rect 8598 11450 8654 11452
+rect 8678 11450 8734 11452
+rect 8438 11398 8464 11450
+rect 8464 11398 8494 11450
+rect 8518 11398 8528 11450
+rect 8528 11398 8574 11450
+rect 8598 11398 8644 11450
+rect 8644 11398 8654 11450
+rect 8678 11398 8708 11450
+rect 8708 11398 8734 11450
+rect 8438 11396 8494 11398
+rect 8518 11396 8574 11398
+rect 8598 11396 8654 11398
+rect 8678 11396 8734 11398
+rect 8438 10362 8494 10364
+rect 8518 10362 8574 10364
+rect 8598 10362 8654 10364
+rect 8678 10362 8734 10364
+rect 8438 10310 8464 10362
+rect 8464 10310 8494 10362
+rect 8518 10310 8528 10362
+rect 8528 10310 8574 10362
+rect 8598 10310 8644 10362
+rect 8644 10310 8654 10362
+rect 8678 10310 8708 10362
+rect 8708 10310 8734 10362
+rect 8438 10308 8494 10310
+rect 8518 10308 8574 10310
+rect 8598 10308 8654 10310
+rect 8678 10308 8734 10310
+rect 8574 10004 8576 10024
+rect 8576 10004 8628 10024
+rect 8628 10004 8630 10024
+rect 8574 9968 8630 10004
+rect 8574 9424 8630 9480
+rect 8438 9274 8494 9276
+rect 8518 9274 8574 9276
+rect 8598 9274 8654 9276
+rect 8678 9274 8734 9276
+rect 8438 9222 8464 9274
+rect 8464 9222 8494 9274
+rect 8518 9222 8528 9274
+rect 8528 9222 8574 9274
+rect 8598 9222 8644 9274
+rect 8644 9222 8654 9274
+rect 8678 9222 8708 9274
+rect 8708 9222 8734 9274
+rect 8438 9220 8494 9222
+rect 8518 9220 8574 9222
+rect 8598 9220 8654 9222
+rect 8678 9220 8734 9222
+rect 8298 8608 8354 8664
+rect 8206 7656 8262 7712
+rect 8438 8186 8494 8188
+rect 8518 8186 8574 8188
+rect 8598 8186 8654 8188
+rect 8678 8186 8734 8188
+rect 8438 8134 8464 8186
+rect 8464 8134 8494 8186
+rect 8518 8134 8528 8186
+rect 8528 8134 8574 8186
+rect 8598 8134 8644 8186
+rect 8644 8134 8654 8186
+rect 8678 8134 8708 8186
+rect 8708 8134 8734 8186
+rect 8438 8132 8494 8134
+rect 8518 8132 8574 8134
+rect 8598 8132 8654 8134
+rect 8678 8132 8734 8134
+rect 8666 7248 8722 7304
+rect 8438 7098 8494 7100
+rect 8518 7098 8574 7100
+rect 8598 7098 8654 7100
+rect 8678 7098 8734 7100
+rect 8438 7046 8464 7098
+rect 8464 7046 8494 7098
+rect 8518 7046 8528 7098
+rect 8528 7046 8574 7098
+rect 8598 7046 8644 7098
+rect 8644 7046 8654 7098
+rect 8678 7046 8708 7098
+rect 8708 7046 8734 7098
+rect 8438 7044 8494 7046
+rect 8518 7044 8574 7046
+rect 8598 7044 8654 7046
+rect 8678 7044 8734 7046
+rect 8850 6704 8906 6760
+rect 8438 6010 8494 6012
+rect 8518 6010 8574 6012
+rect 8598 6010 8654 6012
+rect 8678 6010 8734 6012
+rect 8438 5958 8464 6010
+rect 8464 5958 8494 6010
+rect 8518 5958 8528 6010
+rect 8528 5958 8574 6010
+rect 8598 5958 8644 6010
+rect 8644 5958 8654 6010
+rect 8678 5958 8708 6010
+rect 8708 5958 8734 6010
+rect 8438 5956 8494 5958
+rect 8518 5956 8574 5958
+rect 8598 5956 8654 5958
+rect 8678 5956 8734 5958
+rect 8666 5208 8722 5264
+rect 8438 4922 8494 4924
+rect 8518 4922 8574 4924
+rect 8598 4922 8654 4924
+rect 8678 4922 8734 4924
+rect 8438 4870 8464 4922
+rect 8464 4870 8494 4922
+rect 8518 4870 8528 4922
+rect 8528 4870 8574 4922
+rect 8598 4870 8644 4922
+rect 8644 4870 8654 4922
+rect 8678 4870 8708 4922
+rect 8708 4870 8734 4922
+rect 8438 4868 8494 4870
+rect 8518 4868 8574 4870
+rect 8598 4868 8654 4870
+rect 8678 4868 8734 4870
+rect 8850 4120 8906 4176
+rect 8438 3834 8494 3836
+rect 8518 3834 8574 3836
+rect 8598 3834 8654 3836
+rect 8678 3834 8734 3836
+rect 8438 3782 8464 3834
+rect 8464 3782 8494 3834
+rect 8518 3782 8528 3834
+rect 8528 3782 8574 3834
+rect 8598 3782 8644 3834
+rect 8644 3782 8654 3834
+rect 8678 3782 8708 3834
+rect 8708 3782 8734 3834
+rect 8438 3780 8494 3782
+rect 8518 3780 8574 3782
+rect 8598 3780 8654 3782
+rect 8678 3780 8734 3782
+rect 8438 2746 8494 2748
+rect 8518 2746 8574 2748
+rect 8598 2746 8654 2748
+rect 8678 2746 8734 2748
+rect 8438 2694 8464 2746
+rect 8464 2694 8494 2746
+rect 8518 2694 8528 2746
+rect 8528 2694 8574 2746
+rect 8598 2694 8644 2746
+rect 8644 2694 8654 2746
+rect 8678 2694 8708 2746
+rect 8708 2694 8734 2746
+rect 8438 2692 8494 2694
+rect 8518 2692 8574 2694
+rect 8598 2692 8654 2694
+rect 8678 2692 8734 2694
+rect 6568 2202 6624 2204
+rect 6648 2202 6704 2204
+rect 6728 2202 6784 2204
+rect 6808 2202 6864 2204
+rect 6568 2150 6594 2202
+rect 6594 2150 6624 2202
+rect 6648 2150 6658 2202
+rect 6658 2150 6704 2202
+rect 6728 2150 6774 2202
+rect 6774 2150 6784 2202
+rect 6808 2150 6838 2202
+rect 6838 2150 6864 2202
+rect 6568 2148 6624 2150
+rect 6648 2148 6704 2150
+rect 6728 2148 6784 2150
+rect 6808 2148 6864 2150
+rect 10309 13082 10365 13084
+rect 10389 13082 10445 13084
+rect 10469 13082 10525 13084
+rect 10549 13082 10605 13084
+rect 10309 13030 10335 13082
+rect 10335 13030 10365 13082
+rect 10389 13030 10399 13082
+rect 10399 13030 10445 13082
+rect 10469 13030 10515 13082
+rect 10515 13030 10525 13082
+rect 10549 13030 10579 13082
+rect 10579 13030 10605 13082
+rect 10309 13028 10365 13030
+rect 10389 13028 10445 13030
+rect 10469 13028 10525 13030
+rect 10549 13028 10605 13030
+rect 10309 11994 10365 11996
+rect 10389 11994 10445 11996
+rect 10469 11994 10525 11996
+rect 10549 11994 10605 11996
+rect 10309 11942 10335 11994
+rect 10335 11942 10365 11994
+rect 10389 11942 10399 11994
+rect 10399 11942 10445 11994
+rect 10469 11942 10515 11994
+rect 10515 11942 10525 11994
+rect 10549 11942 10579 11994
+rect 10579 11942 10605 11994
+rect 10309 11940 10365 11942
+rect 10389 11940 10445 11942
+rect 10469 11940 10525 11942
+rect 10549 11940 10605 11942
+rect 9678 8608 9734 8664
+rect 9678 6860 9734 6896
+rect 9678 6840 9680 6860
+rect 9680 6840 9732 6860
+rect 9732 6840 9734 6860
+rect 10690 10920 10746 10976
+rect 10309 10906 10365 10908
+rect 10389 10906 10445 10908
+rect 10469 10906 10525 10908
+rect 10549 10906 10605 10908
+rect 10309 10854 10335 10906
+rect 10335 10854 10365 10906
+rect 10389 10854 10399 10906
+rect 10399 10854 10445 10906
+rect 10469 10854 10515 10906
+rect 10515 10854 10525 10906
+rect 10549 10854 10579 10906
+rect 10579 10854 10605 10906
+rect 10309 10852 10365 10854
+rect 10389 10852 10445 10854
+rect 10469 10852 10525 10854
+rect 10549 10852 10605 10854
+rect 10309 9818 10365 9820
+rect 10389 9818 10445 9820
+rect 10469 9818 10525 9820
+rect 10549 9818 10605 9820
+rect 10309 9766 10335 9818
+rect 10335 9766 10365 9818
+rect 10389 9766 10399 9818
+rect 10399 9766 10445 9818
+rect 10469 9766 10515 9818
+rect 10515 9766 10525 9818
+rect 10549 9766 10579 9818
+rect 10579 9766 10605 9818
+rect 10309 9764 10365 9766
+rect 10389 9764 10445 9766
+rect 10469 9764 10525 9766
+rect 10549 9764 10605 9766
+rect 10309 8730 10365 8732
+rect 10389 8730 10445 8732
+rect 10469 8730 10525 8732
+rect 10549 8730 10605 8732
+rect 10309 8678 10335 8730
+rect 10335 8678 10365 8730
+rect 10389 8678 10399 8730
+rect 10399 8678 10445 8730
+rect 10469 8678 10515 8730
+rect 10515 8678 10525 8730
+rect 10549 8678 10579 8730
+rect 10579 8678 10605 8730
+rect 10309 8676 10365 8678
+rect 10389 8676 10445 8678
+rect 10469 8676 10525 8678
+rect 10549 8676 10605 8678
+rect 10309 7642 10365 7644
+rect 10389 7642 10445 7644
+rect 10469 7642 10525 7644
+rect 10549 7642 10605 7644
+rect 10309 7590 10335 7642
+rect 10335 7590 10365 7642
+rect 10389 7590 10399 7642
+rect 10399 7590 10445 7642
+rect 10469 7590 10515 7642
+rect 10515 7590 10525 7642
+rect 10549 7590 10579 7642
+rect 10579 7590 10605 7642
+rect 10309 7588 10365 7590
+rect 10389 7588 10445 7590
+rect 10469 7588 10525 7590
+rect 10549 7588 10605 7590
+rect 10309 6554 10365 6556
+rect 10389 6554 10445 6556
+rect 10469 6554 10525 6556
+rect 10549 6554 10605 6556
+rect 10309 6502 10335 6554
+rect 10335 6502 10365 6554
+rect 10389 6502 10399 6554
+rect 10399 6502 10445 6554
+rect 10469 6502 10515 6554
+rect 10515 6502 10525 6554
+rect 10549 6502 10579 6554
+rect 10579 6502 10605 6554
+rect 10309 6500 10365 6502
+rect 10389 6500 10445 6502
+rect 10469 6500 10525 6502
+rect 10549 6500 10605 6502
+rect 10322 6296 10378 6352
+rect 10966 7792 11022 7848
+rect 10309 5466 10365 5468
+rect 10389 5466 10445 5468
+rect 10469 5466 10525 5468
+rect 10549 5466 10605 5468
+rect 10309 5414 10335 5466
+rect 10335 5414 10365 5466
+rect 10389 5414 10399 5466
+rect 10399 5414 10445 5466
+rect 10469 5414 10515 5466
+rect 10515 5414 10525 5466
+rect 10549 5414 10579 5466
+rect 10579 5414 10605 5466
+rect 10309 5412 10365 5414
+rect 10389 5412 10445 5414
+rect 10469 5412 10525 5414
+rect 10549 5412 10605 5414
+rect 11058 6860 11114 6896
+rect 11058 6840 11060 6860
+rect 11060 6840 11112 6860
+rect 11112 6840 11114 6860
+rect 10782 4664 10838 4720
+rect 10309 4378 10365 4380
+rect 10389 4378 10445 4380
+rect 10469 4378 10525 4380
+rect 10549 4378 10605 4380
+rect 10309 4326 10335 4378
+rect 10335 4326 10365 4378
+rect 10389 4326 10399 4378
+rect 10399 4326 10445 4378
+rect 10469 4326 10515 4378
+rect 10515 4326 10525 4378
+rect 10549 4326 10579 4378
+rect 10579 4326 10605 4378
+rect 10309 4324 10365 4326
+rect 10389 4324 10445 4326
+rect 10469 4324 10525 4326
+rect 10549 4324 10605 4326
+rect 10309 3290 10365 3292
+rect 10389 3290 10445 3292
+rect 10469 3290 10525 3292
+rect 10549 3290 10605 3292
+rect 10309 3238 10335 3290
+rect 10335 3238 10365 3290
+rect 10389 3238 10399 3290
+rect 10399 3238 10445 3290
+rect 10469 3238 10515 3290
+rect 10515 3238 10525 3290
+rect 10549 3238 10579 3290
+rect 10579 3238 10605 3290
+rect 10309 3236 10365 3238
+rect 10389 3236 10445 3238
+rect 10469 3236 10525 3238
+rect 10549 3236 10605 3238
+rect 10309 2202 10365 2204
+rect 10389 2202 10445 2204
+rect 10469 2202 10525 2204
+rect 10549 2202 10605 2204
+rect 10309 2150 10335 2202
+rect 10335 2150 10365 2202
+rect 10389 2150 10399 2202
+rect 10399 2150 10445 2202
+rect 10469 2150 10515 2202
+rect 10515 2150 10525 2202
+rect 10549 2150 10579 2202
+rect 10579 2150 10605 2202
+rect 10309 2148 10365 2150
+rect 10389 2148 10445 2150
+rect 10469 2148 10525 2150
+rect 10549 2148 10605 2150
+rect 11150 1536 11206 1592
+<< metal3 >>
+rect 9581 14106 9647 14109
+rect 12698 14106 13498 14136
+rect 9581 14104 13498 14106
+rect 9581 14048 9586 14104
+rect 9642 14048 13498 14104
+rect 9581 14046 13498 14048
+rect 9581 14043 9647 14046
+rect 12698 14016 13498 14046
+rect 2814 13088 3134 13089
+rect 0 13018 800 13048
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3134 13088
+rect 2814 13023 3134 13024
+rect 6556 13088 6876 13089
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 13023 6876 13024
+rect 10297 13088 10617 13089
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 13023 10617 13024
+rect 0 12958 2698 13018
+rect 0 12928 800 12958
+rect 2638 12882 2698 12958
+rect 3325 12882 3391 12885
+rect 2638 12880 3391 12882
+rect 2638 12824 3330 12880
+rect 3386 12824 3391 12880
+rect 2638 12822 3391 12824
+rect 3325 12819 3391 12822
+rect 1393 12746 1459 12749
+rect 7598 12746 7604 12748
+rect 1393 12744 7604 12746
+rect 1393 12688 1398 12744
+rect 1454 12688 7604 12744
+rect 1393 12686 7604 12688
+rect 1393 12683 1459 12686
+rect 7598 12684 7604 12686
+rect 7668 12684 7674 12748
+rect 4685 12544 5005 12545
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 12479 5005 12480
+rect 8426 12544 8746 12545
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 12479 8746 12480
+rect 7097 12202 7163 12205
+rect 8201 12202 8267 12205
+rect 7097 12200 8267 12202
+rect 7097 12144 7102 12200
+rect 7158 12144 8206 12200
+rect 8262 12144 8267 12200
+rect 7097 12142 8267 12144
+rect 7097 12139 7163 12142
+rect 8201 12139 8267 12142
+rect 2814 12000 3134 12001
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3134 12000
+rect 2814 11935 3134 11936
+rect 6556 12000 6876 12001
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 6556 11935 6876 11936
+rect 10297 12000 10617 12001
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 11935 10617 11936
+rect 5441 11930 5507 11933
+rect 5574 11930 5580 11932
+rect 5441 11928 5580 11930
+rect 5441 11872 5446 11928
+rect 5502 11872 5580 11928
+rect 5441 11870 5580 11872
+rect 5441 11867 5507 11870
+rect 5574 11868 5580 11870
+rect 5644 11868 5650 11932
+rect 4685 11456 5005 11457
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 11391 5005 11392
+rect 8426 11456 8746 11457
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 11391 8746 11392
+rect 10685 10978 10751 10981
+rect 12698 10978 13498 11008
+rect 10685 10976 13498 10978
+rect 10685 10920 10690 10976
+rect 10746 10920 13498 10976
+rect 10685 10918 13498 10920
+rect 10685 10915 10751 10918
+rect 2814 10912 3134 10913
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3134 10912
+rect 2814 10847 3134 10848
+rect 6556 10912 6876 10913
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 6556 10847 6876 10848
+rect 10297 10912 10617 10913
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 12698 10888 13498 10918
+rect 10297 10847 10617 10848
+rect 3785 10706 3851 10709
+rect 5758 10706 5764 10708
+rect 3785 10704 5764 10706
+rect 3785 10648 3790 10704
+rect 3846 10648 5764 10704
+rect 3785 10646 5764 10648
+rect 3785 10643 3851 10646
+rect 5758 10644 5764 10646
+rect 5828 10644 5834 10708
+rect 3785 10570 3851 10573
+rect 4705 10570 4771 10573
+rect 7097 10572 7163 10573
+rect 7046 10570 7052 10572
+rect 3785 10568 4771 10570
+rect 3785 10512 3790 10568
+rect 3846 10512 4710 10568
+rect 4766 10512 4771 10568
+rect 3785 10510 4771 10512
+rect 7006 10510 7052 10570
+rect 7116 10568 7163 10572
+rect 7158 10512 7163 10568
+rect 3785 10507 3851 10510
+rect 4705 10507 4771 10510
+rect 7046 10508 7052 10510
+rect 7116 10508 7163 10512
+rect 7097 10507 7163 10508
+rect 4685 10368 5005 10369
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 10303 5005 10304
+rect 8426 10368 8746 10369
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 10303 8746 10304
+rect 7189 10298 7255 10301
+rect 5398 10296 7255 10298
+rect 5398 10240 7194 10296
+rect 7250 10240 7255 10296
+rect 5398 10238 7255 10240
+rect 5165 10026 5231 10029
+rect 5398 10026 5458 10238
+rect 7189 10235 7255 10238
+rect 6126 10100 6132 10164
+rect 6196 10162 6202 10164
+rect 6269 10162 6335 10165
+rect 6196 10160 6335 10162
+rect 6196 10104 6274 10160
+rect 6330 10104 6335 10160
+rect 6196 10102 6335 10104
+rect 6196 10100 6202 10102
+rect 6269 10099 6335 10102
+rect 8569 10026 8635 10029
+rect 5165 10024 5458 10026
+rect 5165 9968 5170 10024
+rect 5226 9968 5458 10024
+rect 5165 9966 5458 9968
+rect 5536 10024 8635 10026
+rect 5536 9968 8574 10024
+rect 8630 9968 8635 10024
+rect 5536 9966 8635 9968
+rect 5165 9963 5231 9966
+rect 5536 9893 5596 9966
+rect 8569 9963 8635 9966
+rect 5533 9888 5599 9893
+rect 5533 9832 5538 9888
+rect 5594 9832 5599 9888
+rect 5533 9827 5599 9832
+rect 2814 9824 3134 9825
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3134 9824
+rect 2814 9759 3134 9760
+rect 6556 9824 6876 9825
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6556 9759 6876 9760
+rect 10297 9824 10617 9825
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 10297 9759 10617 9760
+rect 5073 9754 5139 9757
+rect 6310 9754 6316 9756
+rect 5073 9752 6316 9754
+rect 5073 9696 5078 9752
+rect 5134 9696 6316 9752
+rect 5073 9694 6316 9696
+rect 5073 9691 5139 9694
+rect 6310 9692 6316 9694
+rect 6380 9692 6386 9756
+rect 4061 9618 4127 9621
+rect 7189 9618 7255 9621
+rect 4061 9616 7255 9618
+rect 4061 9560 4066 9616
+rect 4122 9560 7194 9616
+rect 7250 9560 7255 9616
+rect 4061 9558 7255 9560
+rect 4061 9555 4127 9558
+rect 7189 9555 7255 9558
+rect 2037 9482 2103 9485
+rect 5625 9482 5691 9485
+rect 5942 9482 5948 9484
+rect 2037 9480 5948 9482
+rect 2037 9424 2042 9480
+rect 2098 9424 5630 9480
+rect 5686 9424 5948 9480
+rect 2037 9422 5948 9424
+rect 2037 9419 2103 9422
+rect 5625 9419 5691 9422
+rect 5942 9420 5948 9422
+rect 6012 9420 6018 9484
+rect 8569 9482 8635 9485
+rect 8886 9482 8892 9484
+rect 8569 9480 8892 9482
+rect 8569 9424 8574 9480
+rect 8630 9424 8892 9480
+rect 8569 9422 8892 9424
+rect 8569 9419 8635 9422
+rect 8886 9420 8892 9422
+rect 8956 9420 8962 9484
+rect 7189 9348 7255 9349
+rect 7189 9346 7236 9348
+rect 7144 9344 7236 9346
+rect 7144 9288 7194 9344
+rect 7144 9286 7236 9288
+rect 7189 9284 7236 9286
+rect 7300 9284 7306 9348
+rect 7189 9283 7255 9284
+rect 4685 9280 5005 9281
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 9215 5005 9216
+rect 8426 9280 8746 9281
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8426 9215 8746 9216
+rect 5206 9148 5212 9212
+rect 5276 9210 5282 9212
+rect 7281 9210 7347 9213
+rect 5276 9208 7347 9210
+rect 5276 9152 7286 9208
+rect 7342 9152 7347 9208
+rect 5276 9150 7347 9152
+rect 5276 9148 5282 9150
+rect 7281 9147 7347 9150
+rect 3969 9074 4035 9077
+rect 5809 9074 5875 9077
+rect 3969 9072 5875 9074
+rect 3969 9016 3974 9072
+rect 4030 9016 5814 9072
+rect 5870 9016 5875 9072
+rect 3969 9014 5875 9016
+rect 3969 9011 4035 9014
+rect 5766 9011 5875 9014
+rect 6310 9012 6316 9076
+rect 6380 9074 6386 9076
+rect 7005 9074 7071 9077
+rect 6380 9072 7071 9074
+rect 6380 9016 7010 9072
+rect 7066 9016 7071 9072
+rect 6380 9014 7071 9016
+rect 6380 9012 6386 9014
+rect 7005 9011 7071 9014
+rect 5766 8941 5826 9011
+rect 5717 8936 5826 8941
+rect 5717 8880 5722 8936
+rect 5778 8880 5826 8936
+rect 5717 8878 5826 8880
+rect 5717 8875 5783 8878
+rect 3509 8802 3575 8805
+rect 5993 8802 6059 8805
+rect 3509 8800 6059 8802
+rect 3509 8744 3514 8800
+rect 3570 8744 5998 8800
+rect 6054 8744 6059 8800
+rect 3509 8742 6059 8744
+rect 3509 8739 3575 8742
+rect 5993 8739 6059 8742
+rect 2814 8736 3134 8737
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3134 8736
+rect 2814 8671 3134 8672
+rect 6556 8736 6876 8737
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6556 8671 6876 8672
+rect 10297 8736 10617 8737
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 10297 8671 10617 8672
+rect 5574 8604 5580 8668
+rect 5644 8666 5650 8668
+rect 6310 8666 6316 8668
+rect 5644 8606 6316 8666
+rect 5644 8604 5650 8606
+rect 6310 8604 6316 8606
+rect 6380 8604 6386 8668
+rect 8150 8604 8156 8668
+rect 8220 8666 8226 8668
+rect 8293 8666 8359 8669
+rect 8220 8664 8359 8666
+rect 8220 8608 8298 8664
+rect 8354 8608 8359 8664
+rect 8220 8606 8359 8608
+rect 8220 8604 8226 8606
+rect 8293 8603 8359 8606
+rect 9673 8666 9739 8669
+rect 9990 8666 9996 8668
+rect 9673 8664 9996 8666
+rect 9673 8608 9678 8664
+rect 9734 8608 9996 8664
+rect 9673 8606 9996 8608
+rect 9673 8603 9739 8606
+rect 9990 8604 9996 8606
+rect 10060 8604 10066 8668
+rect 8109 8530 8175 8533
+rect 8109 8528 8218 8530
+rect 8109 8472 8114 8528
+rect 8170 8472 8218 8528
+rect 8109 8467 8218 8472
+rect 1853 8394 1919 8397
+rect 4981 8394 5047 8397
+rect 1853 8392 5047 8394
+rect 1853 8336 1858 8392
+rect 1914 8336 4986 8392
+rect 5042 8336 5047 8392
+rect 1853 8334 5047 8336
+rect 1853 8331 1919 8334
+rect 4981 8331 5047 8334
+rect 5758 8332 5764 8396
+rect 5828 8394 5834 8396
+rect 6821 8394 6887 8397
+rect 5828 8392 6887 8394
+rect 5828 8336 6826 8392
+rect 6882 8336 6887 8392
+rect 5828 8334 6887 8336
+rect 5828 8332 5834 8334
+rect 6821 8331 6887 8334
+rect 4685 8192 5005 8193
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 8127 5005 8128
+rect 1669 7986 1735 7989
+rect 5625 7986 5691 7989
+rect 7833 7986 7899 7989
+rect 1669 7984 5691 7986
+rect 1669 7928 1674 7984
+rect 1730 7928 5630 7984
+rect 5686 7928 5691 7984
+rect 1669 7926 5691 7928
+rect 1669 7923 1735 7926
+rect 5625 7923 5691 7926
+rect 7790 7984 7899 7986
+rect 7790 7928 7838 7984
+rect 7894 7928 7899 7984
+rect 7790 7923 7899 7928
+rect 0 7850 800 7880
+rect 3141 7850 3207 7853
+rect 0 7848 3207 7850
+rect 0 7792 3146 7848
+rect 3202 7792 3207 7848
+rect 0 7790 3207 7792
+rect 0 7760 800 7790
+rect 3141 7787 3207 7790
+rect 4061 7850 4127 7853
+rect 7790 7850 7850 7923
+rect 4061 7848 7850 7850
+rect 4061 7792 4066 7848
+rect 4122 7792 7850 7848
+rect 4061 7790 7850 7792
+rect 4061 7787 4127 7790
+rect 2814 7648 3134 7649
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3134 7648
+rect 2814 7583 3134 7584
+rect 6556 7648 6876 7649
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6556 7583 6876 7584
+rect 4705 7578 4771 7581
+rect 5717 7578 5783 7581
+rect 4705 7576 5783 7578
+rect 4705 7520 4710 7576
+rect 4766 7520 5722 7576
+rect 5778 7520 5783 7576
+rect 4705 7518 5783 7520
+rect 4705 7515 4771 7518
+rect 5717 7515 5783 7518
+rect 4981 7442 5047 7445
+rect 5993 7442 6059 7445
+rect 4981 7440 6059 7442
+rect 4981 7384 4986 7440
+rect 5042 7384 5998 7440
+rect 6054 7384 6059 7440
+rect 4981 7382 6059 7384
+rect 4981 7379 5047 7382
+rect 5993 7379 6059 7382
+rect 6310 7380 6316 7444
+rect 6380 7442 6386 7444
+rect 6545 7442 6611 7445
+rect 6380 7440 6611 7442
+rect 6380 7384 6550 7440
+rect 6606 7384 6611 7440
+rect 6380 7382 6611 7384
+rect 6380 7380 6386 7382
+rect 6545 7379 6611 7382
+rect 7790 7309 7850 7790
+rect 8158 7717 8218 8467
+rect 8426 8192 8746 8193
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 8127 8746 8128
+rect 10961 7850 11027 7853
+rect 12698 7850 13498 7880
+rect 10961 7848 13498 7850
+rect 10961 7792 10966 7848
+rect 11022 7792 13498 7848
+rect 10961 7790 13498 7792
+rect 10961 7787 11027 7790
+rect 12698 7760 13498 7790
+rect 8158 7712 8267 7717
+rect 8158 7656 8206 7712
+rect 8262 7656 8267 7712
+rect 8158 7654 8267 7656
+rect 8201 7651 8267 7654
+rect 10297 7648 10617 7649
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 7583 10617 7584
+rect 2313 7306 2379 7309
+rect 7005 7306 7071 7309
+rect 2313 7304 7071 7306
+rect 2313 7248 2318 7304
+rect 2374 7248 7010 7304
+rect 7066 7248 7071 7304
+rect 2313 7246 7071 7248
+rect 7790 7304 7899 7309
+rect 7790 7248 7838 7304
+rect 7894 7248 7899 7304
+rect 7790 7246 7899 7248
+rect 2313 7243 2379 7246
+rect 7005 7243 7071 7246
+rect 7833 7243 7899 7246
+rect 8661 7306 8727 7309
+rect 8661 7304 8908 7306
+rect 8661 7248 8666 7304
+rect 8722 7248 8908 7304
+rect 8661 7246 8908 7248
+rect 8661 7243 8727 7246
+rect 4685 7104 5005 7105
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 7039 5005 7040
+rect 8426 7104 8746 7105
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 7039 8746 7040
+rect 5942 6972 5948 7036
+rect 6012 7034 6018 7036
+rect 6637 7034 6703 7037
+rect 6012 7032 6703 7034
+rect 6012 6976 6642 7032
+rect 6698 6976 6703 7032
+rect 6012 6974 6703 6976
+rect 6012 6972 6018 6974
+rect 6637 6971 6703 6974
+rect 8848 6765 8908 7246
+rect 9673 6898 9739 6901
+rect 11053 6898 11119 6901
+rect 9673 6896 11119 6898
+rect 9673 6840 9678 6896
+rect 9734 6840 11058 6896
+rect 11114 6840 11119 6896
+rect 9673 6838 11119 6840
+rect 9673 6835 9739 6838
+rect 11053 6835 11119 6838
+rect 6085 6764 6151 6765
+rect 6085 6760 6132 6764
+rect 6196 6762 6202 6764
+rect 6085 6704 6090 6760
+rect 6085 6700 6132 6704
+rect 6196 6702 6242 6762
+rect 8845 6760 8911 6765
+rect 8845 6704 8850 6760
+rect 8906 6704 8911 6760
+rect 6196 6700 6202 6702
+rect 6085 6699 6151 6700
+rect 8845 6699 8911 6704
+rect 2814 6560 3134 6561
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3134 6560
+rect 2814 6495 3134 6496
+rect 6556 6560 6876 6561
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 6556 6495 6876 6496
+rect 10297 6560 10617 6561
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 10297 6495 10617 6496
+rect 7465 6490 7531 6493
+rect 7598 6490 7604 6492
+rect 7465 6488 7604 6490
+rect 7465 6432 7470 6488
+rect 7526 6432 7604 6488
+rect 7465 6430 7604 6432
+rect 7465 6427 7531 6430
+rect 7598 6428 7604 6430
+rect 7668 6428 7674 6492
+rect 6821 6354 6887 6357
+rect 7230 6354 7236 6356
+rect 6821 6352 7236 6354
+rect 6821 6296 6826 6352
+rect 6882 6296 7236 6352
+rect 6821 6294 7236 6296
+rect 6821 6291 6887 6294
+rect 7230 6292 7236 6294
+rect 7300 6292 7306 6356
+rect 9990 6292 9996 6356
+rect 10060 6354 10066 6356
+rect 10317 6354 10383 6357
+rect 10060 6352 10383 6354
+rect 10060 6296 10322 6352
+rect 10378 6296 10383 6352
+rect 10060 6294 10383 6296
+rect 10060 6292 10066 6294
+rect 10317 6291 10383 6294
+rect 6729 6218 6795 6221
+rect 7046 6218 7052 6220
+rect 6729 6216 7052 6218
+rect 6729 6160 6734 6216
+rect 6790 6160 7052 6216
+rect 6729 6158 7052 6160
+rect 6729 6155 6795 6158
+rect 7046 6156 7052 6158
+rect 7116 6156 7122 6220
+rect 4685 6016 5005 6017
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 5951 5005 5952
+rect 8426 6016 8746 6017
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8426 5951 8746 5952
+rect 4705 5674 4771 5677
+rect 5206 5674 5212 5676
+rect 4705 5672 5212 5674
+rect 4705 5616 4710 5672
+rect 4766 5616 5212 5672
+rect 4705 5614 5212 5616
+rect 4705 5611 4771 5614
+rect 5206 5612 5212 5614
+rect 5276 5612 5282 5676
+rect 2814 5472 3134 5473
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3134 5472
+rect 2814 5407 3134 5408
+rect 6556 5472 6876 5473
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 5407 6876 5408
+rect 10297 5472 10617 5473
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 5407 10617 5408
+rect 8150 5204 8156 5268
+rect 8220 5266 8226 5268
+rect 8661 5266 8727 5269
+rect 8220 5264 8727 5266
+rect 8220 5208 8666 5264
+rect 8722 5208 8727 5264
+rect 8220 5206 8727 5208
+rect 8220 5204 8226 5206
+rect 8661 5203 8727 5206
+rect 4685 4928 5005 4929
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 4863 5005 4864
+rect 8426 4928 8746 4929
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 4863 8746 4864
+rect 10777 4722 10843 4725
+rect 12698 4722 13498 4752
+rect 10777 4720 13498 4722
+rect 10777 4664 10782 4720
+rect 10838 4664 13498 4720
+rect 10777 4662 13498 4664
+rect 10777 4659 10843 4662
+rect 12698 4632 13498 4662
+rect 2814 4384 3134 4385
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3134 4384
+rect 2814 4319 3134 4320
+rect 6556 4384 6876 4385
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 4319 6876 4320
+rect 10297 4384 10617 4385
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 10297 4319 10617 4320
+rect 8845 4180 8911 4181
+rect 8845 4178 8892 4180
+rect 8800 4176 8892 4178
+rect 8800 4120 8850 4176
+rect 8800 4118 8892 4120
+rect 8845 4116 8892 4118
+rect 8956 4116 8962 4180
+rect 8845 4115 8911 4116
+rect 4685 3840 5005 3841
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 3775 5005 3776
+rect 8426 3840 8746 3841
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 3775 8746 3776
+rect 2814 3296 3134 3297
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3134 3296
+rect 2814 3231 3134 3232
+rect 6556 3296 6876 3297
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 3231 6876 3232
+rect 10297 3296 10617 3297
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 3231 10617 3232
+rect 4685 2752 5005 2753
+rect 0 2682 800 2712
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2687 5005 2688
+rect 8426 2752 8746 2753
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2687 8746 2688
+rect 1761 2682 1827 2685
+rect 0 2680 1827 2682
+rect 0 2624 1766 2680
+rect 1822 2624 1827 2680
+rect 0 2622 1827 2624
+rect 0 2592 800 2622
+rect 1761 2619 1827 2622
+rect 2814 2208 3134 2209
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3134 2208
+rect 2814 2143 3134 2144
+rect 6556 2208 6876 2209
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2143 6876 2144
+rect 10297 2208 10617 2209
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2143 10617 2144
+rect 11145 1594 11211 1597
+rect 12698 1594 13498 1624
+rect 11145 1592 13498 1594
+rect 11145 1536 11150 1592
+rect 11206 1536 13498 1592
+rect 11145 1534 13498 1536
+rect 11145 1531 11211 1534
+rect 12698 1504 13498 1534
+<< via3 >>
+rect 2822 13084 2886 13088
+rect 2822 13028 2826 13084
+rect 2826 13028 2882 13084
+rect 2882 13028 2886 13084
+rect 2822 13024 2886 13028
+rect 2902 13084 2966 13088
+rect 2902 13028 2906 13084
+rect 2906 13028 2962 13084
+rect 2962 13028 2966 13084
+rect 2902 13024 2966 13028
+rect 2982 13084 3046 13088
+rect 2982 13028 2986 13084
+rect 2986 13028 3042 13084
+rect 3042 13028 3046 13084
+rect 2982 13024 3046 13028
+rect 3062 13084 3126 13088
+rect 3062 13028 3066 13084
+rect 3066 13028 3122 13084
+rect 3122 13028 3126 13084
+rect 3062 13024 3126 13028
+rect 6564 13084 6628 13088
+rect 6564 13028 6568 13084
+rect 6568 13028 6624 13084
+rect 6624 13028 6628 13084
+rect 6564 13024 6628 13028
+rect 6644 13084 6708 13088
+rect 6644 13028 6648 13084
+rect 6648 13028 6704 13084
+rect 6704 13028 6708 13084
+rect 6644 13024 6708 13028
+rect 6724 13084 6788 13088
+rect 6724 13028 6728 13084
+rect 6728 13028 6784 13084
+rect 6784 13028 6788 13084
+rect 6724 13024 6788 13028
+rect 6804 13084 6868 13088
+rect 6804 13028 6808 13084
+rect 6808 13028 6864 13084
+rect 6864 13028 6868 13084
+rect 6804 13024 6868 13028
+rect 10305 13084 10369 13088
+rect 10305 13028 10309 13084
+rect 10309 13028 10365 13084
+rect 10365 13028 10369 13084
+rect 10305 13024 10369 13028
+rect 10385 13084 10449 13088
+rect 10385 13028 10389 13084
+rect 10389 13028 10445 13084
+rect 10445 13028 10449 13084
+rect 10385 13024 10449 13028
+rect 10465 13084 10529 13088
+rect 10465 13028 10469 13084
+rect 10469 13028 10525 13084
+rect 10525 13028 10529 13084
+rect 10465 13024 10529 13028
+rect 10545 13084 10609 13088
+rect 10545 13028 10549 13084
+rect 10549 13028 10605 13084
+rect 10605 13028 10609 13084
+rect 10545 13024 10609 13028
+rect 7604 12684 7668 12748
+rect 4693 12540 4757 12544
+rect 4693 12484 4697 12540
+rect 4697 12484 4753 12540
+rect 4753 12484 4757 12540
+rect 4693 12480 4757 12484
+rect 4773 12540 4837 12544
+rect 4773 12484 4777 12540
+rect 4777 12484 4833 12540
+rect 4833 12484 4837 12540
+rect 4773 12480 4837 12484
+rect 4853 12540 4917 12544
+rect 4853 12484 4857 12540
+rect 4857 12484 4913 12540
+rect 4913 12484 4917 12540
+rect 4853 12480 4917 12484
+rect 4933 12540 4997 12544
+rect 4933 12484 4937 12540
+rect 4937 12484 4993 12540
+rect 4993 12484 4997 12540
+rect 4933 12480 4997 12484
+rect 8434 12540 8498 12544
+rect 8434 12484 8438 12540
+rect 8438 12484 8494 12540
+rect 8494 12484 8498 12540
+rect 8434 12480 8498 12484
+rect 8514 12540 8578 12544
+rect 8514 12484 8518 12540
+rect 8518 12484 8574 12540
+rect 8574 12484 8578 12540
+rect 8514 12480 8578 12484
+rect 8594 12540 8658 12544
+rect 8594 12484 8598 12540
+rect 8598 12484 8654 12540
+rect 8654 12484 8658 12540
+rect 8594 12480 8658 12484
+rect 8674 12540 8738 12544
+rect 8674 12484 8678 12540
+rect 8678 12484 8734 12540
+rect 8734 12484 8738 12540
+rect 8674 12480 8738 12484
+rect 2822 11996 2886 12000
+rect 2822 11940 2826 11996
+rect 2826 11940 2882 11996
+rect 2882 11940 2886 11996
+rect 2822 11936 2886 11940
+rect 2902 11996 2966 12000
+rect 2902 11940 2906 11996
+rect 2906 11940 2962 11996
+rect 2962 11940 2966 11996
+rect 2902 11936 2966 11940
+rect 2982 11996 3046 12000
+rect 2982 11940 2986 11996
+rect 2986 11940 3042 11996
+rect 3042 11940 3046 11996
+rect 2982 11936 3046 11940
+rect 3062 11996 3126 12000
+rect 3062 11940 3066 11996
+rect 3066 11940 3122 11996
+rect 3122 11940 3126 11996
+rect 3062 11936 3126 11940
+rect 6564 11996 6628 12000
+rect 6564 11940 6568 11996
+rect 6568 11940 6624 11996
+rect 6624 11940 6628 11996
+rect 6564 11936 6628 11940
+rect 6644 11996 6708 12000
+rect 6644 11940 6648 11996
+rect 6648 11940 6704 11996
+rect 6704 11940 6708 11996
+rect 6644 11936 6708 11940
+rect 6724 11996 6788 12000
+rect 6724 11940 6728 11996
+rect 6728 11940 6784 11996
+rect 6784 11940 6788 11996
+rect 6724 11936 6788 11940
+rect 6804 11996 6868 12000
+rect 6804 11940 6808 11996
+rect 6808 11940 6864 11996
+rect 6864 11940 6868 11996
+rect 6804 11936 6868 11940
+rect 10305 11996 10369 12000
+rect 10305 11940 10309 11996
+rect 10309 11940 10365 11996
+rect 10365 11940 10369 11996
+rect 10305 11936 10369 11940
+rect 10385 11996 10449 12000
+rect 10385 11940 10389 11996
+rect 10389 11940 10445 11996
+rect 10445 11940 10449 11996
+rect 10385 11936 10449 11940
+rect 10465 11996 10529 12000
+rect 10465 11940 10469 11996
+rect 10469 11940 10525 11996
+rect 10525 11940 10529 11996
+rect 10465 11936 10529 11940
+rect 10545 11996 10609 12000
+rect 10545 11940 10549 11996
+rect 10549 11940 10605 11996
+rect 10605 11940 10609 11996
+rect 10545 11936 10609 11940
+rect 5580 11868 5644 11932
+rect 4693 11452 4757 11456
+rect 4693 11396 4697 11452
+rect 4697 11396 4753 11452
+rect 4753 11396 4757 11452
+rect 4693 11392 4757 11396
+rect 4773 11452 4837 11456
+rect 4773 11396 4777 11452
+rect 4777 11396 4833 11452
+rect 4833 11396 4837 11452
+rect 4773 11392 4837 11396
+rect 4853 11452 4917 11456
+rect 4853 11396 4857 11452
+rect 4857 11396 4913 11452
+rect 4913 11396 4917 11452
+rect 4853 11392 4917 11396
+rect 4933 11452 4997 11456
+rect 4933 11396 4937 11452
+rect 4937 11396 4993 11452
+rect 4993 11396 4997 11452
+rect 4933 11392 4997 11396
+rect 8434 11452 8498 11456
+rect 8434 11396 8438 11452
+rect 8438 11396 8494 11452
+rect 8494 11396 8498 11452
+rect 8434 11392 8498 11396
+rect 8514 11452 8578 11456
+rect 8514 11396 8518 11452
+rect 8518 11396 8574 11452
+rect 8574 11396 8578 11452
+rect 8514 11392 8578 11396
+rect 8594 11452 8658 11456
+rect 8594 11396 8598 11452
+rect 8598 11396 8654 11452
+rect 8654 11396 8658 11452
+rect 8594 11392 8658 11396
+rect 8674 11452 8738 11456
+rect 8674 11396 8678 11452
+rect 8678 11396 8734 11452
+rect 8734 11396 8738 11452
+rect 8674 11392 8738 11396
+rect 2822 10908 2886 10912
+rect 2822 10852 2826 10908
+rect 2826 10852 2882 10908
+rect 2882 10852 2886 10908
+rect 2822 10848 2886 10852
+rect 2902 10908 2966 10912
+rect 2902 10852 2906 10908
+rect 2906 10852 2962 10908
+rect 2962 10852 2966 10908
+rect 2902 10848 2966 10852
+rect 2982 10908 3046 10912
+rect 2982 10852 2986 10908
+rect 2986 10852 3042 10908
+rect 3042 10852 3046 10908
+rect 2982 10848 3046 10852
+rect 3062 10908 3126 10912
+rect 3062 10852 3066 10908
+rect 3066 10852 3122 10908
+rect 3122 10852 3126 10908
+rect 3062 10848 3126 10852
+rect 6564 10908 6628 10912
+rect 6564 10852 6568 10908
+rect 6568 10852 6624 10908
+rect 6624 10852 6628 10908
+rect 6564 10848 6628 10852
+rect 6644 10908 6708 10912
+rect 6644 10852 6648 10908
+rect 6648 10852 6704 10908
+rect 6704 10852 6708 10908
+rect 6644 10848 6708 10852
+rect 6724 10908 6788 10912
+rect 6724 10852 6728 10908
+rect 6728 10852 6784 10908
+rect 6784 10852 6788 10908
+rect 6724 10848 6788 10852
+rect 6804 10908 6868 10912
+rect 6804 10852 6808 10908
+rect 6808 10852 6864 10908
+rect 6864 10852 6868 10908
+rect 6804 10848 6868 10852
+rect 10305 10908 10369 10912
+rect 10305 10852 10309 10908
+rect 10309 10852 10365 10908
+rect 10365 10852 10369 10908
+rect 10305 10848 10369 10852
+rect 10385 10908 10449 10912
+rect 10385 10852 10389 10908
+rect 10389 10852 10445 10908
+rect 10445 10852 10449 10908
+rect 10385 10848 10449 10852
+rect 10465 10908 10529 10912
+rect 10465 10852 10469 10908
+rect 10469 10852 10525 10908
+rect 10525 10852 10529 10908
+rect 10465 10848 10529 10852
+rect 10545 10908 10609 10912
+rect 10545 10852 10549 10908
+rect 10549 10852 10605 10908
+rect 10605 10852 10609 10908
+rect 10545 10848 10609 10852
+rect 5764 10644 5828 10708
+rect 7052 10568 7116 10572
+rect 7052 10512 7102 10568
+rect 7102 10512 7116 10568
+rect 7052 10508 7116 10512
+rect 4693 10364 4757 10368
+rect 4693 10308 4697 10364
+rect 4697 10308 4753 10364
+rect 4753 10308 4757 10364
+rect 4693 10304 4757 10308
+rect 4773 10364 4837 10368
+rect 4773 10308 4777 10364
+rect 4777 10308 4833 10364
+rect 4833 10308 4837 10364
+rect 4773 10304 4837 10308
+rect 4853 10364 4917 10368
+rect 4853 10308 4857 10364
+rect 4857 10308 4913 10364
+rect 4913 10308 4917 10364
+rect 4853 10304 4917 10308
+rect 4933 10364 4997 10368
+rect 4933 10308 4937 10364
+rect 4937 10308 4993 10364
+rect 4993 10308 4997 10364
+rect 4933 10304 4997 10308
+rect 8434 10364 8498 10368
+rect 8434 10308 8438 10364
+rect 8438 10308 8494 10364
+rect 8494 10308 8498 10364
+rect 8434 10304 8498 10308
+rect 8514 10364 8578 10368
+rect 8514 10308 8518 10364
+rect 8518 10308 8574 10364
+rect 8574 10308 8578 10364
+rect 8514 10304 8578 10308
+rect 8594 10364 8658 10368
+rect 8594 10308 8598 10364
+rect 8598 10308 8654 10364
+rect 8654 10308 8658 10364
+rect 8594 10304 8658 10308
+rect 8674 10364 8738 10368
+rect 8674 10308 8678 10364
+rect 8678 10308 8734 10364
+rect 8734 10308 8738 10364
+rect 8674 10304 8738 10308
+rect 6132 10100 6196 10164
+rect 2822 9820 2886 9824
+rect 2822 9764 2826 9820
+rect 2826 9764 2882 9820
+rect 2882 9764 2886 9820
+rect 2822 9760 2886 9764
+rect 2902 9820 2966 9824
+rect 2902 9764 2906 9820
+rect 2906 9764 2962 9820
+rect 2962 9764 2966 9820
+rect 2902 9760 2966 9764
+rect 2982 9820 3046 9824
+rect 2982 9764 2986 9820
+rect 2986 9764 3042 9820
+rect 3042 9764 3046 9820
+rect 2982 9760 3046 9764
+rect 3062 9820 3126 9824
+rect 3062 9764 3066 9820
+rect 3066 9764 3122 9820
+rect 3122 9764 3126 9820
+rect 3062 9760 3126 9764
+rect 6564 9820 6628 9824
+rect 6564 9764 6568 9820
+rect 6568 9764 6624 9820
+rect 6624 9764 6628 9820
+rect 6564 9760 6628 9764
+rect 6644 9820 6708 9824
+rect 6644 9764 6648 9820
+rect 6648 9764 6704 9820
+rect 6704 9764 6708 9820
+rect 6644 9760 6708 9764
+rect 6724 9820 6788 9824
+rect 6724 9764 6728 9820
+rect 6728 9764 6784 9820
+rect 6784 9764 6788 9820
+rect 6724 9760 6788 9764
+rect 6804 9820 6868 9824
+rect 6804 9764 6808 9820
+rect 6808 9764 6864 9820
+rect 6864 9764 6868 9820
+rect 6804 9760 6868 9764
+rect 10305 9820 10369 9824
+rect 10305 9764 10309 9820
+rect 10309 9764 10365 9820
+rect 10365 9764 10369 9820
+rect 10305 9760 10369 9764
+rect 10385 9820 10449 9824
+rect 10385 9764 10389 9820
+rect 10389 9764 10445 9820
+rect 10445 9764 10449 9820
+rect 10385 9760 10449 9764
+rect 10465 9820 10529 9824
+rect 10465 9764 10469 9820
+rect 10469 9764 10525 9820
+rect 10525 9764 10529 9820
+rect 10465 9760 10529 9764
+rect 10545 9820 10609 9824
+rect 10545 9764 10549 9820
+rect 10549 9764 10605 9820
+rect 10605 9764 10609 9820
+rect 10545 9760 10609 9764
+rect 6316 9692 6380 9756
+rect 5948 9420 6012 9484
+rect 8892 9420 8956 9484
+rect 7236 9344 7300 9348
+rect 7236 9288 7250 9344
+rect 7250 9288 7300 9344
+rect 7236 9284 7300 9288
+rect 4693 9276 4757 9280
+rect 4693 9220 4697 9276
+rect 4697 9220 4753 9276
+rect 4753 9220 4757 9276
+rect 4693 9216 4757 9220
+rect 4773 9276 4837 9280
+rect 4773 9220 4777 9276
+rect 4777 9220 4833 9276
+rect 4833 9220 4837 9276
+rect 4773 9216 4837 9220
+rect 4853 9276 4917 9280
+rect 4853 9220 4857 9276
+rect 4857 9220 4913 9276
+rect 4913 9220 4917 9276
+rect 4853 9216 4917 9220
+rect 4933 9276 4997 9280
+rect 4933 9220 4937 9276
+rect 4937 9220 4993 9276
+rect 4993 9220 4997 9276
+rect 4933 9216 4997 9220
+rect 8434 9276 8498 9280
+rect 8434 9220 8438 9276
+rect 8438 9220 8494 9276
+rect 8494 9220 8498 9276
+rect 8434 9216 8498 9220
+rect 8514 9276 8578 9280
+rect 8514 9220 8518 9276
+rect 8518 9220 8574 9276
+rect 8574 9220 8578 9276
+rect 8514 9216 8578 9220
+rect 8594 9276 8658 9280
+rect 8594 9220 8598 9276
+rect 8598 9220 8654 9276
+rect 8654 9220 8658 9276
+rect 8594 9216 8658 9220
+rect 8674 9276 8738 9280
+rect 8674 9220 8678 9276
+rect 8678 9220 8734 9276
+rect 8734 9220 8738 9276
+rect 8674 9216 8738 9220
+rect 5212 9148 5276 9212
+rect 6316 9012 6380 9076
+rect 2822 8732 2886 8736
+rect 2822 8676 2826 8732
+rect 2826 8676 2882 8732
+rect 2882 8676 2886 8732
+rect 2822 8672 2886 8676
+rect 2902 8732 2966 8736
+rect 2902 8676 2906 8732
+rect 2906 8676 2962 8732
+rect 2962 8676 2966 8732
+rect 2902 8672 2966 8676
+rect 2982 8732 3046 8736
+rect 2982 8676 2986 8732
+rect 2986 8676 3042 8732
+rect 3042 8676 3046 8732
+rect 2982 8672 3046 8676
+rect 3062 8732 3126 8736
+rect 3062 8676 3066 8732
+rect 3066 8676 3122 8732
+rect 3122 8676 3126 8732
+rect 3062 8672 3126 8676
+rect 6564 8732 6628 8736
+rect 6564 8676 6568 8732
+rect 6568 8676 6624 8732
+rect 6624 8676 6628 8732
+rect 6564 8672 6628 8676
+rect 6644 8732 6708 8736
+rect 6644 8676 6648 8732
+rect 6648 8676 6704 8732
+rect 6704 8676 6708 8732
+rect 6644 8672 6708 8676
+rect 6724 8732 6788 8736
+rect 6724 8676 6728 8732
+rect 6728 8676 6784 8732
+rect 6784 8676 6788 8732
+rect 6724 8672 6788 8676
+rect 6804 8732 6868 8736
+rect 6804 8676 6808 8732
+rect 6808 8676 6864 8732
+rect 6864 8676 6868 8732
+rect 6804 8672 6868 8676
+rect 10305 8732 10369 8736
+rect 10305 8676 10309 8732
+rect 10309 8676 10365 8732
+rect 10365 8676 10369 8732
+rect 10305 8672 10369 8676
+rect 10385 8732 10449 8736
+rect 10385 8676 10389 8732
+rect 10389 8676 10445 8732
+rect 10445 8676 10449 8732
+rect 10385 8672 10449 8676
+rect 10465 8732 10529 8736
+rect 10465 8676 10469 8732
+rect 10469 8676 10525 8732
+rect 10525 8676 10529 8732
+rect 10465 8672 10529 8676
+rect 10545 8732 10609 8736
+rect 10545 8676 10549 8732
+rect 10549 8676 10605 8732
+rect 10605 8676 10609 8732
+rect 10545 8672 10609 8676
+rect 5580 8604 5644 8668
+rect 6316 8604 6380 8668
+rect 8156 8604 8220 8668
+rect 9996 8604 10060 8668
+rect 5764 8332 5828 8396
+rect 4693 8188 4757 8192
+rect 4693 8132 4697 8188
+rect 4697 8132 4753 8188
+rect 4753 8132 4757 8188
+rect 4693 8128 4757 8132
+rect 4773 8188 4837 8192
+rect 4773 8132 4777 8188
+rect 4777 8132 4833 8188
+rect 4833 8132 4837 8188
+rect 4773 8128 4837 8132
+rect 4853 8188 4917 8192
+rect 4853 8132 4857 8188
+rect 4857 8132 4913 8188
+rect 4913 8132 4917 8188
+rect 4853 8128 4917 8132
+rect 4933 8188 4997 8192
+rect 4933 8132 4937 8188
+rect 4937 8132 4993 8188
+rect 4993 8132 4997 8188
+rect 4933 8128 4997 8132
+rect 2822 7644 2886 7648
+rect 2822 7588 2826 7644
+rect 2826 7588 2882 7644
+rect 2882 7588 2886 7644
+rect 2822 7584 2886 7588
+rect 2902 7644 2966 7648
+rect 2902 7588 2906 7644
+rect 2906 7588 2962 7644
+rect 2962 7588 2966 7644
+rect 2902 7584 2966 7588
+rect 2982 7644 3046 7648
+rect 2982 7588 2986 7644
+rect 2986 7588 3042 7644
+rect 3042 7588 3046 7644
+rect 2982 7584 3046 7588
+rect 3062 7644 3126 7648
+rect 3062 7588 3066 7644
+rect 3066 7588 3122 7644
+rect 3122 7588 3126 7644
+rect 3062 7584 3126 7588
+rect 6564 7644 6628 7648
+rect 6564 7588 6568 7644
+rect 6568 7588 6624 7644
+rect 6624 7588 6628 7644
+rect 6564 7584 6628 7588
+rect 6644 7644 6708 7648
+rect 6644 7588 6648 7644
+rect 6648 7588 6704 7644
+rect 6704 7588 6708 7644
+rect 6644 7584 6708 7588
+rect 6724 7644 6788 7648
+rect 6724 7588 6728 7644
+rect 6728 7588 6784 7644
+rect 6784 7588 6788 7644
+rect 6724 7584 6788 7588
+rect 6804 7644 6868 7648
+rect 6804 7588 6808 7644
+rect 6808 7588 6864 7644
+rect 6864 7588 6868 7644
+rect 6804 7584 6868 7588
+rect 6316 7380 6380 7444
+rect 8434 8188 8498 8192
+rect 8434 8132 8438 8188
+rect 8438 8132 8494 8188
+rect 8494 8132 8498 8188
+rect 8434 8128 8498 8132
+rect 8514 8188 8578 8192
+rect 8514 8132 8518 8188
+rect 8518 8132 8574 8188
+rect 8574 8132 8578 8188
+rect 8514 8128 8578 8132
+rect 8594 8188 8658 8192
+rect 8594 8132 8598 8188
+rect 8598 8132 8654 8188
+rect 8654 8132 8658 8188
+rect 8594 8128 8658 8132
+rect 8674 8188 8738 8192
+rect 8674 8132 8678 8188
+rect 8678 8132 8734 8188
+rect 8734 8132 8738 8188
+rect 8674 8128 8738 8132
+rect 10305 7644 10369 7648
+rect 10305 7588 10309 7644
+rect 10309 7588 10365 7644
+rect 10365 7588 10369 7644
+rect 10305 7584 10369 7588
+rect 10385 7644 10449 7648
+rect 10385 7588 10389 7644
+rect 10389 7588 10445 7644
+rect 10445 7588 10449 7644
+rect 10385 7584 10449 7588
+rect 10465 7644 10529 7648
+rect 10465 7588 10469 7644
+rect 10469 7588 10525 7644
+rect 10525 7588 10529 7644
+rect 10465 7584 10529 7588
+rect 10545 7644 10609 7648
+rect 10545 7588 10549 7644
+rect 10549 7588 10605 7644
+rect 10605 7588 10609 7644
+rect 10545 7584 10609 7588
+rect 4693 7100 4757 7104
+rect 4693 7044 4697 7100
+rect 4697 7044 4753 7100
+rect 4753 7044 4757 7100
+rect 4693 7040 4757 7044
+rect 4773 7100 4837 7104
+rect 4773 7044 4777 7100
+rect 4777 7044 4833 7100
+rect 4833 7044 4837 7100
+rect 4773 7040 4837 7044
+rect 4853 7100 4917 7104
+rect 4853 7044 4857 7100
+rect 4857 7044 4913 7100
+rect 4913 7044 4917 7100
+rect 4853 7040 4917 7044
+rect 4933 7100 4997 7104
+rect 4933 7044 4937 7100
+rect 4937 7044 4993 7100
+rect 4993 7044 4997 7100
+rect 4933 7040 4997 7044
+rect 8434 7100 8498 7104
+rect 8434 7044 8438 7100
+rect 8438 7044 8494 7100
+rect 8494 7044 8498 7100
+rect 8434 7040 8498 7044
+rect 8514 7100 8578 7104
+rect 8514 7044 8518 7100
+rect 8518 7044 8574 7100
+rect 8574 7044 8578 7100
+rect 8514 7040 8578 7044
+rect 8594 7100 8658 7104
+rect 8594 7044 8598 7100
+rect 8598 7044 8654 7100
+rect 8654 7044 8658 7100
+rect 8594 7040 8658 7044
+rect 8674 7100 8738 7104
+rect 8674 7044 8678 7100
+rect 8678 7044 8734 7100
+rect 8734 7044 8738 7100
+rect 8674 7040 8738 7044
+rect 5948 6972 6012 7036
+rect 6132 6760 6196 6764
+rect 6132 6704 6146 6760
+rect 6146 6704 6196 6760
+rect 6132 6700 6196 6704
+rect 2822 6556 2886 6560
+rect 2822 6500 2826 6556
+rect 2826 6500 2882 6556
+rect 2882 6500 2886 6556
+rect 2822 6496 2886 6500
+rect 2902 6556 2966 6560
+rect 2902 6500 2906 6556
+rect 2906 6500 2962 6556
+rect 2962 6500 2966 6556
+rect 2902 6496 2966 6500
+rect 2982 6556 3046 6560
+rect 2982 6500 2986 6556
+rect 2986 6500 3042 6556
+rect 3042 6500 3046 6556
+rect 2982 6496 3046 6500
+rect 3062 6556 3126 6560
+rect 3062 6500 3066 6556
+rect 3066 6500 3122 6556
+rect 3122 6500 3126 6556
+rect 3062 6496 3126 6500
+rect 6564 6556 6628 6560
+rect 6564 6500 6568 6556
+rect 6568 6500 6624 6556
+rect 6624 6500 6628 6556
+rect 6564 6496 6628 6500
+rect 6644 6556 6708 6560
+rect 6644 6500 6648 6556
+rect 6648 6500 6704 6556
+rect 6704 6500 6708 6556
+rect 6644 6496 6708 6500
+rect 6724 6556 6788 6560
+rect 6724 6500 6728 6556
+rect 6728 6500 6784 6556
+rect 6784 6500 6788 6556
+rect 6724 6496 6788 6500
+rect 6804 6556 6868 6560
+rect 6804 6500 6808 6556
+rect 6808 6500 6864 6556
+rect 6864 6500 6868 6556
+rect 6804 6496 6868 6500
+rect 10305 6556 10369 6560
+rect 10305 6500 10309 6556
+rect 10309 6500 10365 6556
+rect 10365 6500 10369 6556
+rect 10305 6496 10369 6500
+rect 10385 6556 10449 6560
+rect 10385 6500 10389 6556
+rect 10389 6500 10445 6556
+rect 10445 6500 10449 6556
+rect 10385 6496 10449 6500
+rect 10465 6556 10529 6560
+rect 10465 6500 10469 6556
+rect 10469 6500 10525 6556
+rect 10525 6500 10529 6556
+rect 10465 6496 10529 6500
+rect 10545 6556 10609 6560
+rect 10545 6500 10549 6556
+rect 10549 6500 10605 6556
+rect 10605 6500 10609 6556
+rect 10545 6496 10609 6500
+rect 7604 6428 7668 6492
+rect 7236 6292 7300 6356
+rect 9996 6292 10060 6356
+rect 7052 6156 7116 6220
+rect 4693 6012 4757 6016
+rect 4693 5956 4697 6012
+rect 4697 5956 4753 6012
+rect 4753 5956 4757 6012
+rect 4693 5952 4757 5956
+rect 4773 6012 4837 6016
+rect 4773 5956 4777 6012
+rect 4777 5956 4833 6012
+rect 4833 5956 4837 6012
+rect 4773 5952 4837 5956
+rect 4853 6012 4917 6016
+rect 4853 5956 4857 6012
+rect 4857 5956 4913 6012
+rect 4913 5956 4917 6012
+rect 4853 5952 4917 5956
+rect 4933 6012 4997 6016
+rect 4933 5956 4937 6012
+rect 4937 5956 4993 6012
+rect 4993 5956 4997 6012
+rect 4933 5952 4997 5956
+rect 8434 6012 8498 6016
+rect 8434 5956 8438 6012
+rect 8438 5956 8494 6012
+rect 8494 5956 8498 6012
+rect 8434 5952 8498 5956
+rect 8514 6012 8578 6016
+rect 8514 5956 8518 6012
+rect 8518 5956 8574 6012
+rect 8574 5956 8578 6012
+rect 8514 5952 8578 5956
+rect 8594 6012 8658 6016
+rect 8594 5956 8598 6012
+rect 8598 5956 8654 6012
+rect 8654 5956 8658 6012
+rect 8594 5952 8658 5956
+rect 8674 6012 8738 6016
+rect 8674 5956 8678 6012
+rect 8678 5956 8734 6012
+rect 8734 5956 8738 6012
+rect 8674 5952 8738 5956
+rect 5212 5612 5276 5676
+rect 2822 5468 2886 5472
+rect 2822 5412 2826 5468
+rect 2826 5412 2882 5468
+rect 2882 5412 2886 5468
+rect 2822 5408 2886 5412
+rect 2902 5468 2966 5472
+rect 2902 5412 2906 5468
+rect 2906 5412 2962 5468
+rect 2962 5412 2966 5468
+rect 2902 5408 2966 5412
+rect 2982 5468 3046 5472
+rect 2982 5412 2986 5468
+rect 2986 5412 3042 5468
+rect 3042 5412 3046 5468
+rect 2982 5408 3046 5412
+rect 3062 5468 3126 5472
+rect 3062 5412 3066 5468
+rect 3066 5412 3122 5468
+rect 3122 5412 3126 5468
+rect 3062 5408 3126 5412
+rect 6564 5468 6628 5472
+rect 6564 5412 6568 5468
+rect 6568 5412 6624 5468
+rect 6624 5412 6628 5468
+rect 6564 5408 6628 5412
+rect 6644 5468 6708 5472
+rect 6644 5412 6648 5468
+rect 6648 5412 6704 5468
+rect 6704 5412 6708 5468
+rect 6644 5408 6708 5412
+rect 6724 5468 6788 5472
+rect 6724 5412 6728 5468
+rect 6728 5412 6784 5468
+rect 6784 5412 6788 5468
+rect 6724 5408 6788 5412
+rect 6804 5468 6868 5472
+rect 6804 5412 6808 5468
+rect 6808 5412 6864 5468
+rect 6864 5412 6868 5468
+rect 6804 5408 6868 5412
+rect 10305 5468 10369 5472
+rect 10305 5412 10309 5468
+rect 10309 5412 10365 5468
+rect 10365 5412 10369 5468
+rect 10305 5408 10369 5412
+rect 10385 5468 10449 5472
+rect 10385 5412 10389 5468
+rect 10389 5412 10445 5468
+rect 10445 5412 10449 5468
+rect 10385 5408 10449 5412
+rect 10465 5468 10529 5472
+rect 10465 5412 10469 5468
+rect 10469 5412 10525 5468
+rect 10525 5412 10529 5468
+rect 10465 5408 10529 5412
+rect 10545 5468 10609 5472
+rect 10545 5412 10549 5468
+rect 10549 5412 10605 5468
+rect 10605 5412 10609 5468
+rect 10545 5408 10609 5412
+rect 8156 5204 8220 5268
+rect 4693 4924 4757 4928
+rect 4693 4868 4697 4924
+rect 4697 4868 4753 4924
+rect 4753 4868 4757 4924
+rect 4693 4864 4757 4868
+rect 4773 4924 4837 4928
+rect 4773 4868 4777 4924
+rect 4777 4868 4833 4924
+rect 4833 4868 4837 4924
+rect 4773 4864 4837 4868
+rect 4853 4924 4917 4928
+rect 4853 4868 4857 4924
+rect 4857 4868 4913 4924
+rect 4913 4868 4917 4924
+rect 4853 4864 4917 4868
+rect 4933 4924 4997 4928
+rect 4933 4868 4937 4924
+rect 4937 4868 4993 4924
+rect 4993 4868 4997 4924
+rect 4933 4864 4997 4868
+rect 8434 4924 8498 4928
+rect 8434 4868 8438 4924
+rect 8438 4868 8494 4924
+rect 8494 4868 8498 4924
+rect 8434 4864 8498 4868
+rect 8514 4924 8578 4928
+rect 8514 4868 8518 4924
+rect 8518 4868 8574 4924
+rect 8574 4868 8578 4924
+rect 8514 4864 8578 4868
+rect 8594 4924 8658 4928
+rect 8594 4868 8598 4924
+rect 8598 4868 8654 4924
+rect 8654 4868 8658 4924
+rect 8594 4864 8658 4868
+rect 8674 4924 8738 4928
+rect 8674 4868 8678 4924
+rect 8678 4868 8734 4924
+rect 8734 4868 8738 4924
+rect 8674 4864 8738 4868
+rect 2822 4380 2886 4384
+rect 2822 4324 2826 4380
+rect 2826 4324 2882 4380
+rect 2882 4324 2886 4380
+rect 2822 4320 2886 4324
+rect 2902 4380 2966 4384
+rect 2902 4324 2906 4380
+rect 2906 4324 2962 4380
+rect 2962 4324 2966 4380
+rect 2902 4320 2966 4324
+rect 2982 4380 3046 4384
+rect 2982 4324 2986 4380
+rect 2986 4324 3042 4380
+rect 3042 4324 3046 4380
+rect 2982 4320 3046 4324
+rect 3062 4380 3126 4384
+rect 3062 4324 3066 4380
+rect 3066 4324 3122 4380
+rect 3122 4324 3126 4380
+rect 3062 4320 3126 4324
+rect 6564 4380 6628 4384
+rect 6564 4324 6568 4380
+rect 6568 4324 6624 4380
+rect 6624 4324 6628 4380
+rect 6564 4320 6628 4324
+rect 6644 4380 6708 4384
+rect 6644 4324 6648 4380
+rect 6648 4324 6704 4380
+rect 6704 4324 6708 4380
+rect 6644 4320 6708 4324
+rect 6724 4380 6788 4384
+rect 6724 4324 6728 4380
+rect 6728 4324 6784 4380
+rect 6784 4324 6788 4380
+rect 6724 4320 6788 4324
+rect 6804 4380 6868 4384
+rect 6804 4324 6808 4380
+rect 6808 4324 6864 4380
+rect 6864 4324 6868 4380
+rect 6804 4320 6868 4324
+rect 10305 4380 10369 4384
+rect 10305 4324 10309 4380
+rect 10309 4324 10365 4380
+rect 10365 4324 10369 4380
+rect 10305 4320 10369 4324
+rect 10385 4380 10449 4384
+rect 10385 4324 10389 4380
+rect 10389 4324 10445 4380
+rect 10445 4324 10449 4380
+rect 10385 4320 10449 4324
+rect 10465 4380 10529 4384
+rect 10465 4324 10469 4380
+rect 10469 4324 10525 4380
+rect 10525 4324 10529 4380
+rect 10465 4320 10529 4324
+rect 10545 4380 10609 4384
+rect 10545 4324 10549 4380
+rect 10549 4324 10605 4380
+rect 10605 4324 10609 4380
+rect 10545 4320 10609 4324
+rect 8892 4176 8956 4180
+rect 8892 4120 8906 4176
+rect 8906 4120 8956 4176
+rect 8892 4116 8956 4120
+rect 4693 3836 4757 3840
+rect 4693 3780 4697 3836
+rect 4697 3780 4753 3836
+rect 4753 3780 4757 3836
+rect 4693 3776 4757 3780
+rect 4773 3836 4837 3840
+rect 4773 3780 4777 3836
+rect 4777 3780 4833 3836
+rect 4833 3780 4837 3836
+rect 4773 3776 4837 3780
+rect 4853 3836 4917 3840
+rect 4853 3780 4857 3836
+rect 4857 3780 4913 3836
+rect 4913 3780 4917 3836
+rect 4853 3776 4917 3780
+rect 4933 3836 4997 3840
+rect 4933 3780 4937 3836
+rect 4937 3780 4993 3836
+rect 4993 3780 4997 3836
+rect 4933 3776 4997 3780
+rect 8434 3836 8498 3840
+rect 8434 3780 8438 3836
+rect 8438 3780 8494 3836
+rect 8494 3780 8498 3836
+rect 8434 3776 8498 3780
+rect 8514 3836 8578 3840
+rect 8514 3780 8518 3836
+rect 8518 3780 8574 3836
+rect 8574 3780 8578 3836
+rect 8514 3776 8578 3780
+rect 8594 3836 8658 3840
+rect 8594 3780 8598 3836
+rect 8598 3780 8654 3836
+rect 8654 3780 8658 3836
+rect 8594 3776 8658 3780
+rect 8674 3836 8738 3840
+rect 8674 3780 8678 3836
+rect 8678 3780 8734 3836
+rect 8734 3780 8738 3836
+rect 8674 3776 8738 3780
+rect 2822 3292 2886 3296
+rect 2822 3236 2826 3292
+rect 2826 3236 2882 3292
+rect 2882 3236 2886 3292
+rect 2822 3232 2886 3236
+rect 2902 3292 2966 3296
+rect 2902 3236 2906 3292
+rect 2906 3236 2962 3292
+rect 2962 3236 2966 3292
+rect 2902 3232 2966 3236
+rect 2982 3292 3046 3296
+rect 2982 3236 2986 3292
+rect 2986 3236 3042 3292
+rect 3042 3236 3046 3292
+rect 2982 3232 3046 3236
+rect 3062 3292 3126 3296
+rect 3062 3236 3066 3292
+rect 3066 3236 3122 3292
+rect 3122 3236 3126 3292
+rect 3062 3232 3126 3236
+rect 6564 3292 6628 3296
+rect 6564 3236 6568 3292
+rect 6568 3236 6624 3292
+rect 6624 3236 6628 3292
+rect 6564 3232 6628 3236
+rect 6644 3292 6708 3296
+rect 6644 3236 6648 3292
+rect 6648 3236 6704 3292
+rect 6704 3236 6708 3292
+rect 6644 3232 6708 3236
+rect 6724 3292 6788 3296
+rect 6724 3236 6728 3292
+rect 6728 3236 6784 3292
+rect 6784 3236 6788 3292
+rect 6724 3232 6788 3236
+rect 6804 3292 6868 3296
+rect 6804 3236 6808 3292
+rect 6808 3236 6864 3292
+rect 6864 3236 6868 3292
+rect 6804 3232 6868 3236
+rect 10305 3292 10369 3296
+rect 10305 3236 10309 3292
+rect 10309 3236 10365 3292
+rect 10365 3236 10369 3292
+rect 10305 3232 10369 3236
+rect 10385 3292 10449 3296
+rect 10385 3236 10389 3292
+rect 10389 3236 10445 3292
+rect 10445 3236 10449 3292
+rect 10385 3232 10449 3236
+rect 10465 3292 10529 3296
+rect 10465 3236 10469 3292
+rect 10469 3236 10525 3292
+rect 10525 3236 10529 3292
+rect 10465 3232 10529 3236
+rect 10545 3292 10609 3296
+rect 10545 3236 10549 3292
+rect 10549 3236 10605 3292
+rect 10605 3236 10609 3292
+rect 10545 3232 10609 3236
+rect 4693 2748 4757 2752
+rect 4693 2692 4697 2748
+rect 4697 2692 4753 2748
+rect 4753 2692 4757 2748
+rect 4693 2688 4757 2692
+rect 4773 2748 4837 2752
+rect 4773 2692 4777 2748
+rect 4777 2692 4833 2748
+rect 4833 2692 4837 2748
+rect 4773 2688 4837 2692
+rect 4853 2748 4917 2752
+rect 4853 2692 4857 2748
+rect 4857 2692 4913 2748
+rect 4913 2692 4917 2748
+rect 4853 2688 4917 2692
+rect 4933 2748 4997 2752
+rect 4933 2692 4937 2748
+rect 4937 2692 4993 2748
+rect 4993 2692 4997 2748
+rect 4933 2688 4997 2692
+rect 8434 2748 8498 2752
+rect 8434 2692 8438 2748
+rect 8438 2692 8494 2748
+rect 8494 2692 8498 2748
+rect 8434 2688 8498 2692
+rect 8514 2748 8578 2752
+rect 8514 2692 8518 2748
+rect 8518 2692 8574 2748
+rect 8574 2692 8578 2748
+rect 8514 2688 8578 2692
+rect 8594 2748 8658 2752
+rect 8594 2692 8598 2748
+rect 8598 2692 8654 2748
+rect 8654 2692 8658 2748
+rect 8594 2688 8658 2692
+rect 8674 2748 8738 2752
+rect 8674 2692 8678 2748
+rect 8678 2692 8734 2748
+rect 8734 2692 8738 2748
+rect 8674 2688 8738 2692
+rect 2822 2204 2886 2208
+rect 2822 2148 2826 2204
+rect 2826 2148 2882 2204
+rect 2882 2148 2886 2204
+rect 2822 2144 2886 2148
+rect 2902 2204 2966 2208
+rect 2902 2148 2906 2204
+rect 2906 2148 2962 2204
+rect 2962 2148 2966 2204
+rect 2902 2144 2966 2148
+rect 2982 2204 3046 2208
+rect 2982 2148 2986 2204
+rect 2986 2148 3042 2204
+rect 3042 2148 3046 2204
+rect 2982 2144 3046 2148
+rect 3062 2204 3126 2208
+rect 3062 2148 3066 2204
+rect 3066 2148 3122 2204
+rect 3122 2148 3126 2204
+rect 3062 2144 3126 2148
+rect 6564 2204 6628 2208
+rect 6564 2148 6568 2204
+rect 6568 2148 6624 2204
+rect 6624 2148 6628 2204
+rect 6564 2144 6628 2148
+rect 6644 2204 6708 2208
+rect 6644 2148 6648 2204
+rect 6648 2148 6704 2204
+rect 6704 2148 6708 2204
+rect 6644 2144 6708 2148
+rect 6724 2204 6788 2208
+rect 6724 2148 6728 2204
+rect 6728 2148 6784 2204
+rect 6784 2148 6788 2204
+rect 6724 2144 6788 2148
+rect 6804 2204 6868 2208
+rect 6804 2148 6808 2204
+rect 6808 2148 6864 2204
+rect 6864 2148 6868 2204
+rect 6804 2144 6868 2148
+rect 10305 2204 10369 2208
+rect 10305 2148 10309 2204
+rect 10309 2148 10365 2204
+rect 10365 2148 10369 2204
+rect 10305 2144 10369 2148
+rect 10385 2204 10449 2208
+rect 10385 2148 10389 2204
+rect 10389 2148 10445 2204
+rect 10445 2148 10449 2204
+rect 10385 2144 10449 2148
+rect 10465 2204 10529 2208
+rect 10465 2148 10469 2204
+rect 10469 2148 10525 2204
+rect 10525 2148 10529 2204
+rect 10465 2144 10529 2148
+rect 10545 2204 10609 2208
+rect 10545 2148 10549 2204
+rect 10549 2148 10605 2204
+rect 10605 2148 10609 2204
+rect 10545 2144 10609 2148
+<< metal4 >>
+rect 2814 13088 3135 13104
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3135 13088
+rect 2814 12000 3135 13024
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3135 12000
+rect 2814 10912 3135 11936
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3135 10912
+rect 2814 9824 3135 10848
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3135 9824
+rect 2814 8736 3135 9760
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3135 8736
+rect 2814 7648 3135 8672
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3135 7648
+rect 2814 6560 3135 7584
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3135 6560
+rect 2814 5472 3135 6496
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3135 5472
+rect 2814 4384 3135 5408
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3135 4384
+rect 2814 3296 3135 4320
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3135 3296
+rect 2814 2208 3135 3232
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3135 2208
+rect 2814 2128 3135 2144
+rect 4685 12544 5005 13104
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 11456 5005 12480
+rect 6556 13088 6876 13104
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 12000 6876 13024
+rect 7603 12748 7669 12749
+rect 7603 12684 7604 12748
+rect 7668 12684 7669 12748
+rect 7603 12683 7669 12684
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 5579 11932 5645 11933
+rect 5579 11868 5580 11932
+rect 5644 11868 5645 11932
+rect 5579 11867 5645 11868
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 10368 5005 11392
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 9280 5005 10304
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 8192 5005 9216
+rect 5211 9212 5277 9213
+rect 5211 9148 5212 9212
+rect 5276 9148 5277 9212
+rect 5211 9147 5277 9148
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 7104 5005 8128
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 6016 5005 7040
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 4928 5005 5952
+rect 5214 5677 5274 9147
+rect 5582 8669 5642 11867
+rect 6556 10912 6876 11936
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 5763 10708 5829 10709
+rect 5763 10644 5764 10708
+rect 5828 10644 5829 10708
+rect 5763 10643 5829 10644
+rect 5579 8668 5645 8669
+rect 5579 8604 5580 8668
+rect 5644 8604 5645 8668
+rect 5579 8603 5645 8604
+rect 5766 8397 5826 10643
+rect 6131 10164 6197 10165
+rect 6131 10100 6132 10164
+rect 6196 10100 6197 10164
+rect 6131 10099 6197 10100
+rect 5947 9484 6013 9485
+rect 5947 9420 5948 9484
+rect 6012 9420 6013 9484
+rect 5947 9419 6013 9420
+rect 5763 8396 5829 8397
+rect 5763 8332 5764 8396
+rect 5828 8332 5829 8396
+rect 5763 8331 5829 8332
+rect 5950 7037 6010 9419
+rect 5947 7036 6013 7037
+rect 5947 6972 5948 7036
+rect 6012 6972 6013 7036
+rect 5947 6971 6013 6972
+rect 6134 6765 6194 10099
+rect 6556 9824 6876 10848
+rect 7051 10572 7117 10573
+rect 7051 10508 7052 10572
+rect 7116 10508 7117 10572
+rect 7051 10507 7117 10508
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6315 9756 6381 9757
+rect 6315 9692 6316 9756
+rect 6380 9692 6381 9756
+rect 6315 9691 6381 9692
+rect 6318 9077 6378 9691
+rect 6315 9076 6381 9077
+rect 6315 9012 6316 9076
+rect 6380 9012 6381 9076
+rect 6315 9011 6381 9012
+rect 6556 8736 6876 9760
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6315 8668 6381 8669
+rect 6315 8604 6316 8668
+rect 6380 8604 6381 8668
+rect 6315 8603 6381 8604
+rect 6318 7445 6378 8603
+rect 6556 7648 6876 8672
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6315 7444 6381 7445
+rect 6315 7380 6316 7444
+rect 6380 7380 6381 7444
+rect 6315 7379 6381 7380
+rect 6131 6764 6197 6765
+rect 6131 6700 6132 6764
+rect 6196 6700 6197 6764
+rect 6131 6699 6197 6700
+rect 6556 6560 6876 7584
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 5211 5676 5277 5677
+rect 5211 5612 5212 5676
+rect 5276 5612 5277 5676
+rect 5211 5611 5277 5612
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 3840 5005 4864
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 2752 5005 3776
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2128 5005 2688
+rect 6556 5472 6876 6496
+rect 7054 6221 7114 10507
+rect 7235 9348 7301 9349
+rect 7235 9284 7236 9348
+rect 7300 9284 7301 9348
+rect 7235 9283 7301 9284
+rect 7238 6357 7298 9283
+rect 7606 6493 7666 12683
+rect 8426 12544 8746 13104
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 11456 8746 12480
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 10368 8746 11392
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 9280 8746 10304
+rect 10297 13088 10617 13104
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 12000 10617 13024
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 10912 10617 11936
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 10297 9824 10617 10848
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 8891 9484 8957 9485
+rect 8891 9420 8892 9484
+rect 8956 9420 8957 9484
+rect 8891 9419 8957 9420
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8155 8668 8221 8669
+rect 8155 8604 8156 8668
+rect 8220 8604 8221 8668
+rect 8155 8603 8221 8604
+rect 7603 6492 7669 6493
+rect 7603 6428 7604 6492
+rect 7668 6428 7669 6492
+rect 7603 6427 7669 6428
+rect 7235 6356 7301 6357
+rect 7235 6292 7236 6356
+rect 7300 6292 7301 6356
+rect 7235 6291 7301 6292
+rect 7051 6220 7117 6221
+rect 7051 6156 7052 6220
+rect 7116 6156 7117 6220
+rect 7051 6155 7117 6156
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 4384 6876 5408
+rect 8158 5269 8218 8603
+rect 8426 8192 8746 9216
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 7104 8746 8128
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 6016 8746 7040
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8155 5268 8221 5269
+rect 8155 5204 8156 5268
+rect 8220 5204 8221 5268
+rect 8155 5203 8221 5204
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 3296 6876 4320
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 2208 6876 3232
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2128 6876 2144
+rect 8426 4928 8746 5952
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 3840 8746 4864
+rect 8894 4181 8954 9419
+rect 10297 8736 10617 9760
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 9995 8668 10061 8669
+rect 9995 8604 9996 8668
+rect 10060 8604 10061 8668
+rect 9995 8603 10061 8604
+rect 9998 6357 10058 8603
+rect 10297 7648 10617 8672
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 6560 10617 7584
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 9995 6356 10061 6357
+rect 9995 6292 9996 6356
+rect 10060 6292 10061 6356
+rect 9995 6291 10061 6292
+rect 10297 5472 10617 6496
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 4384 10617 5408
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 8891 4180 8957 4181
+rect 8891 4116 8892 4180
+rect 8956 4116 8957 4180
+rect 8891 4115 8957 4116
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 2752 8746 3776
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2128 8746 2688
+rect 10297 3296 10617 4320
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 2208 10617 3232
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2128 10617 2144
+use sky130_fd_sc_hd__decap_3  PHY_39
+timestamp 1606941354
+transform -1 0 12328 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_108
+timestamp 1606941354
+transform 1 0 11040 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_116
+timestamp 1606941354
+transform 1 0 11776 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__o22a_4  _130_
+timestamp 1606941354
+transform 1 0 9752 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_72
+timestamp 1606941354
+transform 1 0 9660 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_19_89
+timestamp 1606941354
+transform 1 0 9292 0 1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__a211o_4  _173_
+timestamp 1606941354
+transform 1 0 6900 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_71
+timestamp 1606941354
+transform 1 0 6808 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_19_77
+timestamp 1606941354
+transform 1 0 8188 0 1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_51
+timestamp 1606941354
+transform 1 0 5796 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_59
+timestamp 1606941354
+transform 1 0 6532 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__dfxtp_4  _182_
+timestamp 1606941354
+transform 1 0 4048 0 1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_70
+timestamp 1606941354
+transform 1 0 3956 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_23
+timestamp 1606941354
+transform 1 0 3220 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _102_
+timestamp 1606941354
+transform 1 0 2392 0 1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__buf_1  _145_
+timestamp 1606941354
+transform 1 0 1380 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_38
+timestamp 1606941354
+transform 1 0 1104 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_6
+timestamp 1606941354
+transform 1 0 1656 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_37
+timestamp 1606941354
+transform -1 0 12328 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_102
+timestamp 1606941354
+transform 1 0 10488 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_18_114
+timestamp 1606941354
+transform 1 0 11592 0 -1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _089_
+timestamp 1606941354
+transform 1 0 9660 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_69
+timestamp 1606941354
+transform 1 0 9568 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__a32o_4  _129_
+timestamp 1606941354
+transform 1 0 6624 0 -1 12512
+box -38 -48 1602 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_77
+timestamp 1606941354
+transform 1 0 8188 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__dfxtp_4  _183_
+timestamp 1606941354
+transform 1 0 4140 0 -1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_68
+timestamp 1606941354
+transform 1 0 3956 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _131_
+timestamp 1606941354
+transform 1 0 2392 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_36
+timestamp 1606941354
+transform 1 0 1104 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_11
+timestamp 1606941354
+transform 1 0 2116 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_35
+timestamp 1606941354
+transform -1 0 12328 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_102
+timestamp 1606941354
+transform 1 0 10488 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_114
+timestamp 1606941354
+transform 1 0 11592 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_118
+timestamp 1606941354
+transform 1 0 11960 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor4_2  _172_
+timestamp 1606941354
+transform 1 0 9568 0 1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_84
+timestamp 1606941354
+transform 1 0 8832 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__a32o_4  _099_
+timestamp 1606941354
+transform 1 0 7268 0 1 11424
+box -38 -48 1602 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_67
+timestamp 1606941354
+transform 1 0 6716 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_60
+timestamp 1606941354
+transform 1 0 6624 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_62
+timestamp 1606941354
+transform 1 0 6808 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_66
+timestamp 1606941354
+transform 1 0 7176 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_48
+timestamp 1606941354
+transform 1 0 5520 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__dfxtp_4  _184_
+timestamp 1606941354
+transform 1 0 3772 0 1 11424
+box -38 -48 1786 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_21
+timestamp 1606941354
+transform 1 0 3036 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _083_
+timestamp 1606941354
+transform 1 0 2208 0 1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_34
+timestamp 1606941354
+transform 1 0 1104 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_3
+timestamp 1606941354
+transform 1 0 1380 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_11
+timestamp 1606941354
+transform 1 0 2116 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  PHY_33
+timestamp 1606941354
+transform -1 0 12328 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_107
+timestamp 1606941354
+transform 1 0 10948 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__o22a_4  _100_
+timestamp 1606941354
+transform 1 0 9660 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_66
+timestamp 1606941354
+transform 1 0 9568 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_16_90
+timestamp 1606941354
+transform 1 0 9384 0 -1 11424
+box -38 -48 222 592
+use sky130_fd_sc_hd__a211o_4  _149_
+timestamp 1606941354
+transform 1 0 6992 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_78
+timestamp 1606941354
+transform 1 0 8280 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor4_2  _180_
+timestamp 1606941354
+transform 1 0 5336 0 -1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_56
+timestamp 1606941354
+transform 1 0 6256 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2  _091_
+timestamp 1606941354
+transform 1 0 4048 0 -1 11424
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_65
+timestamp 1606941354
+transform 1 0 3956 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_4  _167_
+timestamp 1606941354
+transform 1 0 2392 0 -1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_32
+timestamp 1606941354
+transform 1 0 1104 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_16_11
+timestamp 1606941354
+transform 1 0 2116 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__buf_6  _127_
+timestamp 1606941354
+transform 1 0 10488 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_31
+timestamp 1606941354
+transform -1 0 12328 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_111
+timestamp 1606941354
+transform 1 0 11316 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _095_
+timestamp 1606941354
+transform 1 0 8924 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_94
+timestamp 1606941354
+transform 1 0 9752 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21a_4  _106_
+timestamp 1606941354
+transform 1 0 7084 0 1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_64
+timestamp 1606941354
+transform 1 0 6716 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_15_62
+timestamp 1606941354
+transform 1 0 6808 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_77
+timestamp 1606941354
+transform 1 0 8188 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _082_
+timestamp 1606941354
+transform 1 0 5152 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_53
+timestamp 1606941354
+transform 1 0 5980 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _135_
+timestamp 1606941354
+transform 1 0 3588 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_36
+timestamp 1606941354
+transform 1 0 4416 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _096_
+timestamp 1606941354
+transform 1 0 2208 0 1 10336
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_3  PHY_30
+timestamp 1606941354
+transform 1 0 1104 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_3
+timestamp 1606941354
+transform 1 0 1380 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_15_11
+timestamp 1606941354
+transform 1 0 2116 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_19
+timestamp 1606941354
+transform 1 0 2852 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_27
+timestamp 1606941354
+transform -1 0 12328 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_29
+timestamp 1606941354
+transform -1 0 12328 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_13_105
+timestamp 1606941354
+transform 1 0 10764 0 1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_13_117
+timestamp 1606941354
+transform 1 0 11868 0 1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_14_102
+timestamp 1606941354
+transform 1 0 10488 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_14_114
+timestamp 1606941354
+transform 1 0 11592 0 -1 10336
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _121_
+timestamp 1606941354
+transform 1 0 9660 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _128_
+timestamp 1606941354
+transform 1 0 9936 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_63
+timestamp 1606941354
+transform 1 0 9568 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_88
+timestamp 1606941354
+transform 1 0 9200 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_84
+timestamp 1606941354
+transform 1 0 8832 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor3_2  _080_
+timestamp 1606941354
+transform 1 0 8096 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _090_
+timestamp 1606941354
+transform 1 0 6808 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _098_
+timestamp 1606941354
+transform 1 0 8372 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_61
+timestamp 1606941354
+transform 1 0 6716 0 1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_71
+timestamp 1606941354
+transform 1 0 7636 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_68
+timestamp 1606941354
+transform 1 0 7360 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21a_4  _142_
+timestamp 1606941354
+transform 1 0 6256 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__inv_8  _153_
+timestamp 1606941354
+transform 1 0 5152 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_53
+timestamp 1606941354
+transform 1 0 5980 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_48
+timestamp 1606941354
+transform 1 0 5520 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_6  _085_
+timestamp 1606941354
+transform 1 0 4692 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__and2_2  _105_
+timestamp 1606941354
+transform 1 0 3864 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_62
+timestamp 1606941354
+transform 1 0 3956 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_22
+timestamp 1606941354
+transform 1 0 3128 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_36
+timestamp 1606941354
+transform 1 0 4416 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_14_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _088_
+timestamp 1606941354
+transform 1 0 1380 0 1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__and3_2  _124_
+timestamp 1606941354
+transform 1 0 2668 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _139_
+timestamp 1606941354
+transform 1 0 2576 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__or3_2  _181_
+timestamp 1606941354
+transform 1 0 1380 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_26
+timestamp 1606941354
+transform 1 0 1104 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_28
+timestamp 1606941354
+transform 1 0 1104 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_8
+timestamp 1606941354
+transform 1 0 1840 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_9
+timestamp 1606941354
+transform 1 0 1932 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_25
+timestamp 1606941354
+transform -1 0 12328 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_12_101
+timestamp 1606941354
+transform 1 0 10396 0 -1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_12_113
+timestamp 1606941354
+transform 1 0 11500 0 -1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__nand3_2  _101_
+timestamp 1606941354
+transform 1 0 9660 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_60
+timestamp 1606941354
+transform 1 0 9568 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_84
+timestamp 1606941354
+transform 1 0 8832 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_6  _097_
+timestamp 1606941354
+transform 1 0 6624 0 -1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__or2_4  _125_
+timestamp 1606941354
+transform 1 0 8188 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_69
+timestamp 1606941354
+transform 1 0 7452 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _084_
+timestamp 1606941354
+transform 1 0 5244 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _079_
+timestamp 1606941354
+transform 1 0 4048 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_59
+timestamp 1606941354
+transform 1 0 3956 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_37
+timestamp 1606941354
+transform 1 0 4508 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _087_
+timestamp 1606941354
+transform 1 0 2760 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _118_
+timestamp 1606941354
+transform 1 0 1564 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_24
+timestamp 1606941354
+transform 1 0 1104 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_12_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_23
+timestamp 1606941354
+transform -1 0 12328 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_11_105
+timestamp 1606941354
+transform 1 0 10764 0 1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_11_117
+timestamp 1606941354
+transform 1 0 11868 0 1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__nor3_2  _158_
+timestamp 1606941354
+transform 1 0 10028 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _178_
+timestamp 1606941354
+transform 1 0 8464 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_89
+timestamp 1606941354
+transform 1 0 9292 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_4  _132_
+timestamp 1606941354
+transform 1 0 6900 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_58
+timestamp 1606941354
+transform 1 0 6716 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_11_62
+timestamp 1606941354
+transform 1 0 6808 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_72
+timestamp 1606941354
+transform 1 0 7728 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2  _117_
+timestamp 1606941354
+transform 1 0 5428 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_53
+timestamp 1606941354
+transform 1 0 5980 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _092_
+timestamp 1606941354
+transform 1 0 2944 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__or3_2  _143_
+timestamp 1606941354
+transform 1 0 4140 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_25
+timestamp 1606941354
+transform 1 0 3404 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_39
+timestamp 1606941354
+transform 1 0 4692 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _122_
+timestamp 1606941354
+transform 1 0 1748 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_22
+timestamp 1606941354
+transform 1 0 1104 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_4  FILLER_11_3
+timestamp 1606941354
+transform 1 0 1380 0 1 8160
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_12
+timestamp 1606941354
+transform 1 0 2208 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_1  _109_
+timestamp 1606941354
+transform 1 0 11040 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_21
+timestamp 1606941354
+transform -1 0 12328 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_100
+timestamp 1606941354
+transform 1 0 10304 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _126_
+timestamp 1606941354
+transform 1 0 9660 0 -1 8160
+box -38 -48 682 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_57
+timestamp 1606941354
+transform 1 0 9568 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_10_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__and3_4  _177_
+timestamp 1606941354
+transform 1 0 7360 0 -1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_60
+timestamp 1606941354
+transform 1 0 6624 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_10_77
+timestamp 1606941354
+transform 1 0 8188 0 -1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__and2_2  _103_
+timestamp 1606941354
+transform 1 0 6072 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _141_
+timestamp 1606941354
+transform 1 0 4784 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_46
+timestamp 1606941354
+transform 1 0 5336 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_56
+timestamp 1606941354
+transform 1 0 3956 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _120_
+timestamp 1606941354
+transform 1 0 2760 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _154_
+timestamp 1606941354
+transform 1 0 1564 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_20
+timestamp 1606941354
+transform 1 0 1104 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_10_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_19
+timestamp 1606941354
+transform -1 0 12328 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_104
+timestamp 1606941354
+transform 1 0 10672 0 1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_116
+timestamp 1606941354
+transform 1 0 11776 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__and2_2  _108_
+timestamp 1606941354
+transform 1 0 8832 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _157_
+timestamp 1606941354
+transform 1 0 10120 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_90
+timestamp 1606941354
+transform 1 0 9384 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor3_2  _175_
+timestamp 1606941354
+transform 1 0 7360 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_55
+timestamp 1606941354
+transform 1 0 6716 0 1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_62
+timestamp 1606941354
+transform 1 0 6808 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_76
+timestamp 1606941354
+transform 1 0 8096 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _086_
+timestamp 1606941354
+transform 1 0 5520 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_40
+timestamp 1606941354
+transform 1 0 4784 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_53
+timestamp 1606941354
+transform 1 0 5980 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _115_
+timestamp 1606941354
+transform 1 0 4324 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _137_
+timestamp 1606941354
+transform 1 0 3128 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_27
+timestamp 1606941354
+transform 1 0 3588 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _160_
+timestamp 1606941354
+transform 1 0 1932 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_18
+timestamp 1606941354
+transform 1 0 1104 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_3
+timestamp 1606941354
+transform 1 0 1380 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_14
+timestamp 1606941354
+transform 1 0 2392 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _119_
+timestamp 1606941354
+transform 1 0 10856 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_17
+timestamp 1606941354
+transform -1 0 12328 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _110_
+timestamp 1606941354
+transform 1 0 9660 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_54
+timestamp 1606941354
+transform 1 0 9568 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_90
+timestamp 1606941354
+transform 1 0 9384 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_2  _107_
+timestamp 1606941354
+transform 1 0 7728 0 -1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_64
+timestamp 1606941354
+transform 1 0 6992 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_78
+timestamp 1606941354
+transform 1 0 8280 0 -1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _081_
+timestamp 1606941354
+transform 1 0 6532 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _093_
+timestamp 1606941354
+transform 1 0 5336 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_51
+timestamp 1606941354
+transform 1 0 5796 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _123_
+timestamp 1606941354
+transform 1 0 4140 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_53
+timestamp 1606941354
+transform 1 0 3956 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _152_
+timestamp 1606941354
+transform 1 0 2760 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _164_
+timestamp 1606941354
+transform 1 0 1564 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_16
+timestamp 1606941354
+transform 1 0 1104 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _146_
+timestamp 1606941354
+transform 1 0 10764 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _147_
+timestamp 1606941354
+transform 1 0 10856 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_13
+timestamp 1606941354
+transform -1 0 12328 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_15
+timestamp 1606941354
+transform -1 0 12328 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_110
+timestamp 1606941354
+transform 1 0 11224 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_118
+timestamp 1606941354
+transform 1 0 11960 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _112_
+timestamp 1606941354
+transform 1 0 9568 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _136_
+timestamp 1606941354
+transform 1 0 9660 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_51
+timestamp 1606941354
+transform 1 0 9568 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_81
+timestamp 1606941354
+transform 1 0 8556 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_84
+timestamp 1606941354
+transform 1 0 8832 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_97
+timestamp 1606941354
+transform 1 0 10028 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _104_
+timestamp 1606941354
+transform 1 0 8372 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _111_
+timestamp 1606941354
+transform 1 0 8096 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _113_
+timestamp 1606941354
+transform 1 0 6624 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2  _144_
+timestamp 1606941354
+transform 1 0 7084 0 1 5984
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_52
+timestamp 1606941354
+transform 1 0 6716 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_65
+timestamp 1606941354
+transform 1 0 7084 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_73
+timestamp 1606941354
+transform 1 0 7820 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_62
+timestamp 1606941354
+transform 1 0 6808 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_71
+timestamp 1606941354
+transform 1 0 7636 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _114_
+timestamp 1606941354
+transform 1 0 5520 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _140_
+timestamp 1606941354
+transform 1 0 5428 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_40
+timestamp 1606941354
+transform 1 0 4784 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_53
+timestamp 1606941354
+transform 1 0 5980 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_2  _094_
+timestamp 1606941354
+transform 1 0 3220 0 1 5984
+box -38 -48 406 592
+use sky130_fd_sc_hd__nor2_2  _151_
+timestamp 1606941354
+transform 1 0 4324 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _156_
+timestamp 1606941354
+transform 1 0 4232 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_50
+timestamp 1606941354
+transform 1 0 3956 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 5984
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_39
+timestamp 1606941354
+transform 1 0 4692 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_27
+timestamp 1606941354
+transform 1 0 3588 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _138_
+timestamp 1606941354
+transform 1 0 1656 0 1 5984
+box -38 -48 866 592
+use sky130_fd_sc_hd__nand2_2  _165_
+timestamp 1606941354
+transform 1 0 2760 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_12
+timestamp 1606941354
+transform 1 0 1104 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_14
+timestamp 1606941354
+transform 1 0 1104 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_3
+timestamp 1606941354
+transform 1 0 1380 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_15
+timestamp 1606941354
+transform 1 0 2484 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_11
+timestamp 1606941354
+transform -1 0 12328 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_101
+timestamp 1606941354
+transform 1 0 10396 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_113
+timestamp 1606941354
+transform 1 0 11500 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2  _134_
+timestamp 1606941354
+transform 1 0 8740 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _159_
+timestamp 1606941354
+transform 1 0 9936 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_88
+timestamp 1606941354
+transform 1 0 9200 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _116_
+timestamp 1606941354
+transform 1 0 7544 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_49
+timestamp 1606941354
+transform 1 0 6716 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_62
+timestamp 1606941354
+transform 1 0 6808 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_75
+timestamp 1606941354
+transform 1 0 8004 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _148_
+timestamp 1606941354
+transform 1 0 5520 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_40
+timestamp 1606941354
+transform 1 0 4784 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_53
+timestamp 1606941354
+transform 1 0 5980 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _163_
+timestamp 1606941354
+transform 1 0 4324 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _179_
+timestamp 1606941354
+transform 1 0 3128 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_21
+timestamp 1606941354
+transform 1 0 3036 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_27
+timestamp 1606941354
+transform 1 0 3588 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_10
+timestamp 1606941354
+transform 1 0 1104 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_3
+timestamp 1606941354
+transform 1 0 1380 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_15
+timestamp 1606941354
+transform 1 0 2484 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_9
+timestamp 1606941354
+transform -1 0 12328 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_110
+timestamp 1606941354
+transform 1 0 11224 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__or2_2  _174_
+timestamp 1606941354
+transform 1 0 9660 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_48
+timestamp 1606941354
+transform 1 0 9568 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_4_85
+timestamp 1606941354
+transform 1 0 8924 0 -1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_91
+timestamp 1606941354
+transform 1 0 9476 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _133_
+timestamp 1606941354
+transform 1 0 7360 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_60
+timestamp 1606941354
+transform 1 0 6624 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_73
+timestamp 1606941354
+transform 1 0 7820 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _155_
+timestamp 1606941354
+transform 1 0 6164 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _162_
+timestamp 1606941354
+transform 1 0 4968 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_40
+timestamp 1606941354
+transform 1 0 4784 0 -1 4896
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_47
+timestamp 1606941354
+transform 1 0 5428 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_47
+timestamp 1606941354
+transform 1 0 3956 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 4896
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_8
+timestamp 1606941354
+transform 1 0 1104 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_7
+timestamp 1606941354
+transform -1 0 12328 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_109
+timestamp 1606941354
+transform 1 0 11132 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_3_117
+timestamp 1606941354
+transform 1 0 11868 0 1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__nand2_2  _166_
+timestamp 1606941354
+transform 1 0 8464 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_85
+timestamp 1606941354
+transform 1 0 8924 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_97
+timestamp 1606941354
+transform 1 0 10028 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _150_
+timestamp 1606941354
+transform 1 0 7268 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_46
+timestamp 1606941354
+transform 1 0 6716 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_60
+timestamp 1606941354
+transform 1 0 6624 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_3_62
+timestamp 1606941354
+transform 1 0 6808 0 1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_66
+timestamp 1606941354
+transform 1 0 7176 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_72
+timestamp 1606941354
+transform 1 0 7728 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _168_
+timestamp 1606941354
+transform 1 0 5428 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_52
+timestamp 1606941354
+transform 1 0 5888 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_27
+timestamp 1606941354
+transform 1 0 3588 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_39
+timestamp 1606941354
+transform 1 0 4692 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_6
+timestamp 1606941354
+transform 1 0 1104 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_3
+timestamp 1606941354
+transform 1 0 1380 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_15
+timestamp 1606941354
+transform 1 0 2484 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_5
+timestamp 1606941354
+transform -1 0 12328 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_105
+timestamp 1606941354
+transform 1 0 10764 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_2_117
+timestamp 1606941354
+transform 1 0 11868 0 -1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_45
+timestamp 1606941354
+transform 1 0 9568 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_80
+timestamp 1606941354
+transform 1 0 8464 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_93
+timestamp 1606941354
+transform 1 0 9660 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _161_
+timestamp 1606941354
+transform 1 0 6808 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _171_
+timestamp 1606941354
+transform 1 0 8004 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_67
+timestamp 1606941354
+transform 1 0 7268 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _169_
+timestamp 1606941354
+transform 1 0 5612 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_44
+timestamp 1606941354
+transform 1 0 5152 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_2_48
+timestamp 1606941354
+transform 1 0 5520 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_54
+timestamp 1606941354
+transform 1 0 6072 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_44
+timestamp 1606941354
+transform 1 0 3956 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_4
+timestamp 1606941354
+transform 1 0 1104 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_1
+timestamp 1606941354
+transform -1 0 12328 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_3
+timestamp 1606941354
+transform -1 0 12328 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_106
+timestamp 1606941354
+transform 1 0 10856 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_104
+timestamp 1606941354
+transform 1 0 10672 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_1_116
+timestamp 1606941354
+transform 1 0 11776 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_42
+timestamp 1606941354
+transform 1 0 9660 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_87
+timestamp 1606941354
+transform 1 0 9108 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_94
+timestamp 1606941354
+transform 1 0 9752 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_80
+timestamp 1606941354
+transform 1 0 8464 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_92
+timestamp 1606941354
+transform 1 0 9568 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _170_
+timestamp 1606941354
+transform 1 0 6808 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _176_
+timestamp 1606941354
+transform 1 0 8004 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_41
+timestamp 1606941354
+transform 1 0 6808 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_43
+timestamp 1606941354
+transform 1 0 6716 0 1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_63
+timestamp 1606941354
+transform 1 0 6900 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_75
+timestamp 1606941354
+transform 1 0 8004 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_67
+timestamp 1606941354
+transform 1 0 7268 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_44
+timestamp 1606941354
+transform 1 0 5152 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_56
+timestamp 1606941354
+transform 1 0 6256 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_51
+timestamp 1606941354
+transform 1 0 5796 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_59
+timestamp 1606941354
+transform 1 0 6532 0 1 2720
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_40
+timestamp 1606941354
+transform 1 0 3956 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_0_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 2720
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_27
+timestamp 1606941354
+transform 1 0 3588 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_39
+timestamp 1606941354
+transform 1 0 4692 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_0
+timestamp 1606941354
+transform 1 0 1104 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_2
+timestamp 1606941354
+transform 1 0 1104 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_3
+timestamp 1606941354
+transform 1 0 1380 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_15
+timestamp 1606941354
+transform 1 0 2484 0 1 2720
+box -38 -48 1142 592
+<< labels >>
+rlabel metal2 s 2502 14842 2558 15642 4 cbitin
+port 1 nsew
+rlabel metal2 s 1306 0 1362 800 4 cbitout
+port 2 nsew
+rlabel metal2 s 1490 14842 1546 15642 4 confclk
+port 3 nsew
+rlabel metal2 s 3974 0 4030 800 4 dempty
+port 4 nsew
+rlabel metal2 s 6642 14842 6698 15642 4 din[0]
+port 5 nsew
+rlabel metal3 s 12698 10888 13498 11008 4 din[1]
+port 6 nsew
+rlabel metal3 s 12698 4632 13498 4752 4 dout[0]
+port 7 nsew
+rlabel metal2 s 9770 14842 9826 15642 4 dout[1]
+port 8 nsew
+rlabel metal2 s 4618 14842 4674 15642 4 hempty
+port 9 nsew
+rlabel metal3 s 0 2592 800 2712 4 lempty
+port 10 nsew
+rlabel metal3 s 0 7760 800 7880 4 lin[0]
+port 11 nsew
+rlabel metal3 s 0 12928 800 13048 4 lin[1]
+port 12 nsew
+rlabel metal2 s 7746 14842 7802 15642 4 lout[0]
+port 13 nsew
+rlabel metal3 s 12698 14016 13498 14136 4 lout[1]
+port 14 nsew
+rlabel metal3 s 12698 1504 13498 1624 4 rempty
+port 15 nsew
+rlabel metal2 s 478 14842 534 15642 4 reset
+port 16 nsew
+rlabel metal3 s 12698 7760 13498 7880 4 rin[0]
+port 17 nsew
+rlabel metal2 s 10874 14842 10930 15642 4 rin[1]
+port 18 nsew
+rlabel metal2 s 8758 14842 8814 15642 4 rout[0]
+port 19 nsew
+rlabel metal2 s 11886 14842 11942 15642 4 rout[1]
+port 20 nsew
+rlabel metal2 s 3514 14842 3570 15642 4 uempty
+port 21 nsew
+rlabel metal2 s 6642 0 6698 800 4 uin[0]
+port 22 nsew
+rlabel metal2 s 12898 14842 12954 15642 4 uin[1]
+port 23 nsew
+rlabel metal2 s 9402 0 9458 800 4 uout[0]
+port 24 nsew
+rlabel metal2 s 12070 0 12126 800 4 uout[1]
+port 25 nsew
+rlabel metal2 s 5630 14842 5686 15642 4 vempty
+port 26 nsew
+rlabel metal4 s 2815 2128 3135 13104 4 VPWR
+port 27 nsew
+rlabel metal4 s 4685 2128 5005 13104 4 VGND
+port 28 nsew
+<< properties >>
+string FIXED_BBOX 0 0 13498 15642
+string GDS_FILE /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds
+string GDS_END 532056
+string GDS_START 176590
+<< end >>
diff --git a/openlane/morphle_yblock/config.tcl b/openlane/morphle_yblock/config.tcl
new file mode 100755
index 0000000..01fb1f8
--- /dev/null
+++ b/openlane/morphle_yblock/config.tcl
@@ -0,0 +1,53 @@
+set script_dir [file dirname [file normalize [info script]]]
+
+
+set ::env(DESIGN_NAME) yblock
+
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(PDN_CFG) $script_dir/pdn.tcl
+set ::env(FP_PDN_CORE_RING) 1
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 1400 1600"
+
+set ::unit 3
+set ::env(FP_IO_VEXTEND) [expr 2*$::unit]
+set ::env(FP_IO_HEXTEND) [expr 2*$::unit]
+set ::env(FP_IO_VLENGTH) $::unit
+set ::env(FP_IO_HLENGTH) $::unit
+
+set ::env(FP_IO_VTHICKNESS_MULT) 4
+set ::env(FP_IO_HTHICKNESS_MULT) 4
+
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) 0
+set ::env(DIODE_INSERTION_STRATEGY) 0
+
+# Need to fix a FastRoute bug for this to work, but it's good
+# for a sense of "isolation"
+set ::env(MAGIC_ZEROIZE_ORIGIN) 0
+set ::env(MAGIC_WRITE_FULL_LEF) 1
+
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
+
+set ::env(VERILOG_FILES) "\
+	$script_dir/../../verilog/morphle/yblock.v"
+
+set ::env(VERILOG_FILES_BLACKBOX) "\
+	$script_dir/../../verilog/morphle/ycell.v"
+
+set ::env(EXTRA_LEFS) "\
+	$script_dir/../../lef/ycell.lef"
+
+set ::env(EXTRA_GDS_FILES) "\
+	$script_dir/../../gds/ycell.gds"
+
+set ::env(CLOCK_PERIOD) "0"
+
+set ::env(DESIGN_IS_CORE) 0
+set ::env(FP_PDN_CORE_RING) 0
+set ::env(GLB_RT_MAXLAYER) 5
+set ::env(CLOCK_TREE_SYNTH) 0
+set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) 60
+set ::env(PL_BASIC_PLACEMENT) 1
+set ::env(PL_TARGET_DENSITY) 0.65
diff --git a/openlane/morphle_yblock/pdn.tcl b/openlane/morphle_yblock/pdn.tcl
new file mode 100644
index 0000000..f6d953c
--- /dev/null
+++ b/openlane/morphle_yblock/pdn.tcl
@@ -0,0 +1,47 @@
+# Power nets
+set ::power_nets $::env(_VDD_NET_NAME)
+set ::ground_nets $::env(_GND_NET_NAME)
+
+pdngen::specify_grid stdcell {
+    name grid
+	core_ring {
+		met5 {width $::env(_WIDTH) spacing $::env(_SPACING) core_offset $::env(_H_OFFSET)}
+		met4 {width $::env(_WIDTH) spacing $::env(_SPACING) core_offset $::env(_V_OFFSET)}
+	}
+	rails {
+	}
+    straps {
+	    met4 {width $::env(_WIDTH) pitch $::env(_V_PITCH) offset $::env(_V_PDN_OFFSET)}
+	    met5 {width $::env(_WIDTH) pitch $::env(_H_PITCH) offset $::env(_H_PDN_OFFSET)}
+    }
+    connect {{met4 met5}}
+}
+
+pdngen::specify_grid macro {
+	instance "obs_core_obs"
+    power_pins $::env(_VDD_NET_NAME)
+    ground_pins $::env(_GND_NET_NAME)
+    blockages "li1 met1 met2 met3 met4 met5"
+    straps { 
+    } 
+    connect {}
+}
+
+
+pdngen::specify_grid macro {
+    power_pins $::env(_VDD_NET_NAME)
+    ground_pins $::env(_GND_NET_NAME)
+    blockages ""
+    straps { 
+    } 
+    connect {}
+}
+
+set ::halo 0
+
+# POWER or GROUND #Std. cell rails starting with power or ground rails at the bottom of the core area
+set ::rails_start_with "POWER" ;
+
+# POWER or GROUND #Upper metal stripes starting with power or ground rails at the left/bottom of the core area
+set ::stripes_start_with "POWER" ;
+
diff --git a/openlane/morphle_yblock/pin_order.cfg b/openlane/morphle_yblock/pin_order.cfg
new file mode 100644
index 0000000..dc78dc0
--- /dev/null
+++ b/openlane/morphle_yblock/pin_order.cfg
@@ -0,0 +1,29 @@
+#BUS_SORT
+
+#S
+reset
+confclk
+cbitin*
+uvempty*
+uempty*
+uin*
+uout*
+
+#W
+rhempty*
+rempty*
+rin*
+rout*
+
+#N
+cbitout*
+dvempty*
+dempty*
+din*
+dout*
+
+#E
+lhempty*
+lempty*
+lin*
+lout*
diff --git a/openlane/morphle_ycell/config.tcl b/openlane/morphle_ycell/config.tcl
new file mode 100755
index 0000000..94ea7b4
--- /dev/null
+++ b/openlane/morphle_ycell/config.tcl
@@ -0,0 +1,19 @@
+set script_dir [file dirname [file normalize [info script]]]
+
+
+set ::env(DESIGN_NAME) ycell
+
+set ::env(VERILOG_FILES) "\
+	$script_dir/../../verilog/morphle/ycell.v"
+
+set ::env(CLOCK_PERIOD) "0"
+
+set ::env(DESIGN_IS_CORE) 0
+set ::env(FP_PDN_CORE_RING) 0
+set ::env(GLB_RT_MAXLAYER) 5
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+set ::env(CLOCK_TREE_SYNTH) 0
+set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) 30
+set ::env(PL_BASIC_PLACEMENT) 1
+set ::env(PL_TARGET_DENSITY) 0.55
diff --git a/openlane/morphle_ycell/pin_order.cfg b/openlane/morphle_ycell/pin_order.cfg
new file mode 100644
index 0000000..7908299
--- /dev/null
+++ b/openlane/morphle_ycell/pin_order.cfg
@@ -0,0 +1,25 @@
+#BUS_SORT
+
+#N
+reset
+confclk
+cbitin
+uempty
+uin*
+uout*
+
+#E
+rempty
+rin*
+rout*
+
+#S
+cbitout
+dempty
+din*
+dout*
+
+#W
+lempty
+lin*
+lout*
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/cmds.log b/openlane/morphle_ycell/runs/morphle_ycell/cmds.log
new file mode 100644
index 0000000..184b74a
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/cmds.log
@@ -0,0 +1,80 @@
+Wed Dec 02 20:35:25 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef -o /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef |& tee >&@stdout"
+
+Wed Dec 02 20:35:25 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /opt/asic/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib"
+
+Wed Dec 02 20:35:26 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth.tcl -l /project/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys.log |& tee >&@stdout"
+
+Wed Dec 02 20:35:27 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:27 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:27 UTC 2020 - Executing "sed -ie /defparam/d /project/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.v"
+
+Wed Dec 02 20:35:27 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def.openroad.log"
+
+Wed Dec 02 20:35:28 UTC 2020 - Executing "python3 /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef --input-def /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def --config /project/openlane/morphle_ycell/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 2 --hor-width-mult 2 --hor-extension -1 --ver-extension -1 --length 4 -o /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def |& tee /project/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/place_io_ol.log >&@stdout"
+
+Wed Dec 02 20:35:28 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_tapcell.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell.log"
+
+Wed Dec 02 20:35:29 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace.log"
+
+Wed Dec 02 20:35:30 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib /opt/asic/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/opt.lib"
+
+Wed Dec 02 20:35:30 UTC 2020 - Executing "Psn /openLANE_flow/scripts/openPhySyn.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn.log"
+
+Wed Dec 02 20:35:31 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log"
+
+Wed Dec 02 20:35:32 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis_optimized.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:32 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:32 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp.log"
+
+Wed Dec 02 20:35:33 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn.log"
+
+Wed Dec 02 20:35:33 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_route.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute.log"
+
+Wed Dec 02 20:35:35 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_route.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_post_antenna.log"
+
+Wed Dec 02 20:35:36 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_fill.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers.log"
+
+Wed Dec 02 20:35:37 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log"
+
+Wed Dec 02 20:35:37 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis_preroute.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:37 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis_optimized.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:37 UTC 2020 - Executing "envsubst < /openLANE_flow/scripts/tritonRoute.param > /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.param"
+
+Wed Dec 02 20:35:37 UTC 2020 - Executing "TritonRoute /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.param |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute.log"
+
+Wed Dec 02 20:35:51 UTC 2020 - Executing "python3 /openLANE_flow/scripts/tr2klayout.py -i /project/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.drc -o /project/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.klayout.xml --design-name ycell"
+
+Wed Dec 02 20:35:51 UTC 2020 - Executing "python3 /openLANE_flow/scripts/spef_extractor/main.py -l /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef -d /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def -mw L -ec 1 |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/spef_extraction.log"
+
+Wed Dec 02 20:35:52 UTC 2020 - Executing "python3 /openLANE_flow/scripts/write_powered_def.py -d /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def -l /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef -v VPWR -g VGND -o /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/write_powered_verilog.log"
+
+Wed Dec 02 20:35:52 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_write_verilog.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log"
+
+Wed Dec 02 20:35:53 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/yosys_rewrite_verilog.tcl -l /project/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_rewrite_verilog.log"
+
+Wed Dec 02 20:35:54 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/lvs\/ycell.lvs.powered.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:54 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/project\/openlane\/morphle_ycell\/runs\/morphle_ycell\/results\/synthesis\/ycell.synthesis_preroute.v/} /project/openlane/morphle_ycell/runs/morphle_ycell/config.tcl"
+
+Wed Dec 02 20:35:54 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /opt/asic/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.log"
+
+Wed Dec 02 20:35:54 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /opt/asic/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_mag.tcl </dev/null |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.log"
+
+Wed Dec 02 20:35:54 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /opt/asic/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_maglef.tcl </dev/null |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.maglef.log"
+
+Wed Dec 02 20:35:55 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /opt/asic/sky130A/libs.tech/magic/sky130A.magicrc /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic_spice.tcl </dev/null |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_spice.log"
+
+Wed Dec 02 20:35:55 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /opt/asic/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_drc.tcl </dev/null |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc.log"
+
+Wed Dec 02 20:35:57 UTC 2020 - Executing "netgen -batch lvs {/project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice ycell} {/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v ycell} /opt/asic/sky130A/libs.tech/netgen/sky130A_setup.tcl /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log -json |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/lvs.log"
+
+Wed Dec 02 20:35:57 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_antenna_check.tcl |& tee >&@stdout /project/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/or_antenna.log"
+
+Wed Dec 02 20:35:58 UTC 2020 - Executing "python3 /openLANE_flow/report_generation_wrapper.py -d /project/openlane/morphle_ycell -dn ycell -t morphle_ycell -o /project/openlane/morphle_ycell/runs/morphle_ycell/reports/final_summary_report.csv -r /project/openlane/morphle_ycell/runs/morphle_ycell"
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/config.tcl b/openlane/morphle_ycell/runs/morphle_ycell/config.tcl
new file mode 100644
index 0000000..01bd9cd
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/config.tcl
@@ -0,0 +1,84 @@
+# General config
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+set ::env(PDK_ROOT) "/opt/asic"
+set ::env(CELL_PAD) "8"
+set ::env(MERGED_LEF) "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef"
+set ::env(MERGED_LEF_UNPADDED) "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef"
+set ::env(TRACKS_INFO_FILE) "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/tracks_copy.info"
+set ::env(TECH_LEF) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
+# Design config
+set ::env(CLOCK_PERIOD) "0"
+# Synthesis config
+set ::env(LIB_SYNTH) "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib"
+set ::env(LIB_SYNTH_COMPLETE) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
+set ::env(SYNTH_CAP_LOAD) "17.65"
+set ::env(SYNTH_MAX_FANOUT) "5"
+set ::env(SYNTH_NO_FLAT) "0"
+set ::env(SYNTH_MAX_TRAN) "[expr {0.1*0}]"
+set ::env(LIB_FASTEST) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
+set ::env(LIB_SLOWEST) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
+set ::env(LIB_TYPICAL) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(SYNTH_SCRIPT) "/openLANE_flow/scripts/synth.tcl"
+set ::env(SYNTH_STRATEGY) "2"
+set ::env(CLOCK_BUFFER_FANOUT) "16"
+set ::env(BASE_SDC_FILE) "/openLANE_flow/scripts/base.sdc"
+# Floorplan config
+set ::env(FP_CORE_UTIL) "30"
+set ::env(FP_ASPECT_RATIO) "1"
+set ::env(FP_CORE_MARGIN) "0"
+set ::env(FP_IO_HMETAL) "4"
+set ::env(FP_IO_VMETAL) "3"
+set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
+set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
+set ::env(FP_PDN_VOFFSET) "16.32"
+set ::env(FP_PDN_VPITCH) "153.6"
+set ::env(FP_PDN_HOFFSET) "16.65"
+set ::env(FP_PDN_HPITCH) "153.18"
+set ::env(FP_TAPCELL_DIST) "14"
+# Placement config
+set ::env(PL_TARGET_DENSITY) "0.55"
+set ::env(PL_TIME_DRIVEN) "0"
+set ::env(PL_LIB) "/opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+set ::env(PL_BASIC_PLACEMENT) "1"
+set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
+set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) "1"
+set ::env(PSN_ENABLE_RESIZING) "1"
+set ::env(PSN_ENABLE_PIN_SWAP) "1"
+set ::env(PL_RESIZER_OVERBUFFER) "0"
+# CTS config
+set ::env(CTS_TARGET_SKEW) "200"
+set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
+set ::env(CTS_TECH_DIR) "N/A"
+set ::env(CTS_TOLERANCE) "100"
+# Routing config
+set ::env(GLB_RT_MAXLAYER) "5"
+set ::env(GLB_RT_ADJUSTMENT) "0"
+set ::env(GLB_RT_L1_ADJUSTMENT) "0.99"
+set ::env(GLB_RT_L2_ADJUSTMENT) "0"
+set ::env(GLB_RT_MINLAYER) "1"
+set ::env(GLB_RT_MAXLAYER) "5"
+set ::env(GLB_RT_UNIDIRECTIONAL) "1"
+set ::env(GLB_RT_ALLOW_CONGESTION) "0"
+set ::env(GLB_RT_OVERFLOW_ITERS) "50"
+set ::env(GLB_RT_TILES) "15"
+set ::env(GLB_RT_ESTIMATE_PARASITICS) "1"
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) "1"
+set ::env(DIODE_PADDING) "2"
+set ::env(SPEF_WIRE_MODEL) "L"
+set ::env(SPEF_EDGE_CAP_FACTOR) "1"
+# Flow control config
+set ::env(RUN_SIMPLE_CTS) "0"
+set ::env(RUN_ROUTING_DETAILED) "1"
+set ::env(CLOCK_TREE_SYNTH) "0"
+set ::env(LEC_ENABLE) "0"
+set ::env(FILL_INSERTION) "1"
+set ::env(DIODE_INSERTION_STRATEGY) "3"
+set ::env(CHECK_ASSIGN_STATEMENTS) "0"
+set ::env(CHECK_UNMAPPED_CELLS) "1"
+set ::env(USE_ARC_ANTENNA_CHECK) "1"
+set ::env(RUN_SPEF_EXTRACTION) "1"
+set ::env(CURRENT_DEF) /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+set ::env(CURRENT_NETLIST) /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
+set ::env(PREV_NETLIST) /project/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_preroute.v
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/cts/cts.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/cts/cts.log
new file mode 100644
index 0000000..86ce643
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/cts/cts.log
@@ -0,0 +1 @@
+SKIPPED!
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn.log
new file mode 100644
index 0000000..d132f06
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn.log
@@ -0,0 +1,34 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/placement/ycell.placement.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/placement/ycell.placement.def
+[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN
+[INFO] [PDNG-0016]   config: /opt/asic/sky130A/libs.tech/openlane/common_pdn.tcl
+[INFO] [PDNG-0008] Design Name is ycell
+[INFO] [PDNG-0009] Reading technology data
+[INFO] [PDNG-0011] ****** INFO ******
+Type: stdcell, grid
+    Stdcell Rails
+      Layer: met1 -  width: 0.480  pitch: 2.720  offset: 0.000 
+    Straps
+      Layer: met4 -  width: 1.600  pitch: 18.707  offset: 9.353 
+    Connect: {met1 met4}
+Type: macro, macro_1
+    Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90
+    Straps
+    Connect: {met4_PIN_ver met5}
+[INFO] [PDNG-0012] **** END INFO ****
+[INFO] [PDNG-0013] Inserting stdcell grid - grid
+[INFO] [PDNG-0015] Writing to database
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn_runtime.txt
new file mode 100644
index 0000000..8a1ef9b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/pdn_runtime.txt
@@ -0,0 +1 @@
+0h0m0s819ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/place_io_ol.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/place_io_ol.log
new file mode 100644
index 0000000..867b180
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/place_io_ol.log
@@ -0,0 +1,18 @@
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 106 components and 757 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def
+Top-level design name: ycell
+Warning: Some pins weren't matched by the config file
+Those are: ['hempty', 'vempty', 'din[0]', 'dout[0]', 'lin[0]', 'lout[0]', 'rin[0]', 'rout[0]', 'uin[0]', 'uout[0]', 'din[1]', 'dout[1]', 'lin[1]', 'lout[1]', 'rin[1]', 'rout[1]', 'uin[1]', 'uout[1]']
+Assigning random sides to the above pins
+Block boundaries: 0 0 67490 78210
+Writing /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell.log
new file mode 100644
index 0000000..5f48912
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell.log
@@ -0,0 +1,26 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 106 components and 757 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
+Running tapcell...
+Step 1: Cut rows...
+[INFO] Macro blocks found: 0
+[INFO] #Original rows: 20
+[INFO] #Cut rows: 0
+Step 2: Insert endcaps...
+[INFO] #Endcaps inserted: 40
+Step 3: Insert tapcells...
+[INFO] #Tapcells inserted: 33
+Running tapcell... Done!
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell_runtime.txt
new file mode 100644
index 0000000..cbe9571
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/tapcell_runtime.txt
@@ -0,0 +1 @@
+0h0m0s767ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def.openroad.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def.openroad.log
new file mode 100644
index 0000000..55bc27b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def.openroad.log
@@ -0,0 +1,14 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Warning: /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Info: Added 20 rows of 122 sites.
+[INFO] Extracting DIE_AREA and CORE_AREA from the floorplan
+[INFO] Floorplanned on a die area of 0.0 0.0 67.49 78.21 (microns). Saving to /project/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.die_area.rpt.
+[INFO] Floorplanned on a core area of 5.52 10.88 61.64 65.28 (microns). Saving to /project/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.core_area.rpt.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def_openroad_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def_openroad_runtime.txt
new file mode 100644
index 0000000..0504f2c
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/floorplan/verilog2def_openroad_runtime.txt
@@ -0,0 +1 @@
+0h0m1s146ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/lvs.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/lvs.log
new file mode 100644
index 0000000..e643cc1
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/lvs.log
@@ -0,0 +1,288 @@
+Netgen 1.5.157 compiled on Fri Oct  9 13:50:13 UTC 2020
+Warning: netgen command 'format' use fully-qualified name '::netgen::format'
+Warning: netgen command 'global' use fully-qualified name '::netgen::global'
+Generating JSON file result
+Reading netlist file /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice
+Reading netlist file /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
+Warning:  A case-insensitive file has been read and so the	verilog file must be treated case-insensitive to match.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_12.
+Creating placeholder cell definition for module sky130_fd_sc_hd__fill_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_6.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_8.
+Creating placeholder cell definition for module sky130_fd_sc_hd__fill_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__decap_3.
+Creating placeholder cell definition for module sky130_fd_sc_hd__tapvpwrvgnd_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nor3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__inv_8.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or2_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__buf_6.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nand2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__buf_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a32o_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o22a_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nand3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and2_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__o21a_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or3_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__buf_1.
+Creating placeholder cell definition for module sky130_fd_sc_hd__or3_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nor2_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__a211o_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__nor4_2.
+Creating placeholder cell definition for module sky130_fd_sc_hd__and3_4.
+Creating placeholder cell definition for module sky130_fd_sc_hd__dfxtp_4.
+Reading setup file /opt/asic/sky130A/libs.tech/netgen/sky130A_setup.tcl
+Comparison output logged to file /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log
+Logging to file "/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log" enabled
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__inv_8'
+Circuit sky130_fd_sc_hd__inv_8 contains 0 device instances.
+Circuit contains 0 nets, and 6 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__inv_8'
+Circuit sky130_fd_sc_hd__inv_8 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__inv_8 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__or2_2'
+Circuit sky130_fd_sc_hd__or2_2 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__or2_2'
+Circuit sky130_fd_sc_hd__or2_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__or2_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__o22a_4'
+Circuit sky130_fd_sc_hd__o22a_4 contains 0 device instances.
+Circuit contains 0 nets, and 9 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__o22a_4'
+Circuit sky130_fd_sc_hd__o22a_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__o22a_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nand2_2'
+Circuit sky130_fd_sc_hd__nand2_2 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nand2_2'
+Circuit sky130_fd_sc_hd__nand2_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nand2_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nor2_2'
+Circuit sky130_fd_sc_hd__nor2_2 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nor2_2'
+Circuit sky130_fd_sc_hd__nor2_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nor2_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__a32o_4'
+Circuit sky130_fd_sc_hd__a32o_4 contains 0 device instances.
+Circuit contains 0 nets, and 10 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__a32o_4'
+Circuit sky130_fd_sc_hd__a32o_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__a32o_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__dfxtp_4'
+Circuit sky130_fd_sc_hd__dfxtp_4 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__dfxtp_4'
+Circuit sky130_fd_sc_hd__dfxtp_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__dfxtp_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__or3_4'
+Circuit sky130_fd_sc_hd__or3_4 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__or3_4'
+Circuit sky130_fd_sc_hd__or3_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__or3_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__buf_6'
+Circuit sky130_fd_sc_hd__buf_6 contains 0 device instances.
+Circuit contains 0 nets, and 6 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__buf_6'
+Circuit sky130_fd_sc_hd__buf_6 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__buf_6 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__a211o_4'
+Circuit sky130_fd_sc_hd__a211o_4 contains 0 device instances.
+Circuit contains 0 nets, and 9 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__a211o_4'
+Circuit sky130_fd_sc_hd__a211o_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__a211o_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__or2_4'
+Circuit sky130_fd_sc_hd__or2_4 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__or2_4'
+Circuit sky130_fd_sc_hd__or2_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__or2_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__or3_2'
+Circuit sky130_fd_sc_hd__or3_2 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__or3_2'
+Circuit sky130_fd_sc_hd__or3_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__or3_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nor4_2'
+Circuit sky130_fd_sc_hd__nor4_2 contains 0 device instances.
+Circuit contains 0 nets, and 9 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nor4_2'
+Circuit sky130_fd_sc_hd__nor4_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nor4_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__buf_2'
+Circuit sky130_fd_sc_hd__buf_2 contains 0 device instances.
+Circuit contains 0 nets, and 6 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__buf_2'
+Circuit sky130_fd_sc_hd__buf_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__buf_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__buf_1'
+Circuit sky130_fd_sc_hd__buf_1 contains 0 device instances.
+Circuit contains 0 nets, and 6 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__buf_1'
+Circuit sky130_fd_sc_hd__buf_1 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__buf_1 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__and2_2'
+Circuit sky130_fd_sc_hd__and2_2 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__and2_2'
+Circuit sky130_fd_sc_hd__and2_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__and2_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__and3_2'
+Circuit sky130_fd_sc_hd__and3_2 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__and3_2'
+Circuit sky130_fd_sc_hd__and3_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__and3_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__o21a_4'
+Circuit sky130_fd_sc_hd__o21a_4 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__o21a_4'
+Circuit sky130_fd_sc_hd__o21a_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__o21a_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__tapvpwrvgnd_1'
+Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains 0 device instances.
+Circuit contains 0 nets, and 2 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__tapvpwrvgnd_1'
+Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nor2_4'
+Circuit sky130_fd_sc_hd__nor2_4 contains 0 device instances.
+Circuit contains 0 nets, and 7 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nor2_4'
+Circuit sky130_fd_sc_hd__nor2_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nor2_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nand3_2'
+Circuit sky130_fd_sc_hd__nand3_2 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nand3_2'
+Circuit sky130_fd_sc_hd__nand3_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nand3_2 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__and3_4'
+Circuit sky130_fd_sc_hd__and3_4 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__and3_4'
+Circuit sky130_fd_sc_hd__and3_4 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__and3_4 contains no devices.
+Contents of circuit 1:  Circuit: 'sky130_fd_sc_hd__nor3_2'
+Circuit sky130_fd_sc_hd__nor3_2 contains 0 device instances.
+Circuit contains 0 nets, and 8 disconnected pins.
+Contents of circuit 2:  Circuit: 'sky130_fd_sc_hd__nor3_2'
+Circuit sky130_fd_sc_hd__nor3_2 contains 0 device instances.
+Circuit contains 0 nets.
+
+Circuit sky130_fd_sc_hd__nor3_2 contains no devices.
+Contents of circuit 1:  Circuit: 'ycell'
+Circuit ycell contains 139 device instances.
+  Class: sky130_fd_sc_hd__dfxtp_4 instances:   3
+  Class: sky130_fd_sc_hd__buf_1 instances:   2
+  Class: sky130_fd_sc_hd__buf_2 instances:   1
+  Class: sky130_fd_sc_hd__buf_6 instances:   3
+  Class: sky130_fd_sc_hd__inv_8 instances:  12
+  Class: sky130_fd_sc_hd__or3_2 instances:   3
+  Class: sky130_fd_sc_hd__or3_4 instances:   2
+  Class: sky130_fd_sc_hd__nand3_2 instances:   1
+  Class: sky130_fd_sc_hd__and3_2 instances:   3
+  Class: sky130_fd_sc_hd__and3_4 instances:   1
+  Class: sky130_fd_sc_hd__or2_2 instances:  10
+  Class: sky130_fd_sc_hd__or2_4 instances:   4
+  Class: sky130_fd_sc_hd__nand2_2 instances:  11
+  Class: sky130_fd_sc_hd__and2_2 instances:   7
+  Class: sky130_fd_sc_hd__nor4_2 instances:   2
+  Class: sky130_fd_sc_hd__o22a_4 instances:   2
+  Class: sky130_fd_sc_hd__a211o_4 instances:   2
+  Class: sky130_fd_sc_hd__nor3_2 instances:   3
+  Class: sky130_fd_sc_hd__a32o_4 instances:   2
+  Class: sky130_fd_sc_hd__o21a_4 instances:   2
+  Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances:  33
+  Class: sky130_fd_sc_hd__nor2_2 instances:  28
+  Class: sky130_fd_sc_hd__nor2_4 instances:   2
+Circuit contains 123 nets.
+Contents of circuit 2:  Circuit: 'ycell'
+Circuit ycell contains 139 device instances.
+  Class: sky130_fd_sc_hd__dfxtp_4 instances:   3
+  Class: sky130_fd_sc_hd__buf_1 instances:   2
+  Class: sky130_fd_sc_hd__buf_2 instances:   1
+  Class: sky130_fd_sc_hd__buf_6 instances:   3
+  Class: sky130_fd_sc_hd__inv_8 instances:  12
+  Class: sky130_fd_sc_hd__or3_2 instances:   3
+  Class: sky130_fd_sc_hd__or3_4 instances:   2
+  Class: sky130_fd_sc_hd__nand3_2 instances:   1
+  Class: sky130_fd_sc_hd__and3_2 instances:   3
+  Class: sky130_fd_sc_hd__and3_4 instances:   1
+  Class: sky130_fd_sc_hd__or2_2 instances:  10
+  Class: sky130_fd_sc_hd__or2_4 instances:   4
+  Class: sky130_fd_sc_hd__nand2_2 instances:  11
+  Class: sky130_fd_sc_hd__and2_2 instances:   7
+  Class: sky130_fd_sc_hd__nor4_2 instances:   2
+  Class: sky130_fd_sc_hd__o22a_4 instances:   2
+  Class: sky130_fd_sc_hd__a211o_4 instances:   2
+  Class: sky130_fd_sc_hd__nor3_2 instances:   3
+  Class: sky130_fd_sc_hd__a32o_4 instances:   2
+  Class: sky130_fd_sc_hd__o21a_4 instances:   2
+  Class: sky130_fd_sc_hd__tapvpwrvgnd_1 instances:  33
+  Class: sky130_fd_sc_hd__nor2_2 instances:  28
+  Class: sky130_fd_sc_hd__nor2_4 instances:   2
+Circuit contains 123 nets.
+
+Circuit 1 contains 139 devices, Circuit 2 contains 139 devices.
+Circuit 1 contains 123 nets,    Circuit 2 contains 123 nets.
+
+Circuits match with 1 symmetry.
+Resolving automorphisms by property value.
+Resolving automorphisms by pin name.
+Netlists match with 1 symmetry.
+Circuits match correctly.
+Result: Circuits match uniquely.
+Logging to file "/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log" disabled
+LVS Done.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/write_powered_verilog.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/write_powered_verilog.log
new file mode 100644
index 0000000..5e5928b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/lvs/write_powered_verilog.log
@@ -0,0 +1,19 @@
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 388 components and 1819 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+Top-level design name: ycell
+Found port VPWR of type SIGNAL
+Found port VGND of type SIGNAL
+Power net:  VPWR
+Ground net: VGND
+Modified power connections of 388 cells (Remaining: 0 ).
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc
new file mode 100644
index 0000000..98ef190
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc
@@ -0,0 +1,5 @@
+ycell
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc.log
new file mode 100644
index 0000000..daf3041
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc.log
@@ -0,0 +1,86 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/openLANE_flow/scripts/magic_drc.tcl" from command line.
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: ycell
+Reading "sky130_fd_sc_hd__decap_12".
+Error while reading cell "sky130_fd_sc_hd__decap_12" (byte position 138): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__decap_3".
+Error while reading cell "sky130_fd_sc_hd__decap_3" (byte position 4272): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__decap_4".
+Error while reading cell "sky130_fd_sc_hd__decap_4" (byte position 6934): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__decap_8".
+Error while reading cell "sky130_fd_sc_hd__decap_8" (byte position 12818): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__decap_6".
+Error while reading cell "sky130_fd_sc_hd__decap_6" (byte position 16344): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__nor2_2".
+Error while reading cell "sky130_fd_sc_hd__nor2_2" (byte position 19486): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__nand2_2".
+Error while reading cell "sky130_fd_sc_hd__nand2_2" (byte position 25568): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__or2_2".
+Error while reading cell "sky130_fd_sc_hd__or2_2" (byte position 30602): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__inv_8".
+Error while reading cell "sky130_fd_sc_hd__inv_8" (byte position 35014): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__buf_2".
+Error while reading cell "sky130_fd_sc_hd__buf_2" (byte position 42568): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__and2_2".
+Error while reading cell "sky130_fd_sc_hd__and2_2" (byte position 47060): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__or3_2".
+Error while reading cell "sky130_fd_sc_hd__or3_2" (byte position 52500): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__nor3_2".
+Error while reading cell "sky130_fd_sc_hd__nor3_2" (byte position 58170): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__and3_4".
+Error while reading cell "sky130_fd_sc_hd__and3_4" (byte position 64774): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__or2_4".
+Error while reading cell "sky130_fd_sc_hd__or2_4" (byte position 71664): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__buf_1".
+Error while reading cell "sky130_fd_sc_hd__buf_1" (byte position 77420): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__and3_2".
+Error while reading cell "sky130_fd_sc_hd__and3_2" (byte position 81384): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__or3_4".
+Error while reading cell "sky130_fd_sc_hd__or3_4" (byte position 87262): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__nor2_4".
+Error while reading cell "sky130_fd_sc_hd__nor2_4" (byte position 94376): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__buf_6".
+Error while reading cell "sky130_fd_sc_hd__buf_6" (byte position 101476): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__nand3_2".
+Error while reading cell "sky130_fd_sc_hd__nand3_2" (byte position 108510): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__o21a_4".
+Error while reading cell "sky130_fd_sc_hd__o21a_4" (byte position 115792): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__nor4_2".
+Error while reading cell "sky130_fd_sc_hd__nor4_2" (byte position 123772): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__a211o_4".
+Error while reading cell "sky130_fd_sc_hd__a211o_4" (byte position 131478): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__o22a_4".
+Error while reading cell "sky130_fd_sc_hd__o22a_4" (byte position 140594): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__dfxtp_4".
+Error while reading cell "sky130_fd_sc_hd__dfxtp_4" (byte position 150276): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "sky130_fd_sc_hd__a32o_4".
+Error while reading cell "sky130_fd_sc_hd__a32o_4" (byte position 164432): Unknown layer/datatype in boundary, layer=236 type=0
+Reading "ycell".
+    100 uses
+    200 uses
+    300 uses
+[INFO]: Loading ycell
+
+DRC style is now "drc(full)"
+Loading DRC CIF style.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.drc)
+[INFO]: Saving mag view with DRC errors(/project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.drc.mag)
+[INFO]: Saved
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.log
new file mode 100644
index 0000000..2f82242
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.log
@@ -0,0 +1,52 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/openLANE_flow/scripts/magic_mag.tcl" from command line.
+Box tool must be present
+[INFO]: Saving .mag view With BBox Values: 
+Warning: Calma reading is not undoable!  I hope that's OK.
+Library written using GDS-II Release 3.0
+Library name: ycell
+Reading "sky130_fd_sc_hd__decap_12".
+Reading "sky130_fd_sc_hd__decap_3".
+Reading "sky130_fd_sc_hd__decap_4".
+Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
+Reading "sky130_fd_sc_hd__fill_2".
+Reading "sky130_fd_sc_hd__decap_8".
+Reading "sky130_fd_sc_hd__decap_6".
+Reading "sky130_fd_sc_hd__nor2_2".
+Reading "sky130_fd_sc_hd__fill_1".
+Reading "sky130_fd_sc_hd__nand2_2".
+Reading "sky130_fd_sc_hd__or2_2".
+Reading "sky130_fd_sc_hd__inv_8".
+Reading "sky130_fd_sc_hd__buf_2".
+Reading "sky130_fd_sc_hd__and2_2".
+Reading "sky130_fd_sc_hd__or3_2".
+Reading "sky130_fd_sc_hd__nor3_2".
+Reading "sky130_fd_sc_hd__and3_4".
+Reading "sky130_fd_sc_hd__or2_4".
+Reading "sky130_fd_sc_hd__buf_1".
+Reading "sky130_fd_sc_hd__and3_2".
+Reading "sky130_fd_sc_hd__or3_4".
+Reading "sky130_fd_sc_hd__nor2_4".
+Reading "sky130_fd_sc_hd__buf_6".
+Reading "sky130_fd_sc_hd__nand3_2".
+Reading "sky130_fd_sc_hd__o21a_4".
+Reading "sky130_fd_sc_hd__nor4_2".
+Reading "sky130_fd_sc_hd__a211o_4".
+Reading "sky130_fd_sc_hd__o22a_4".
+Reading "sky130_fd_sc_hd__dfxtp_4".
+Reading "sky130_fd_sc_hd__a32o_4".
+Reading "ycell".
+    100 uses
+    200 uses
+    300 uses
+[INFO]: MAGIC TAPEOUT STEP DONE
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.maglef.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.maglef.log
new file mode 100644
index 0000000..c5d7224
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic.maglef.log
@@ -0,0 +1,16 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/openLANE_flow/scripts/magic_maglef.tcl" from command line.
+Reading LEF data from file /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef.
+This action cannot be undone.
+LEF read: Processed 252 lines.
+[INFO]: DONE GENERATING MAGLEF VIEW
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_ext2spice.feedback.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_ext2spice.feedback.txt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_ext2spice.feedback.txt
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_spice.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_spice.log
new file mode 100644
index 0000000..de2e5b9
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_spice.log
@@ -0,0 +1,80 @@
+
+Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Input style sky130: scaleFactor=2, multiplier=2
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading sky130A Device Generator Menu ...
+Loading "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic_spice.tcl" from command line.
+Reading LEF data from file /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
+This action cannot be undone.
+LEF read, Line 77 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 78 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 111 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 112 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 118 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 119 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 120 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 159 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 160 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 162 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 163 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 164 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 200 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 201 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 203 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 204 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 205 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 241 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 242 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read, Line 244 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
+LEF read, Line 245 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
+LEF read, Line 246 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
+LEF read, Line 282 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
+LEF read, Line 283 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
+LEF read: Processed 789 lines.
+Reading DEF data from file /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def.
+This action cannot be undone.
+  Processed 5 vias total.
+  Processed 388 subcell instances total.
+  Processed 28 pins total.
+  Processed 2 special nets total.
+  Processed 121 nets total.
+DEF read: Processed 2943 lines.
+Extracting sky130_fd_sc_hd__a32o_4 into sky130_fd_sc_hd__a32o_4.ext:
+Extracting sky130_fd_sc_hd__dfxtp_4 into sky130_fd_sc_hd__dfxtp_4.ext:
+Extracting sky130_fd_sc_hd__o22a_4 into sky130_fd_sc_hd__o22a_4.ext:
+Extracting sky130_fd_sc_hd__a211o_4 into sky130_fd_sc_hd__a211o_4.ext:
+Extracting sky130_fd_sc_hd__nor4_2 into sky130_fd_sc_hd__nor4_2.ext:
+Extracting sky130_fd_sc_hd__o21a_4 into sky130_fd_sc_hd__o21a_4.ext:
+Extracting sky130_fd_sc_hd__nand3_2 into sky130_fd_sc_hd__nand3_2.ext:
+Extracting sky130_fd_sc_hd__buf_6 into sky130_fd_sc_hd__buf_6.ext:
+Extracting sky130_fd_sc_hd__nor2_4 into sky130_fd_sc_hd__nor2_4.ext:
+Extracting sky130_fd_sc_hd__or3_4 into sky130_fd_sc_hd__or3_4.ext:
+Extracting sky130_fd_sc_hd__and3_2 into sky130_fd_sc_hd__and3_2.ext:
+Extracting sky130_fd_sc_hd__buf_1 into sky130_fd_sc_hd__buf_1.ext:
+Extracting sky130_fd_sc_hd__or2_4 into sky130_fd_sc_hd__or2_4.ext:
+Extracting sky130_fd_sc_hd__and3_4 into sky130_fd_sc_hd__and3_4.ext:
+Extracting sky130_fd_sc_hd__nor3_2 into sky130_fd_sc_hd__nor3_2.ext:
+Extracting sky130_fd_sc_hd__or3_2 into sky130_fd_sc_hd__or3_2.ext:
+Extracting sky130_fd_sc_hd__and2_2 into sky130_fd_sc_hd__and2_2.ext:
+Extracting sky130_fd_sc_hd__buf_2 into sky130_fd_sc_hd__buf_2.ext:
+Extracting sky130_fd_sc_hd__inv_8 into sky130_fd_sc_hd__inv_8.ext:
+Extracting sky130_fd_sc_hd__or2_2 into sky130_fd_sc_hd__or2_2.ext:
+Extracting sky130_fd_sc_hd__nand2_2 into sky130_fd_sc_hd__nand2_2.ext:
+Extracting sky130_fd_sc_hd__fill_1 into sky130_fd_sc_hd__fill_1.ext:
+Extracting sky130_fd_sc_hd__nor2_2 into sky130_fd_sc_hd__nor2_2.ext:
+Extracting sky130_fd_sc_hd__fill_2 into sky130_fd_sc_hd__fill_2.ext:
+Extracting sky130_fd_sc_hd__decap_8 into sky130_fd_sc_hd__decap_8.ext:
+Extracting sky130_fd_sc_hd__decap_6 into sky130_fd_sc_hd__decap_6.ext:
+Extracting sky130_fd_sc_hd__decap_4 into sky130_fd_sc_hd__decap_4.ext:
+Extracting sky130_fd_sc_hd__tapvpwrvgnd_1 into sky130_fd_sc_hd__tapvpwrvgnd_1.ext:
+Extracting sky130_fd_sc_hd__decap_12 into sky130_fd_sc_hd__decap_12.ext:
+Extracting sky130_fd_sc_hd__decap_3 into sky130_fd_sc_hd__decap_3.ext:
+Extracting ycell into ycell.ext:
+exttospice finished.
+Using technology "sky130A", version 1.0.72-0-gb427e3b
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp.log
new file mode 100644
index 0000000..e788810
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp.log
@@ -0,0 +1,40 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/openphysyn.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/openphysyn.def
+Warning: could not find power special net
+Design Stats
+--------------------------------
+total instances           179
+multi row instances         0
+fixed instances            73
+nets                      121
+design area            3052.9 u^2
+fixed area              191.4 u^2
+movable area            955.9 u^2
+utilization                33 %
+utilization padded         70 %
+rows                       20
+row height                2.7 u
+
+Placement Analysis
+--------------------------------
+total displacement     2857.5 u
+average displacement     16.0 u
+max displacement         52.0 u
+original HPWL          1557.1 u
+legalized HPWL         4619.5 u
+delta HPWL                197 %
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp_runtime.txt
new file mode 100644
index 0000000..1ffcc2f
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/opendp_runtime.txt
@@ -0,0 +1 @@
+0h0m0s770ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn.log
new file mode 100644
index 0000000..80db891
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn.log
@@ -0,0 +1,55 @@
+[OpenPhySyn] [2020-12-02 20:35:30.706] [info] Loaded 6 transforms.
+[OpenPhySyn] [2020-12-02 20:35:31.291] [info] OpenPhySyn: 1.8.1
+Warning: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/opt.lib, line 32 default_operating_condition ss_100C_1v60 not found.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/replace.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/replace.def
+Error: base.sdc, 1 can't read "::env(CLOCK_PORT)": no such variable
+=============== Initial Reports =============
+No paths found.
+Capacitance violations: 0
+Transition violations: 0
+wns 0.00
+tns 0.00
+Initial area: 11473 um2
+OpenPhySyn timing repair:
+[OpenPhySyn] [2020-12-02 20:35:31.473] [info] Invoking repair_timing transform
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Buffer library: sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__buf_16
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Inverter library: None
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Buffering: enabled
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Driver sizing: enabled
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Pin-swapping: enabled
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Mode: Timing-Driven
+[OpenPhySyn] [2020-12-02 20:35:31.483] [info] Iteration 1
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] No more violations or cannot find more optimal buffer
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Runtime: 0s
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Buffers: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Resize up: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Resize down: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Pin Swap: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Buffered nets: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Fanout violations: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Transition violations: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Capacitance violations: 0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Slack gain: 0.0
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Initial area: 1147
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] New area: 1147
+[OpenPhySyn] [2020-12-02 20:35:31.501] [info] Finished repair_timing transform (0)
+Added/updated 0 cells
+=============== Final Reports =============
+No paths found.
+Capacitance violations: 0
+Transition violations: 0
+wns 0.00
+tns 0.00
+Final area: 11473 um2
+Export optimized design
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn_runtime.txt
new file mode 100644
index 0000000..c83471b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/openphysyn_runtime.txt
@@ -0,0 +1 @@
+0h0m1s854ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace.log
new file mode 100644
index 0000000..5a034a4
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace.log
@@ -0,0 +1,60 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/ycell.floorplan.def
+Notice 0: Design: ycell
+Notice 0:     Created 26 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/ycell.floorplan.def
+[INFO] DBU = 1000
+[INFO] SiteSize = (460, 2720)
+[INFO] CoreAreaLxLy = (5520, 10880)
+[INFO] CoreAreaUxUy = (61640, 65280)
+[INFO] NumInstances = 179
+[INFO] NumPlaceInstances = 106
+[INFO] NumFixedInstances = 73
+[INFO] NumDummyInstances = 0
+[INFO] NumNets = 121
+[INFO] NumPins = 359
+[INFO] DieAreaLxLy = (0, 0)
+[INFO] DieAreaUxUy = (67490, 78210)
+[INFO] CoreAreaLxLy = (5520, 10880)
+[INFO] CoreAreaUxUy = (61640, 65280)
+[INFO] CoreArea = 3052928000
+[INFO] NonPlaceInstsArea = 191433600
+[INFO] PlaceInstsArea = 955916800
+[INFO] Util(%) = 33.406212
+[INFO] StdInstsArea = 955916800
+[INFO] MacroInstsArea = 0
+[InitialPlace]  Iter: 1 CG Error: 7.69626e-08 HPWL: 2995870
+[InitialPlace]  Iter: 2 CG Error: 8.73537e-08 HPWL: 2201138
+[InitialPlace]  Iter: 3 CG Error: 4.71638e-08 HPWL: 2171557
+[InitialPlace]  Iter: 4 CG Error: 8.6324e-08 HPWL: 2139718
+[InitialPlace]  Iter: 5 CG Error: 6.80926e-08 HPWL: 2120926
+[INFO] FillerInit: NumGCells = 179
+[INFO] FillerInit: NumGNets = 121
+[INFO] FillerInit: NumGPins = 359
+[INFO] TargetDensity = 0.550000
+[INFO] AveragePlaceInstArea = 9018083
+[INFO] IdealBinArea = 16396514
+[INFO] IdealBinCnt = 186
+[INFO] TotalBinArea = 3052928000
+[INFO] BinCnt = (64, 64)
+[INFO] BinSize = (877, 850)
+[INFO] NumBins = 4096
+[NesterovSolve] Iter: 1 overflow: 0.807637 HPWL: 1552187
+[NesterovSolve] Iter: 10 overflow: 0.77406 HPWL: 1552052
+[NesterovSolve] Iter: 20 overflow: 0.773955 HPWL: 1554841
+[NesterovSolve] Iter: 30 overflow: 0.7752 HPWL: 1555913
+[NesterovSolve] Iter: 40 overflow: 0.774698 HPWL: 1555843
+[NesterovSolve] Iter: 50 overflow: 0.773988 HPWL: 1555586
+[NesterovSolve] Finished with Overflow: 0.773974
+[WARN]: No CLOCK_PORT found. Skipping STA...
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace_runtime.txt
new file mode 100644
index 0000000..20fac27
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/placement/replace_runtime.txt
@@ -0,0 +1 @@
+0h0m0s784ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers.log
new file mode 100644
index 0000000..165e064
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers.log
@@ -0,0 +1,18 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
+Placed 209 filler instances.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers_runtime.txt
new file mode 100644
index 0000000..dadca98
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/addspacers_runtime.txt
@@ -0,0 +1 @@
+0h0m0s787ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute.log
new file mode 100644
index 0000000..041724b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute.log
@@ -0,0 +1,182 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Warning: /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/pdn.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/pdn.def
+[PARAMS] Min routing layer: 2
+[PARAMS] Max routing layer: 5
+[PARAMS] Global adjustment: 0
+[PARAMS] Unidirectional routing: 1
+[PARAMS] Grid origin: (-1, -1)
+[INFO] #DB Obstructions: 0
+[INFO] #DB Obstacles: 3909
+[INFO] #DB Macros: 0
+[INFO] Found 0 clock nets
+[INFO] Minimum degree: 2
+[INFO] Maximum degree: 6
+[INFO] Processing 3010 obstacles on layer 1
+[INFO] Processing 421 obstacles on layer 2
+[INFO] Processing 5 obstacles on layer 5
+[INFO] Reducing resources of layer 1 by 99%
+[INFO] WIRELEN : 656, WIRELEN1 : 0
+[INFO] NumSeg  : 261
+[INFO] NumShift: 0
+First L Route
+[INFO] WIRELEN : 656, WIRELEN1 : 656
+[INFO] NumSeg  : 260
+[INFO] NumShift: 2
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Second L Route
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+First Z Route
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 0, enlarge 10 
+[INFO] 10 threshold, 10 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 1, enlarge 15 
+[INFO] 5 threshold, 15 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 2, enlarge 20 
+[INFO] 1 threshold, 20 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Usage checked
+Maze routing finished
+[INFO] P3 runtime: 0.000000 sec
+[INFO] Final 2D results: 
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Layer Assignment Begins
+Layer assignment finished
+[INFO] 2D + Layer Assignment Runtime: 0.000000 sec
+Post Processing Begins 
+Post Processsing finished
+ Starting via filling
+[INFO] Via related to pin nodes 528
+[INFO] Via related stiner nodes 32
+Via filling finished
+
+Final usage/overflow report: 
+[INFO] Usage per layer: 
+    Layer 1 usage: 0
+    Layer 2 usage: 301
+    Layer 3 usage: 346
+    Layer 4 usage: 8
+    Layer 5 usage: 5
+
+[INFO] Capacity per layer: 
+    Layer 1 capacity: 0
+    Layer 2 capacity: 1472
+    Layer 3 capacity: 1450
+    Layer 4 capacity: 896
+    Layer 5 capacity: 640
+
+[INFO] Use percentage per layer: 
+    Layer 1 use percentage: 0.0%
+    Layer 2 use percentage: 20.45%
+    Layer 3 use percentage: 23.86%
+    Layer 4 use percentage: 0.89%
+    Layer 5 use percentage: 0.78%
+
+[INFO] Overflow per layer: 
+    Layer 1 overflow: 0
+    Layer 2 overflow: 0
+    Layer 3 overflow: 0
+    Layer 4 overflow: 0
+    Layer 5 overflow: 0
+
+[Overflow Report] Total Usage   : 660
+[Overflow Report] Total Capacity: 4458
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] Final usage          : 660
+[INFO] Final number of vias : 663
+[INFO] Final usage 3D       : 2649
+[INFO] Total wirelength: 7596 um
+Notice 0: Split top of 1 T shapes.
+Notice 0: Split top of 1 T shapes.
+Notice 0: Split top of 1 T shapes.
+Notice 0: Split top of 1 T shapes.
+Repairing antennas...
+[WARNING]No OR_DEFAULT vias defined
+[INFO] #Antenna violations: 0
+[INFO] Num routed nets: 121
+[WARN]: No CLOCK_PORT found. Skipping STA...
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_post_antenna.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_post_antenna.log
new file mode 100644
index 0000000..a9459cf
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_post_antenna.log
@@ -0,0 +1,175 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Warning: /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 179 components and 983 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
+[PARAMS] Min routing layer: 2
+[PARAMS] Max routing layer: 5
+[PARAMS] Global adjustment: 0
+[PARAMS] Unidirectional routing: 1
+[PARAMS] Grid origin: (-1, -1)
+[INFO] #DB Obstructions: 0
+[INFO] #DB Obstacles: 3909
+[INFO] #DB Macros: 0
+[INFO] Found 0 clock nets
+[INFO] Minimum degree: 2
+[INFO] Maximum degree: 6
+[INFO] Processing 3010 obstacles on layer 1
+[INFO] Processing 421 obstacles on layer 2
+[INFO] Processing 5 obstacles on layer 5
+[INFO] Reducing resources of layer 1 by 99%
+[INFO] WIRELEN : 656, WIRELEN1 : 0
+[INFO] NumSeg  : 261
+[INFO] NumShift: 0
+First L Route
+[INFO] WIRELEN : 656, WIRELEN1 : 656
+[INFO] NumSeg  : 260
+[INFO] NumShift: 2
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Second L Route
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+First Z Route
+[Overflow Report] Total hCap    : 2368
+[Overflow Report] Total vCap    : 2090
+[Overflow Report] Total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 0, enlarge 10 
+[INFO] 10 threshold, 10 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 1, enlarge 15 
+[INFO] 5 threshold, 15 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] LV routing round 2, enlarge 20 
+[INFO] 1 threshold, 20 expand
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Usage checked
+Maze routing finished
+[INFO] P3 runtime: 0.000000 sec
+[INFO] Final 2D results: 
+[Overflow Report] total Usage   : 656
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] Num Overflow e: 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+Layer Assignment Begins
+Layer assignment finished
+[INFO] 2D + Layer Assignment Runtime: 0.000000 sec
+Post Processing Begins 
+Post Processsing finished
+ Starting via filling
+[INFO] Via related to pin nodes 528
+[INFO] Via related stiner nodes 32
+Via filling finished
+
+Final usage/overflow report: 
+[INFO] Usage per layer: 
+    Layer 1 usage: 0
+    Layer 2 usage: 301
+    Layer 3 usage: 346
+    Layer 4 usage: 8
+    Layer 5 usage: 5
+
+[INFO] Capacity per layer: 
+    Layer 1 capacity: 0
+    Layer 2 capacity: 1472
+    Layer 3 capacity: 1450
+    Layer 4 capacity: 896
+    Layer 5 capacity: 640
+
+[INFO] Use percentage per layer: 
+    Layer 1 use percentage: 0.0%
+    Layer 2 use percentage: 20.45%
+    Layer 3 use percentage: 23.86%
+    Layer 4 use percentage: 0.89%
+    Layer 5 use percentage: 0.78%
+
+[INFO] Overflow per layer: 
+    Layer 1 overflow: 0
+    Layer 2 overflow: 0
+    Layer 3 overflow: 0
+    Layer 4 overflow: 0
+    Layer 5 overflow: 0
+
+[Overflow Report] Total Usage   : 660
+[Overflow Report] Total Capacity: 4458
+[Overflow Report] Max H Overflow: 0
+[Overflow Report] Max V Overflow: 0
+[Overflow Report] Max Overflow  : 0
+[Overflow Report] H   Overflow  : 0
+[Overflow Report] V   Overflow  : 0
+[Overflow Report] Final Overflow: 0
+
+[INFO] Final usage          : 660
+[INFO] Final number of vias : 663
+[INFO] Final usage 3D       : 2649
+[INFO] Total wirelength: 7596 um
+[INFO] Num routed nets: 121
+[WARN]: No CLOCK_PORT found. Skipping STA...
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_runtime.txt
new file mode 100644
index 0000000..cf6fae5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/fastroute_runtime.txt
@@ -0,0 +1 @@
+0h0m2s333ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/or_antenna.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/or_antenna.log
new file mode 100644
index 0000000..a069193
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/or_antenna.log
@@ -0,0 +1,21 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 388 components and 1819 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 121 nets and 333 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+Notice 0: Split top of 31 T shapes.
+Number of pins violated: 0
+Number of nets violated: 0
+Total number of nets: 121
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/spef_extraction.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/spef_extraction.log
new file mode 100644
index 0000000..76b87ef
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/spef_extraction.log
@@ -0,0 +1,12 @@
+Start parsing LEF file...
+Parsing LEF file done.
+Start parsing DEF file...
+Parsing DEF file done.
+
+Parameters Used:
+Edge Capacitance Factor: 1.0
+Wire model: L 
+
+RC Extraction is done
+Start writing SPEF file
+Writing SPEF is done
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute.log
new file mode 100644
index 0000000..5b2990d
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute.log
@@ -0,0 +1,641 @@
+
+reading lef ...
+
+units:       1000
+#layers:     13
+#macros:     437
+#vias:       25
+#viarulegen: 25
+
+reading def ...
+
+design:      ycell
+die area:    ( 0 0 ) ( 67490 78210 )
+trackPts:    12
+defvias:     3
+#components: 388
+#terminals:  28
+#snets:      2
+#nets:       121
+
+reading guide ...
+
+#guides:     921
+Warning: met2 does not have viaDef align with layer direction, generating new viaDef via2_FR...
+Warning: met4 does not have viaDef align with layer direction, generating new viaDef via4_FR...
+done initConstraintLayerIdx
+List of default vias:
+  Layer mcon
+    default via: L1M1_PR_MR
+  Layer via
+    default via: M1M2_PR
+  Layer via2
+    default via: via2_FR
+  Layer via3
+    default via: M3M4_PR_M
+  Layer via4
+    default via: via4_FR
+Writing reference output def...
+
+libcell analysis ...
+
+instance analysis ...
+#unique instances = 58
+
+init region query ...
+  complete FR_MASTERSLICE
+  complete FR_VIA
+  complete li1
+  complete mcon
+  complete met1
+  complete via
+  complete met2
+  complete via2
+  complete met3
+  complete via3
+  complete met4
+  complete via4
+  complete met5
+
+FR_MASTERSLICE shape region query size = 0
+FR_VIA shape region query size = 0
+li1 shape region query size = 4140
+mcon shape region query size = 4898
+met1 shape region query size = 892
+via shape region query size = 212
+met2 shape region query size = 124
+via2 shape region query size = 212
+met3 shape region query size = 114
+via3 shape region query size = 212
+met4 shape region query size = 60
+via4 shape region query size = 0
+met5 shape region query size = 0
+
+
+start pin access
+  complete 100 pins
+Error: no ap for PIN/VPWR
+Error: no ap for PIN/VGND
+  complete 143 pins
+  complete 52 unique inst patterns
+  complete 106 groups
+Expt1 runtime (pin-level access point gen): 0.261382
+Expt2 runtime (design-level access pattern gen): 0.0511805
+#scanned instances     = 388
+#unique  instances     = 58
+#stdCellGenAp          = 919
+#stdCellValidPlanarAp  = 0
+#stdCellValidViaAp     = 631
+#stdCellPinNoAp        = 0
+#stdCellPinCnt         = 333
+#instTermValidViaApCnt = 0
+#macroGenAp            = 0
+#macroValidPlanarAp    = 0
+#macroValidViaAp       = 0
+#macroNoAp             = 0
+
+complete pin access
+cpu time = 00:00:01, elapsed time = 00:00:00, memory = 13.52 (MB), peak = 14.00 (MB)
+
+post process guides ...
+GCELLGRID X -1 DO 11 STEP 6900 ;
+GCELLGRID Y -1 DO 9 STEP 6900 ;
+  complete FR_MASTERSLICE
+  complete FR_VIA
+  complete li1
+  complete mcon
+  complete met1
+  complete via
+  complete met2
+  complete via2
+  complete met3
+  complete via3
+  complete met4
+  complete via4
+  complete met5
+
+building cmap ... 
+
+init guide query ...
+  complete FR_MASTERSLICE (guide)
+  complete FR_VIA (guide)
+  complete li1 (guide)
+  complete mcon (guide)
+  complete met1 (guide)
+  complete via (guide)
+  complete met2 (guide)
+  complete via2 (guide)
+  complete met3 (guide)
+  complete via3 (guide)
+  complete met4 (guide)
+  complete via4 (guide)
+  complete met5 (guide)
+
+FR_MASTERSLICE guide region query size = 0
+FR_VIA guide region query size = 0
+li1 guide region query size = 322
+mcon guide region query size = 0
+met1 guide region query size = 308
+via guide region query size = 0
+met2 guide region query size = 197
+via2 guide region query size = 0
+met3 guide region query size = 11
+via3 guide region query size = 0
+met4 guide region query size = 1
+via4 guide region query size = 0
+met5 guide region query size = 0
+
+init gr pin query ...
+
+
+start track assignment
+Done with 520 vertical wires in 1 frboxes and 319 horizontal wires in 1 frboxes.
+Done with 118 vertical wires in 1 frboxes and 134 horizontal wires in 1 frboxes.
+
+complete track assignment
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 14.50 (MB), peak = 15.86 (MB)
+
+post processing ...
+
+start routing data preparation
+initVia2ViaMinLen_minSpc li1 (d2d, d2u, u2d, u2u) = (0, 0, 0, 370)
+initVia2ViaMinLen_minSpc met1 (d2d, d2u, u2d, u2u) = (430, 445, 445, 460)
+initVia2ViaMinLen_minSpc met2 (d2d, d2u, u2d, u2u) = (460, 485, 485, 630)
+initVia2ViaMinLen_minSpc met3 (d2d, d2u, u2d, u2u) = (630, 655, 655, 680)
+initVia2ViaMinLen_minSpc met4 (d2d, d2u, u2d, u2u) = (630, 1055, 1055, 3020)
+initVia2ViaMinLen_minSpc met5 (d2d, d2u, u2d, u2u) = (1480, 0, 0, 0)
+initVia2ViaMinLen_minimumcut li1 (d2d, d2u, u2d, u2u) = (0, 0, 0, 370)
+initVia2ViaMinLen_minimumcut li1 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLen_minimumcut met1 (d2d, d2u, u2d, u2u) = (430, 445, 445, 460)
+initVia2ViaMinLen_minimumcut met1 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLen_minimumcut met2 (d2d, d2u, u2d, u2u) = (460, 485, 485, 630)
+initVia2ViaMinLen_minimumcut met2 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLen_minimumcut met3 (d2d, d2u, u2d, u2u) = (630, 655, 655, 680)
+initVia2ViaMinLen_minimumcut met3 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLen_minimumcut met4 (d2d, d2u, u2d, u2u) = (630, 1055, 1055, 3020)
+initVia2ViaMinLen_minimumcut met4 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLen_minimumcut met5 (d2d, d2u, u2d, u2u) = (1480, 0, 0, 0)
+initVia2ViaMinLen_minimumcut met5 zerolen (b, b, b, b) = (1, 1, 1, 1)
+initVia2ViaMinLenNew_minSpc li1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (0, 0, 0, 0, 0, 0, 430, 370)
+initVia2ViaMinLenNew_minSpc met1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (430, 370, 445, 385, 445, 385, 460, 460)
+initVia2ViaMinLenNew_minSpc met2 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (460, 460, 410, 485, 410, 485, 630, 630)
+initVia2ViaMinLenNew_minSpc met3 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (630, 630, 655, 625, 655, 625, 680, 630)
+initVia2ViaMinLenNew_minSpc met4 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (680, 630, 1055, 1055, 1055, 1055, 3020, 3020)
+initVia2ViaMinLenNew_minSpc met5 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (1480, 1480, 0, 0, 0, 0, 0, 0)
+initVia2ViaMinLenNew_minimumcut li1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (0, 0, 0, 0, 0, 0, 430, 370)
+initVia2ViaMinLenNew_minimumcut met1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (430, 370, 445, 385, 445, 385, 460, 460)
+initVia2ViaMinLenNew_minimumcut met2 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (460, 460, 410, 485, 410, 485, 630, 630)
+initVia2ViaMinLenNew_minimumcut met3 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (630, 630, 655, 625, 655, 625, 680, 630)
+initVia2ViaMinLenNew_minimumcut met4 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (680, 630, 1055, 1055, 1055, 1055, 3020, 3020)
+initVia2ViaMinLenNew_minimumcut met5 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (1480, 1480, 0, 0, 0, 0, 0, 0)
+initVia2ViaMinLenNew_cutSpc li1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (0, 0, 0, 0, 0, 0, 430, 370)
+initVia2ViaMinLenNew_cutSpc met1 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (430, 370, 445, 385, 445, 385, 460, 460)
+initVia2ViaMinLenNew_cutSpc met2 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (460, 460, 410, 485, 410, 485, 630, 630)
+initVia2ViaMinLenNew_cutSpc met3 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (630, 630, 655, 625, 655, 625, 680, 630)
+initVia2ViaMinLenNew_cutSpc met4 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (680, 630, 1055, 1055, 1055, 1055, 3020, 3020)
+initVia2ViaMinLenNew_cutSpc met5 (d2d-x, d2d-y, d2u-x, d2u-y, u2d-x, u2d-y, u2u-x, u2u-y) = (1600, 1600, 0, 0, 0, 0, 0, 0)
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 14.64 (MB), peak = 15.86 (MB)
+
+start detail routing ...
+start 0th optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:01, memory = 25.32 (MB)
+    completing 20% with 123 violations
+    elapsed time = 00:00:02, memory = 23.97 (MB)
+    completing 30% with 113 violations
+    elapsed time = 00:00:02, memory = 20.25 (MB)
+    completing 40% with 111 violations
+    elapsed time = 00:00:02, memory = 18.08 (MB)
+  number of violations = 121
+cpu time = 00:00:02, elapsed time = 00:00:02, memory = 365.61 (MB), peak = 382.36 (MB)
+total wire length = 5021 um
+total wire length on LAYER li1 = 1 um
+total wire length on LAYER met1 = 2149 um
+total wire length on LAYER met2 = 2571 um
+total wire length on LAYER met3 = 235 um
+total wire length on LAYER met4 = 64 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 863
+up-via summary (total 863):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    335
+           met1    473
+           met2     46
+           met3      9
+           met4      0
+----------------------
+                   863
+
+
+start 1st optimization iteration ...
+    completing 10% with 121 violations
+    elapsed time = 00:00:00, memory = 365.61 (MB)
+    completing 20% with 120 violations
+    elapsed time = 00:00:01, memory = 376.54 (MB)
+    completing 30% with 103 violations
+    elapsed time = 00:00:01, memory = 372.15 (MB)
+    completing 40% with 100 violations
+    elapsed time = 00:00:02, memory = 375.46 (MB)
+  number of violations = 109
+cpu time = 00:00:02, elapsed time = 00:00:02, memory = 368.73 (MB), peak = 382.36 (MB)
+total wire length = 5042 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 2184 um
+total wire length on LAYER met2 = 2595 um
+total wire length on LAYER met3 = 227 um
+total wire length on LAYER met4 = 34 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 874
+up-via summary (total 874):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    484
+           met2     51
+           met3      6
+           met4      0
+----------------------
+                   874
+
+
+start 2nd optimization iteration ...
+    completing 10% with 109 violations
+    elapsed time = 00:00:00, memory = 368.73 (MB)
+    completing 20% with 109 violations
+    elapsed time = 00:00:00, memory = 368.98 (MB)
+    completing 30% with 109 violations
+    elapsed time = 00:00:00, memory = 373.62 (MB)
+    completing 40% with 112 violations
+    elapsed time = 00:00:00, memory = 370.89 (MB)
+    completing 50% with 112 violations
+    elapsed time = 00:00:00, memory = 372.66 (MB)
+    completing 60% with 110 violations
+    elapsed time = 00:00:02, memory = 390.90 (MB)
+  number of violations = 68
+cpu time = 00:00:03, elapsed time = 00:00:02, memory = 368.98 (MB), peak = 393.62 (MB)
+total wire length = 4959 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 2064 um
+total wire length on LAYER met2 = 2590 um
+total wire length on LAYER met3 = 244 um
+total wire length on LAYER met4 = 59 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 826
+up-via summary (total 826):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    452
+           met2     35
+           met3      6
+           met4      0
+----------------------
+                   826
+
+
+start 3rd optimization iteration ...
+    completing 10% with 68 violations
+    elapsed time = 00:00:00, memory = 368.98 (MB)
+    completing 20% with 34 violations
+    elapsed time = 00:00:02, memory = 375.28 (MB)
+    completing 30% with 29 violations
+    elapsed time = 00:00:02, memory = 371.32 (MB)
+    completing 40% with 19 violations
+    elapsed time = 00:00:02, memory = 368.73 (MB)
+  number of violations = 19
+cpu time = 00:00:03, elapsed time = 00:00:03, memory = 368.92 (MB), peak = 393.62 (MB)
+total wire length = 4959 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1952 um
+total wire length on LAYER met2 = 2478 um
+total wire length on LAYER met3 = 361 um
+total wire length on LAYER met4 = 167 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 879
+up-via summary (total 879):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    464
+           met2     62
+           met3     20
+           met4      0
+----------------------
+                   879
+
+
+start 4th optimization iteration ...
+    completing 10% with 19 violations
+    elapsed time = 00:00:00, memory = 370.49 (MB)
+    completing 20% with 19 violations
+    elapsed time = 00:00:00, memory = 376.96 (MB)
+    completing 30% with 1 violations
+    elapsed time = 00:00:00, memory = 368.59 (MB)
+    completing 40% with 1 violations
+    elapsed time = 00:00:00, memory = 374.00 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 368.59 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 17th optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 368.59 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 372.37 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 372.37 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 373.91 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 373.91 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 25th optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 374.16 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 369.37 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 366.95 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 367.51 (MB)
+    completing 50% with 0 violations
+    elapsed time = 00:00:00, memory = 368.49 (MB)
+    completing 60% with 0 violations
+    elapsed time = 00:00:00, memory = 369.82 (MB)
+    completing 70% with 0 violations
+    elapsed time = 00:00:00, memory = 369.78 (MB)
+    completing 80% with 0 violations
+    elapsed time = 00:00:00, memory = 369.62 (MB)
+    completing 90% with 0 violations
+    elapsed time = 00:00:00, memory = 369.62 (MB)
+    completing 100% with 0 violations
+    elapsed time = 00:00:00, memory = 369.62 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 369.62 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 33rd optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 366.41 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 367.29 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 368.16 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 368.67 (MB)
+    completing 50% with 0 violations
+    elapsed time = 00:00:00, memory = 368.67 (MB)
+    completing 60% with 0 violations
+    elapsed time = 00:00:00, memory = 367.70 (MB)
+    completing 70% with 0 violations
+    elapsed time = 00:00:00, memory = 369.89 (MB)
+    completing 80% with 0 violations
+    elapsed time = 00:00:00, memory = 367.36 (MB)
+    completing 90% with 0 violations
+    elapsed time = 00:00:00, memory = 369.14 (MB)
+    completing 100% with 0 violations
+    elapsed time = 00:00:00, memory = 369.76 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 369.76 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 41st optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 369.76 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 369.76 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 368.66 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 368.66 (MB)
+    completing 50% with 0 violations
+    elapsed time = 00:00:00, memory = 370.02 (MB)
+    completing 60% with 0 violations
+    elapsed time = 00:00:00, memory = 370.02 (MB)
+    completing 70% with 0 violations
+    elapsed time = 00:00:00, memory = 370.02 (MB)
+    completing 80% with 0 violations
+    elapsed time = 00:00:00, memory = 370.24 (MB)
+    completing 90% with 0 violations
+    elapsed time = 00:00:00, memory = 368.76 (MB)
+    completing 100% with 0 violations
+    elapsed time = 00:00:00, memory = 369.51 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 369.51 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 49th optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 368.38 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 369.11 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 369.00 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 367.02 (MB)
+    completing 50% with 0 violations
+    elapsed time = 00:00:00, memory = 367.22 (MB)
+    completing 60% with 0 violations
+    elapsed time = 00:00:00, memory = 368.62 (MB)
+    completing 70% with 0 violations
+    elapsed time = 00:00:00, memory = 370.46 (MB)
+    completing 80% with 0 violations
+    elapsed time = 00:00:00, memory = 371.75 (MB)
+    completing 90% with 0 violations
+    elapsed time = 00:00:00, memory = 370.18 (MB)
+    completing 100% with 0 violations
+    elapsed time = 00:00:00, memory = 371.68 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 371.68 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+start 57th optimization iteration ...
+    completing 10% with 0 violations
+    elapsed time = 00:00:00, memory = 366.84 (MB)
+    completing 20% with 0 violations
+    elapsed time = 00:00:00, memory = 367.68 (MB)
+    completing 30% with 0 violations
+    elapsed time = 00:00:00, memory = 367.32 (MB)
+    completing 40% with 0 violations
+    elapsed time = 00:00:00, memory = 367.85 (MB)
+    completing 50% with 0 violations
+    elapsed time = 00:00:00, memory = 367.85 (MB)
+    completing 60% with 0 violations
+    elapsed time = 00:00:00, memory = 367.14 (MB)
+    completing 70% with 0 violations
+    elapsed time = 00:00:00, memory = 369.28 (MB)
+    completing 80% with 0 violations
+    elapsed time = 00:00:00, memory = 367.16 (MB)
+    completing 90% with 0 violations
+    elapsed time = 00:00:00, memory = 369.79 (MB)
+    completing 100% with 0 violations
+    elapsed time = 00:00:00, memory = 370.41 (MB)
+  number of violations = 0
+cpu time = 00:00:00, elapsed time = 00:00:00, memory = 370.41 (MB), peak = 393.62 (MB)
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+
+complete detail routing
+total wire length = 4953 um
+total wire length on LAYER li1 = 0 um
+total wire length on LAYER met1 = 1918 um
+total wire length on LAYER met2 = 2448 um
+total wire length on LAYER met3 = 379 um
+total wire length on LAYER met4 = 207 um
+total wire length on LAYER met5 = 0 um
+total number of vias = 891
+up-via summary (total 891):
+
+----------------------
+ FR_MASTERSLICE      0
+            li1    333
+           met1    465
+           met2     67
+           met3     26
+           met4      0
+----------------------
+                   891
+
+cpu time = 00:00:14, elapsed time = 00:00:12, memory = 370.41 (MB), peak = 393.62 (MB)
+
+post processing ...
+
+Runtime taken (hrt): 14.0634
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute_runtime.txt
new file mode 100644
index 0000000..65d16a0
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/routing/tritonRoute_runtime.txt
@@ -0,0 +1 @@
+0h0m14s85ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys.log
new file mode 100644
index 0000000..015d109
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys.log
@@ -0,0 +1,1807 @@
+
+ /----------------------------------------------------------------------------\
+ |                                                                            |
+ |  yosys -- Yosys Open SYnthesis Suite                                       |
+ |                                                                            |
+ |  Copyright (C) 2012 - 2020  Claire Wolf <claire@symbioticeda.com>          |
+ |                                                                            |
+ |  Permission to use, copy, modify, and/or distribute this software for any  |
+ |  purpose with or without fee is hereby granted, provided that the above    |
+ |  copyright notice and this permission notice appear in all copies.         |
+ |                                                                            |
+ |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
+ |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
+ |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
+ |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
+ |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
+ |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
+ |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
+ |                                                                            |
+ \----------------------------------------------------------------------------/
+
+ Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+
+[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
+
+1. Executing Verilog-2005 frontend: /project/openlane/morphle_ycell/../../verilog/morphle/ycell.v
+Parsing SystemVerilog input from `/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v' to AST representation.
+Generating RTLIL representation for module `\ycfsm'.
+Generating RTLIL representation for module `\ycconfig'.
+Generating RTLIL representation for module `\ycell'.
+Successfully finished Verilog frontend.
+
+2. Generating Graphviz representation of design.
+Writing dot description to `/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/hierarchy.dot'.
+Dumping module ycell to page 1.
+
+3. Executing HIERARCHY pass (managing design hierarchy).
+
+3.1. Analyzing design hierarchy..
+Top module:  \ycell
+Used module:     \ycfsm
+Used module:     \ycconfig
+
+3.2. Analyzing design hierarchy..
+Top module:  \ycell
+Used module:     \ycfsm
+Used module:     \ycconfig
+Removed 0 unused modules.
+
+4. Executing SYNTH pass.
+
+4.1. Executing HIERARCHY pass (managing design hierarchy).
+
+4.1.1. Analyzing design hierarchy..
+Top module:  \ycell
+Used module:     \ycfsm
+Used module:     \ycconfig
+
+4.1.2. Analyzing design hierarchy..
+Top module:  \ycell
+Used module:     \ycfsm
+Used module:     \ycconfig
+Removed 0 unused modules.
+
+4.2. Executing PROC pass (convert processes to netlists).
+
+4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees).
+Cleaned up 0 empty switches.
+
+4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees).
+Marked 1 switch rules as full_case in process $proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:92$31 in module ycconfig.
+Removed a total of 0 dead cases.
+
+4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes).
+Removed 0 redundant assignments.
+Promoted 2 assignments to connections.
+
+4.2.4. Executing PROC_INIT pass (extract init attributes).
+
+4.2.5. Executing PROC_ARST pass (detect async resets in processes).
+
+4.2.6. Executing PROC_MUX pass (convert decision trees to multiplexers).
+Creating decoders for process `\ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:92$31'.
+     1/1: $1\r[8:0]
+Creating decoders for process `\ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:89$30'.
+
+4.2.7. Executing PROC_DLATCH pass (convert process syncs to latches).
+No latch inferred for signal `\ycconfig.\r' from process `\ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:92$31'.
+
+4.2.8. Executing PROC_DFF pass (convert process syncs to FFs).
+Creating register for signal `\ycconfig.\cnfg' using process `\ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:89$30'.
+  created $dff cell `$procdff$61' with positive edge clock.
+
+4.2.9. Executing PROC_CLEAN pass (remove empty switches from decision trees).
+Found and cleaned up 1 empty switch in `\ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:92$31'.
+Removing empty process `ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:92$31'.
+Removing empty process `ycconfig.$proc$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:89$30'.
+Cleaned up 1 empty switch.
+
+4.3. Executing FLATTEN pass (flatten design).
+Deleting now unused module ycconfig.
+Deleting now unused module ycfsm.
+<suppressed ~3 debug messages>
+
+4.4. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.5. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+Removed 0 unused cells and 44 unused wires.
+<suppressed ~1 debug messages>
+
+4.6. Executing CHECK pass (checking for obvious problems).
+checking module ycell..
+Warning: found logic loop in module ycell:
+    cell $and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:168$36 ($and)
+    cell $and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:176$44 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$29 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$29 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:170$38 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:173$43 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:178$46 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:181$51 ($mux)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+    wire $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+    wire $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire \bhout [0]
+    wire \bvout [0]
+    wire \hback [0]
+    wire \hfsm.clear
+    wire \hfsm.lin [0]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatchval
+    wire \hfsm.lmempty
+    wire \hfsm.match [0]
+    wire \hfsm.nlmatch [0]
+    wire \hout [0]
+    wire \vback [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.lmatch [0]
+    wire \vfsm.lmatchval
+    wire \vfsm.lmempty
+    wire \vfsm.match [0]
+    wire \vfsm.nlmatch [0]
+    wire \vout [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:44$1 ($reduce_or)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire \hfsm.clear
+    wire \hfsm.lin [0]
+    wire \hfsm.linval
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:44$1 ($reduce_or)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire \hfsm.clear
+    wire \hfsm.lin [1]
+    wire \hfsm.linval
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire \hfsm.clear
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatchval
+    wire \hfsm.lmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$23 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$25 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$23_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24_Y
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatchval
+    wire \hfsm.nlmatch [0]
+    wire \hfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$25 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24 ($or)
+    cell $flatten\hfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24_Y
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatchval
+    wire \hfsm.lmempty
+    wire \hfsm.nlmatch [0]
+    wire \hfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$12 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11 ($or)
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [0]
+    wire \hfsm.lin [0]
+    wire \hfsm.nlin [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.nlmatch [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\hfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmatch [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:44$1 ($reduce_or)
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.linval
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:44$1 ($reduce_or)
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [1]
+    wire \vfsm.linval
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$8 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$5_Y
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:49$7_Y
+    wire $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire \vfsm.clear
+    wire \vfsm.lmatch [0]
+    wire \vfsm.lmatchval
+    wire \vfsm.lmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$23 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$25 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$23_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24_Y
+    wire \vfsm.lmatch [0]
+    wire \vfsm.lmatchval
+    wire \vfsm.nlmatch [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15 ($and)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$21 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$25 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24 ($or)
+    cell $flatten\vfsm.$reduce_or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:46$3 ($reduce_or)
+    wire $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+    wire $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$19_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$18_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:61$24_Y
+    wire \vfsm.lmatch [0]
+    wire \vfsm.lmatchval
+    wire \vfsm.lmempty
+    wire \vfsm.nlmatch [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$10 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$12 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11 ($or)
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [0]
+    wire \vfsm.lin [0]
+    wire \vfsm.nlin [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire \vfsm.lmatch [0]
+    wire \vfsm.nlmatch [0]
+Warning: found logic loop in module ycell:
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$14 ($not)
+    cell $flatten\vfsm.$not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$17 ($not)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13 ($or)
+    cell $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16 ($or)
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+    wire $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmatch [0]
+Warning: found logic loop in module ycell:
+    cell $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$40 ($not)
+    cell $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$39 ($or)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:170$38 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$41 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:173$43 ($mux)
+    wire $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$40_Y
+    wire $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$39_Y
+    wire \bhout [0]
+    wire \hback [0]
+    wire \hfsm.in [0]
+Warning: found logic loop in module ycell:
+    cell $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$40 ($not)
+    cell $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$39 ($or)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:170$38 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$41 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:173$43 ($mux)
+    wire $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$40_Y
+    wire $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:172$39_Y
+    wire \bhout [0]
+    wire \hback [1]
+    wire \hfsm.in [0]
+Warning: found logic loop in module ycell:
+    cell $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$48 ($not)
+    cell $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$47 ($or)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:178$46 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$49 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:181$51 ($mux)
+    wire $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$48_Y
+    wire $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$47_Y
+    wire \bvout [0]
+    wire \vback [0]
+    wire \vfsm.in [0]
+Warning: found logic loop in module ycell:
+    cell $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$48 ($not)
+    cell $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$47 ($or)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:178$46 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$49 ($mux)
+    cell $ternary$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:181$51 ($mux)
+    wire $not$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$48_Y
+    wire $or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:180$47_Y
+    wire \bvout [0]
+    wire \vback [1]
+    wire \vfsm.in [0]
+found and reported 21 problems.
+
+4.7. Executing OPT pass (performing simple optimizations).
+
+4.7.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.7.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
+Running muxtree optimizer on module \ycell..
+  Creating internal representation of mux trees.
+  Evaluating internal representation of mux trees.
+  Analyzing evaluation results.
+Removed 0 multiplexer ports.
+<suppressed ~7 debug messages>
+
+4.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
+  Optimizing cells in module \ycell.
+Performed a total of 0 changes.
+
+4.7.5. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.7.6. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.7.7. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.7.8. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.7.9. Finished OPT passes. (There is nothing left to do.)
+
+4.8. Executing FSM pass (extract and optimize FSM).
+
+4.8.1. Executing FSM_DETECT pass (finding FSMs in design).
+
+4.8.2. Executing FSM_EXTRACT pass (extracting FSM from design).
+
+4.8.3. Executing FSM_OPT pass (simple optimizations of FSMs).
+
+4.8.4. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.8.5. Executing FSM_OPT pass (simple optimizations of FSMs).
+
+4.8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding).
+
+4.8.7. Executing FSM_INFO pass (dumping all available information on FSM cells).
+
+4.8.8. Executing FSM_MAP pass (mapping FSMs to basic logic).
+
+4.9. Executing OPT pass (performing simple optimizations).
+
+4.9.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.9.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
+Running muxtree optimizer on module \ycell..
+  Creating internal representation of mux trees.
+  Evaluating internal representation of mux trees.
+  Analyzing evaluation results.
+Removed 0 multiplexer ports.
+<suppressed ~7 debug messages>
+
+4.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
+  Optimizing cells in module \ycell.
+Performed a total of 0 changes.
+
+4.9.5. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.9.6. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.9.7. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.9.8. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.9.9. Finished OPT passes. (There is nothing left to do.)
+
+4.10. Executing WREDUCE pass (reducing word size of cells).
+Removed top 2 bits (of 3) from port B of cell ycell.$flatten\cfg.$procmux$60_CMP0 ($eq).
+Removed top 1 bits (of 3) from port B of cell ycell.$flatten\cfg.$procmux$59_CMP0 ($eq).
+Removed top 1 bits (of 3) from port B of cell ycell.$flatten\cfg.$procmux$58_CMP0 ($eq).
+
+4.11. Executing PEEPOPT pass (run peephole optimizers).
+
+4.12. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.13. Executing ALUMACC pass (create $alu and $macc cells).
+Extracting $alu and $macc cells in module ycell:
+  created 0 $alu and 0 $macc cells.
+
+4.14. Executing SHARE pass (SAT-based resource sharing).
+
+4.15. Executing OPT pass (performing simple optimizations).
+
+4.15.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.15.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
+Running muxtree optimizer on module \ycell..
+  Creating internal representation of mux trees.
+  Evaluating internal representation of mux trees.
+  Analyzing evaluation results.
+Removed 0 multiplexer ports.
+<suppressed ~7 debug messages>
+
+4.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
+  Optimizing cells in module \ycell.
+Performed a total of 0 changes.
+
+4.15.5. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.15.6. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.15.7. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.15.8. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.15.9. Finished OPT passes. (There is nothing left to do.)
+
+4.16. Executing MEMORY pass.
+
+4.16.1. Executing OPT_MEM pass (optimize memories).
+Performed a total of 0 transformations.
+
+4.16.2. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr).
+
+4.16.3. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.16.4. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells).
+
+4.16.5. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.16.6. Executing MEMORY_COLLECT pass (generating $mem cells).
+
+4.17. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.18. Executing OPT pass (performing simple optimizations).
+
+4.18.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.18.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.18.3. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.18.4. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.18.5. Finished fast OPT passes.
+
+4.19. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops).
+
+4.20. Executing OPT pass (performing simple optimizations).
+
+4.20.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.20.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.20.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
+Running muxtree optimizer on module \ycell..
+  Creating internal representation of mux trees.
+  Evaluating internal representation of mux trees.
+  Analyzing evaluation results.
+Removed 0 multiplexer ports.
+<suppressed ~7 debug messages>
+
+4.20.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
+  Optimizing cells in module \ycell.
+Performed a total of 0 changes.
+
+4.20.5. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+4.20.6. Executing OPT_SHARE pass.
+
+4.20.7. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.20.8. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+4.20.9. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+4.20.10. Finished OPT passes. (There is nothing left to do.)
+
+4.21. Executing TECHMAP pass (map to technology primitives).
+
+4.21.1. Executing Verilog-2005 frontend: /build/bin/../share/yosys/techmap.v
+Parsing Verilog input from `/build/bin/../share/yosys/techmap.v' to AST representation.
+Generating RTLIL representation for module `\_90_simplemap_bool_ops'.
+Generating RTLIL representation for module `\_90_simplemap_reduce_ops'.
+Generating RTLIL representation for module `\_90_simplemap_logic_ops'.
+Generating RTLIL representation for module `\_90_simplemap_compare_ops'.
+Generating RTLIL representation for module `\_90_simplemap_various'.
+Generating RTLIL representation for module `\_90_simplemap_registers'.
+Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'.
+Generating RTLIL representation for module `\_90_shift_shiftx'.
+Generating RTLIL representation for module `\_90_fa'.
+Generating RTLIL representation for module `\_90_lcu'.
+Generating RTLIL representation for module `\_90_alu'.
+Generating RTLIL representation for module `\_90_macc'.
+Generating RTLIL representation for module `\_90_alumacc'.
+Generating RTLIL representation for module `\$__div_mod_u'.
+Generating RTLIL representation for module `\$__div_mod_trunc'.
+Generating RTLIL representation for module `\_90_div'.
+Generating RTLIL representation for module `\_90_mod'.
+Generating RTLIL representation for module `\$__div_mod_floor'.
+Generating RTLIL representation for module `\_90_divfloor'.
+Generating RTLIL representation for module `\_90_modfloor'.
+Generating RTLIL representation for module `\_90_pow'.
+Generating RTLIL representation for module `\_90_pmux'.
+Generating RTLIL representation for module `\_90_lut'.
+Successfully finished Verilog frontend.
+
+4.21.2. Continuing TECHMAP pass.
+Using extmapper simplemap for cells of type $or.
+Using extmapper simplemap for cells of type $and.
+Using extmapper simplemap for cells of type $mux.
+Using extmapper simplemap for cells of type $not.
+Using extmapper simplemap for cells of type $dff.
+Using extmapper simplemap for cells of type $eq.
+Using template $paramod\_90_pmux\WIDTH=9\S_WIDTH=7 for cells of type $pmux.
+Using extmapper simplemap for cells of type $reduce_or.
+No more expansions possible.
+<suppressed ~185 debug messages>
+
+4.22. Executing OPT pass (performing simple optimizations).
+
+4.22.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+<suppressed ~126 debug messages>
+
+4.22.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+<suppressed ~48 debug messages>
+Removed a total of 16 cells.
+
+4.22.3. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.22.4. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+Removed 0 unused cells and 93 unused wires.
+<suppressed ~1 debug messages>
+
+4.22.5. Finished fast OPT passes.
+
+4.23. Executing ABC pass (technology mapping using ABC).
+
+4.23.1. Extracting gate netlist of module `\ycell' to `<abc-temp-dir>/input.blif'..
+Breaking loop using new signal $abcloop$542: \hfsm.clear -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+                                             \hfsm.clear -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [1]
+                                             \hfsm.clear -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+                                             \hfsm.clear -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [1]
+Breaking loop using new signal $abcloop$543: \hfsm.lmatch [1] -> \hfsm.lmatchval
+                                             \hfsm.lmatch [1] -> \hout [1]
+                                             \hfsm.lmatch [1] -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [1]
+                                             \hfsm.lmatch [1] -> $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+Breaking loop using new signal $abcloop$544: \vfsm.clear -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [0]
+                                             \vfsm.clear -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:56$13_Y [1]
+                                             \vfsm.clear -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [0]
+                                             \vfsm.clear -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:53$9_Y [1]
+Breaking loop using new signal $abcloop$545: \vfsm.lmatch [1] -> \vfsm.lmatchval
+                                             \vfsm.lmatch [1] -> \vout [1]
+                                             \vfsm.lmatch [1] -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [1]
+                                             \vfsm.lmatch [1] -> $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+Breaking loop using new signal $abcloop$546: \hfsm.in [1] -> \hfsm.inval
+                                             \hfsm.in [1] -> \bhout [1]
+                                             \hfsm.in [1] -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [1]
+Breaking loop using new signal $abcloop$547: \hfsm.lin [0] -> \hfsm.linval
+                                             \hfsm.lin [0] -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [0]
+                                             \hfsm.lin [0] -> $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+Breaking loop using new signal $abcloop$548: \hfsm.lin [1] -> \hfsm.linval
+                                             \hfsm.lin [1] -> \hout [1]
+                                             \hfsm.lin [1] -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [1]
+Breaking loop using new signal $abcloop$549: \hfsm.lmatch [0] -> \hfsm.lmatchval
+                                             \hfsm.lmatch [0] -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+                                             \hfsm.lmatch [0] -> $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$28_Y
+Breaking loop using new signal $abcloop$550: \hfsm.nlmempty -> $flatten\hfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+                                             \hfsm.nlmempty -> $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+                                             \hfsm.nlmempty -> $flatten\hfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [1]
+Breaking loop using new signal $abcloop$551: \vfsm.in [1] -> \vfsm.inval
+                                             \vfsm.in [1] -> \bvout [1]
+                                             \vfsm.in [1] -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [1]
+Breaking loop using new signal $abcloop$552: \vfsm.lin [0] -> \vfsm.linval
+                                             \vfsm.lin [0] -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [0]
+                                             \vfsm.lin [0] -> $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$27_Y
+Breaking loop using new signal $abcloop$553: \vfsm.lin [1] -> \vfsm.linval
+                                             \vfsm.lin [1] -> \vout [1]
+                                             \vfsm.lin [1] -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:54$11_Y [1]
+Breaking loop using new signal $abcloop$554: \vfsm.lmatch [0] -> \vfsm.lmatchval
+                                             \vfsm.lmatch [0] -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$16_Y [0]
+                                             \vfsm.lmatch [0] -> $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:65$28_Y
+Breaking loop using new signal $abcloop$555: \vfsm.nlmempty -> $flatten\vfsm.$or$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:60$20_Y
+                                             \vfsm.nlmempty -> $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [0]
+                                             \vfsm.nlmempty -> $flatten\vfsm.$and$/project/openlane/morphle_ycell/../../verilog/morphle/ycell.v:57$15_Y [1]
+Extracted 146 gates and 178 wires to a netlist network with 30 inputs and 24 outputs.
+
+4.23.1.1. Executing ABC.
+Running ABC command: <yosys-exe-dir>/yosys-abc -s -f <abc-temp-dir>/abc.script 2>&1
+ABC: ABC command line: "source <abc-temp-dir>/abc.script".
+ABC: 
+ABC: + read_blif <abc-temp-dir>/input.blif 
+ABC: + read_library <abc-temp-dir>/stdcells.genlib 
+ABC: Entered genlib library with 13 gates from file "<abc-temp-dir>/stdcells.genlib".
+ABC: + strash 
+ABC: + dretime 
+ABC: + map 
+ABC: + write_blif <abc-temp-dir>/output.blif 
+
+4.23.1.2. Re-integrating ABC results.
+ABC RESULTS:               AND cells:        7
+ABC RESULTS:            ANDNOT cells:       37
+ABC RESULTS:               MUX cells:       12
+ABC RESULTS:              NAND cells:        1
+ABC RESULTS:               NOR cells:       17
+ABC RESULTS:               NOT cells:        7
+ABC RESULTS:                OR cells:       21
+ABC RESULTS:             ORNOT cells:        4
+ABC RESULTS:        internal signals:      124
+ABC RESULTS:           input signals:       30
+ABC RESULTS:          output signals:       24
+Removing temp directory.
+
+4.24. Executing OPT pass (performing simple optimizations).
+
+4.24.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+<suppressed ~1 debug messages>
+
+4.24.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+<suppressed ~6 debug messages>
+Removed a total of 2 cells.
+
+4.24.3. Executing OPT_DFF pass (perform DFF optimizations).
+
+4.24.4. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+Removed 0 unused cells and 178 unused wires.
+<suppressed ~46 debug messages>
+
+4.24.5. Finished fast OPT passes.
+
+4.25. Executing HIERARCHY pass (managing design hierarchy).
+
+4.25.1. Analyzing design hierarchy..
+Top module:  \ycell
+
+4.25.2. Analyzing design hierarchy..
+Top module:  \ycell
+Removed 0 unused modules.
+
+4.26. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                120
+   Number of wire bits:            144
+   Number of public wires:          40
+   Number of public wire bits:      64
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                107
+     $_ANDNOT_                      37
+     $_AND_                          7
+     $_DFF_P_                        3
+     $_MUX_                         12
+     $_NAND_                         1
+     $_NOR_                         17
+     $_NOT_                          7
+     $_ORNOT_                        3
+     $_OR_                          20
+
+4.27. Executing CHECK pass (checking for obvious problems).
+checking module ycell..
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$556 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$604 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$613 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$652 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$654 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$655 ($_OR_)
+    wire $abc$541$new_n105_
+    wire $abc$541$new_n153_
+    wire $abc$541$new_n155_
+    wire $abc$541$new_n55_
+    wire \hfsm.clear
+    wire \hfsm.lin [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$572 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$575 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$578 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$579 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$585 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$586 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$600 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$601 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$602 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$603 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$606 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$608 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$609 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$610 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$611 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$614 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$615 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$628 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$629 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$630 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n101_
+    wire $abc$541$new_n104_
+    wire $abc$541$new_n107_
+    wire $abc$541$new_n111_
+    wire $abc$541$new_n115_
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n129_
+    wire $abc$541$new_n130_
+    wire $abc$541$new_n131_
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n72_
+    wire $abc$541$new_n75_
+    wire $abc$541$new_n86_
+    wire $abc$541$new_n87_
+    wire $abc$541$new_n88_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \dout [0]
+    wire \hfsm.in [1]
+    wire \hfsm.lin [1]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+    wire \lout [0]
+    wire \lout [1]
+    wire \rout [0]
+    wire \rout [1]
+    wire \uout [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$572 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$575 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$578 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$579 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$585 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$586 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$600 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$601 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$602 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$628 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$629 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$630 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n101_
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n129_
+    wire $abc$541$new_n130_
+    wire $abc$541$new_n131_
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n72_
+    wire $abc$541$new_n75_
+    wire $abc$541$new_n86_
+    wire $abc$541$new_n87_
+    wire $abc$541$new_n88_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \dout [0]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+    wire \lout [1]
+    wire \rout [1]
+    wire \uout [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$572 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$575 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$578 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$579 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$585 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$586 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$601 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$602 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$603 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$606 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$608 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$609 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$610 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$611 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$628 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$629 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$630 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n104_
+    wire $abc$541$new_n107_
+    wire $abc$541$new_n111_
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n129_
+    wire $abc$541$new_n130_
+    wire $abc$541$new_n131_
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n72_
+    wire $abc$541$new_n75_
+    wire $abc$541$new_n86_
+    wire $abc$541$new_n87_
+    wire $abc$541$new_n88_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \dout [0]
+    wire \hfsm.in [1]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+    wire \lout [0]
+    wire \lout [1]
+    wire \rout [0]
+    wire \rout [1]
+    wire \uout [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$572 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$575 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$578 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$579 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$585 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$586 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$603 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$606 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$608 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$609 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$619 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$620 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$621 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$622 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n104_
+    wire $abc$541$new_n107_
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n120_
+    wire $abc$541$new_n121_
+    wire $abc$541$new_n122_
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n72_
+    wire $abc$541$new_n75_
+    wire $abc$541$new_n86_
+    wire $abc$541$new_n87_
+    wire $abc$541$new_n88_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \dout [0]
+    wire \hfsm.lmatch [0]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+    wire \lout [0]
+    wire \rout [0]
+    wire \uout [0]
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.lmatch [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$586 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n87_
+    wire $abc$541$new_n88_
+    wire \hfsm.lmatch [0]
+    wire \hfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$603 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$604 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$606 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$608 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$609 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$610 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$611 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$614 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$615 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$641 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$642 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    wire $abc$541$new_n104_
+    wire $abc$541$new_n105_
+    wire $abc$541$new_n107_
+    wire $abc$541$new_n111_
+    wire $abc$541$new_n115_
+    wire $abc$541$new_n142_
+    wire $abc$541$new_n143_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \hfsm.in [1]
+    wire \hfsm.lin [1]
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+    wire \lout [0]
+    wire \rout [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$595 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$638 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$640 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    wire $abc$541$new_n139_
+    wire $abc$541$new_n141_
+    wire $abc$541$new_n56_
+    wire $abc$541$new_n96_
+    wire $abc$541$new_n97_
+    wire \hfsm.lmatch [1]
+    wire \hfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$557 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$642 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$643 ($_ANDNOT_)
+    wire $abc$541$new_n143_
+    wire $abc$541$new_n56_
+    wire \hfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$572 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$573 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$575 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$578 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$579 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$632 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$633 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$636 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$637 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$647 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$648 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n133_
+    wire $abc$541$new_n137_
+    wire $abc$541$new_n148_
+    wire $abc$541$new_n149_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n72_
+    wire $abc$541$new_n73_
+    wire $abc$541$new_n75_
+    wire \dout [0]
+    wire \uout [0]
+    wire \vfsm.clear
+    wire \vfsm.in [1]
+    wire \vfsm.lin [0]
+    wire \vfsm.lin [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$573 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$647 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$648 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n148_
+    wire $abc$541$new_n149_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n73_
+    wire \vfsm.clear
+    wire \vfsm.lin [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$573 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$637 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$647 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$648 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n148_
+    wire $abc$541$new_n149_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire $abc$541$new_n73_
+    wire \vfsm.clear
+    wire \vfsm.lin [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$587 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$588 ($_ANDNOT_)
+    wire $abc$541$new_n88_
+    wire \hfsm.lmatch [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$589 ($_AND_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$590 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$591 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$632 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$633 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$636 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$637 ($_ANDNOT_)
+    wire $abc$541$new_n133_
+    wire $abc$541$new_n137_
+    wire $abc$541$new_n90_
+    wire \dout [1]
+    wire \uout [1]
+    wire \vfsm.in [1]
+    wire \vfsm.lin [1]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$590 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$591 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$632 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$633 ($_MUX_)
+    wire $abc$541$new_n133_
+    wire \dout [1]
+    wire \uout [1]
+    wire \vfsm.in [1]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$596 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$597 ($_ANDNOT_)
+    wire $abc$541$new_n97_
+    wire \hfsm.lmatch [1]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$604 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$605 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$606 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$608 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$609 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$610 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$611 ($_MUX_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$614 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$615 ($_ANDNOT_)
+    wire $abc$541$new_n105_
+    wire $abc$541$new_n106_
+    wire $abc$541$new_n107_
+    wire $abc$541$new_n111_
+    wire $abc$541$new_n115_
+    wire \hfsm.in [1]
+    wire \hfsm.lin [1]
+    wire \lout [0]
+    wire \rout [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$612 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$613 ($_ANDNOT_)
+    wire $abc$541$new_n113_
+    wire \hfsm.lin [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$614 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$615 ($_ANDNOT_)
+    wire $abc$541$new_n115_
+    wire \hfsm.lin [1]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$622 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire \vfsm.clear
+    wire \vfsm.lmatch [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$616 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$658 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$660 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$661 ($_OR_)
+    wire $abc$541$new_n117_
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire $abc$541$new_n159_
+    wire $abc$541$new_n161_
+    wire \vfsm.clear
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$620 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$621 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$622 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n121_
+    wire $abc$541$new_n122_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire \vfsm.lmatch [0]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$629 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$630 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$644 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$646 ($_OR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n130_
+    wire $abc$541$new_n131_
+    wire $abc$541$new_n145_
+    wire $abc$541$new_n147_
+    wire \vfsm.lmatch [1]
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$617 ($_NOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$648 ($_ANDNOT_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$649 ($_ANDNOT_)
+    wire $abc$541$new_n118_
+    wire $abc$541$new_n149_
+    wire \vfsm.nlmempty
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$621 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$622 ($_ANDNOT_)
+    wire $abc$541$new_n122_
+    wire \vfsm.lmatch [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$630 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$631 ($_ANDNOT_)
+    wire $abc$541$new_n131_
+    wire \vfsm.lmatch [1]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$634 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$635 ($_ANDNOT_)
+    wire $abc$541$new_n135_
+    wire \vfsm.lin [0]
+Warning: found logic loop in module ycell:
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$636 ($_NOR_)
+    cell $abc$541$auto$blifparse.cc:377:parse_blif$637 ($_ANDNOT_)
+    wire $abc$541$new_n137_
+    wire \vfsm.lin [1]
+found and reported 28 problems.
+
+5. Executing SHARE pass (SAT-based resource sharing).
+
+6. Executing OPT pass (performing simple optimizations).
+
+6.1. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+6.2. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+6.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees).
+Running muxtree optimizer on module \ycell..
+  Creating internal representation of mux trees.
+  No muxes found in this module.
+Removed 0 multiplexer ports.
+
+6.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs).
+  Optimizing cells in module \ycell.
+Performed a total of 0 changes.
+
+6.5. Executing OPT_MERGE pass (detect identical cells).
+Finding identical cells in module `\ycell'.
+Removed a total of 0 cells.
+
+6.6. Executing OPT_DFF pass (perform DFF optimizations).
+
+6.7. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+
+6.8. Executing OPT_EXPR pass (perform const folding).
+Optimizing module ycell.
+
+6.9. Finished OPT passes. (There is nothing left to do.)
+
+7. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+Removed 0 unused cells and 11 unused wires.
+<suppressed ~11 debug messages>
+
+8. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                109
+   Number of wire bits:            125
+   Number of public wires:          29
+   Number of public wire bits:      45
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                107
+     $_ANDNOT_                      37
+     $_AND_                          7
+     $_DFF_P_                        3
+     $_MUX_                         12
+     $_NAND_                         1
+     $_NOR_                         17
+     $_NOT_                          7
+     $_ORNOT_                        3
+     $_OR_                          20
+
+9. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file).
+  cell sky130_fd_sc_hd__dfxtp_4 (noninv, pins=3, area=23.77) is a direct match for cell type $_DFF_P_.
+  cell sky130_fd_sc_hd__dfrtp_4 (noninv, pins=4, area=28.78) is a direct match for cell type $_DFF_PN0_.
+  cell sky130_fd_sc_hd__dfstp_4 (noninv, pins=4, area=30.03) is a direct match for cell type $_DFF_PN1_.
+  cell sky130_fd_sc_hd__dfbbn_2 (noninv, pins=6, area=35.03) is a direct match for cell type $_DFFSR_NNN_.
+  final dff cell mappings:
+    unmapped dff cell: $_DFF_N_
+    \sky130_fd_sc_hd__dfxtp_4 _DFF_P_ (.CLK( C), .D( D), .Q( Q));
+    unmapped dff cell: $_DFF_NN0_
+    unmapped dff cell: $_DFF_NN1_
+    unmapped dff cell: $_DFF_NP0_
+    unmapped dff cell: $_DFF_NP1_
+    \sky130_fd_sc_hd__dfrtp_4 _DFF_PN0_ (.CLK( C), .D( D), .Q( Q), .RESET_B( R));
+    \sky130_fd_sc_hd__dfstp_4 _DFF_PN1_ (.CLK( C), .D( D), .Q( Q), .SET_B( R));
+    unmapped dff cell: $_DFF_PP0_
+    unmapped dff cell: $_DFF_PP1_
+    \sky130_fd_sc_hd__dfbbn_2 _DFFSR_NNN_ (.CLK_N( C), .D( D), .Q( Q), .Q_N(~Q), .RESET_B( R), .SET_B( S));
+    unmapped dff cell: $_DFFSR_NNP_
+    unmapped dff cell: $_DFFSR_NPN_
+    unmapped dff cell: $_DFFSR_NPP_
+    unmapped dff cell: $_DFFSR_PNN_
+    unmapped dff cell: $_DFFSR_PNP_
+    unmapped dff cell: $_DFFSR_PPN_
+    unmapped dff cell: $_DFFSR_PPP_
+
+9.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target).
+Mapping DFF cells in module `\ycell':
+  mapped 3 $_DFF_P_ cells to \sky130_fd_sc_hd__dfxtp_4 cells.
+
+10. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                109
+   Number of wire bits:            125
+   Number of public wires:          29
+   Number of public wire bits:      45
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                107
+     $_ANDNOT_                      37
+     $_AND_                          7
+     $_MUX_                         12
+     $_NAND_                         1
+     $_NOR_                         17
+     $_NOT_                          7
+     $_ORNOT_                        3
+     $_OR_                          20
+     sky130_fd_sc_hd__dfxtp_4        3
+
+11. Executing ABC pass (technology mapping using ABC).
+
+11.1. Extracting gate netlist of module `\ycell' to `/tmp/yosys-abc-mTs0rA/input.blif'..
+Breaking loop using new signal $abcloop$663: \hfsm.lmatch [1] -> $abc$541$new_n97_
+                                             \hfsm.lmatch [1] -> $abc$541$new_n101_
+                                             \hfsm.lmatch [1] -> $abc$541$new_n104_
+                                             \hfsm.lmatch [1] -> $abc$541$new_n139_
+Breaking loop using new signal $abcloop$664: \vfsm.lmatch [1] -> $abc$541$new_n72_
+                                             \vfsm.lmatch [1] -> $abc$541$new_n90_
+                                             \vfsm.lmatch [1] -> $abc$541$new_n131_
+                                             \vfsm.lmatch [1] -> $abc$541$new_n145_
+Breaking loop using new signal $abcloop$665: \hfsm.in [1] -> \rout [1]
+                                             \hfsm.in [1] -> $abc$541$new_n115_
+                                             \hfsm.in [1] -> $abc$541$new_n154_
+Breaking loop using new signal $abcloop$666: \hfsm.lin [1] -> $abc$541$new_n101_
+                                             \hfsm.lin [1] -> $abc$541$new_n105_
+                                             \hfsm.lin [1] -> $abc$541$new_n115_
+Breaking loop using new signal $abcloop$667: \hfsm.lin [0] -> $abc$541$new_n104_
+                                             \hfsm.lin [0] -> $abc$541$new_n105_
+                                             \hfsm.lin [0] -> $abc$541$new_n113_
+Breaking loop using new signal $abcloop$668: \hfsm.lmatch [0] -> $abc$541$new_n88_
+                                             \hfsm.lmatch [0] -> $abc$541$new_n106_
+                                             \hfsm.lmatch [0] -> $abc$541$new_n139_
+Breaking loop using new signal $abcloop$669: \vfsm.in [1] -> \dout [1]
+                                             \vfsm.in [1] -> $abc$541$new_n137_
+                                             \vfsm.in [1] -> $abc$541$new_n160_
+Breaking loop using new signal $abcloop$670: \vfsm.lin [0] -> $abc$541$new_n72_
+                                             \vfsm.lin [0] -> $abc$541$new_n73_
+                                             \vfsm.lin [0] -> $abc$541$new_n135_
+Breaking loop using new signal $abcloop$671: \vfsm.lin [1] -> $abc$541$new_n73_
+                                             \vfsm.lin [1] -> $abc$541$new_n90_
+                                             \vfsm.lin [1] -> $abc$541$new_n137_
+Breaking loop using new signal $abcloop$672: \vfsm.lmatch [0] -> $abc$541$new_n74_
+                                             \vfsm.lmatch [0] -> $abc$541$new_n122_
+                                             \vfsm.lmatch [0] -> $abc$541$new_n145_
+Breaking loop using new signal $abcloop$673: \hfsm.clear -> $abc$541$new_n55_
+Breaking loop using new signal $abcloop$674: \hfsm.nlmempty -> $abc$541$new_n56_
+Breaking loop using new signal $abcloop$675: \vfsm.clear -> $abc$541$new_n117_
+Breaking loop using new signal $abcloop$676: \vfsm.nlmempty -> $abc$541$new_n118_
+Extracted 104 gates and 134 wires to a netlist network with 30 inputs and 24 outputs.
+
+11.1.1. Executing ABC.
+Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-mTs0rA/abc.script 2>&1
+ABC: ABC command line: "source /tmp/yosys-abc-mTs0rA/abc.script".
+ABC: 
+ABC: + read_blif /tmp/yosys-abc-mTs0rA/input.blif 
+ABC: + read_lib -w /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib 
+ABC: Parsing finished successfully.  Parsing time =     0.03 sec
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfbbn_2".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfrbp_2".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfrtp_4".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfstp_4".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfxbp_2".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfxtp_4".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxbn_1".
+ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxtp_1".
+ABC: Library "sky130_fd_sc_hd__tt_025C_1v80" from "/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib" has 61 cells (8 skipped: 8 seq; 0 tri-state; 0 no func; 0 dont_use).  Time =     0.04 sec
+ABC: Memory =    2.51 MB. Time =     0.04 sec
+ABC: + read_constr -v /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/yosys.sdc 
+ABC: Setting driving cell to be "sky130_fd_sc_hd__inv_8".
+ABC: Setting output load to be 17.650000.
+ABC: + read_constr /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/yosys.sdc 
+ABC: + fx 
+ABC: + mfs 
+ABC: + strash 
+ABC: + refactor 
+ABC: + balance 
+ABC: + rewrite 
+ABC: + refactor 
+ABC: + balance 
+ABC: + rewrite 
+ABC: + rewrite -z 
+ABC: + balance 
+ABC: + refactor -z 
+ABC: + rewrite -z 
+ABC: + balance 
+ABC: + retime -D -D 0 -M 5 
+ABC: + scleanup 
+ABC: Error: The network is combinational.
+ABC: + amap -m -Q 0.1 -F 20 -A 20 -C 5000 
+ABC: + retime -D -D 0 
+ABC: + buffer -N 5 -S 0.0 
+ABC: + upsize -D 0 
+ABC: + dnsize -D 0 
+ABC: + stime -p 
+ABC: WireLoad = "none"  Gates =    103 ( 17.5 %)   Cap = 10.4 ff (  5.9 %)   Area =      884.60 ( 86.4 %)   Delay =  1891.37 ps  ( 35.9 %)               
+ABC: Path  0 --       3 : 0    4 pi                      A =   0.00  Df =  30.2  -19.4 ps  S =  48.9 ps  Cin =  0.0 ff  Cout =  26.2 ff  Cmax =   0.0 ff  G =    0  
+ABC: Path  1 --      59 : 1    5 sky130_fd_sc_hd__inv_8  A =  11.26  Df =  62.0   -7.3 ps  S =  37.6 ps  Cin = 17.7 ff  Cout =  18.4 ff  Cmax =1035.5 ff  G =   99  
+ABC: Path  2 --      60 : 2    3 sky130_fd_sc_hd__or2_4  A =   8.76  Df = 300.5 -137.6 ps  S =  52.4 ps  Cin =  2.4 ff  Cout =   8.5 ff  Cmax = 514.5 ff  G =  339  
+ABC: Path  3 --      72 : 2    1 sky130_fd_sc_hd__or2_4  A =   8.76  Df = 525.4 -261.0 ps  S =  46.7 ps  Cin =  2.4 ff  Cout =   4.9 ff  Cmax = 514.5 ff  G =  193  
+ABC: Path  4 --      73 : 1    3 sky130_fd_sc_hd__buf_6  A =  11.26  Df = 654.2 -281.9 ps  S =  61.3 ps  Cin =  4.6 ff  Cout =  24.8 ff  Cmax = 785.5 ff  G =  511  
+ABC: Path  5 --      74 : 1    3 sky130_fd_sc_hd__inv_8  A =  11.26  Df = 695.3 -295.5 ps  S =  25.0 ps  Cin = 17.7 ff  Cout =   8.8 ff  Cmax =1035.5 ff  G =   46  
+ABC: Path  6 --     101 : 2    3 sky130_fd_sc_hd__or2_4  A =   8.76  Df = 828.9 -178.6 ps  S =  79.8 ps  Cin =  2.4 ff  Cout =  21.9 ff  Cmax = 514.5 ff  G =  905  
+ABC: Path  7 --     102 : 2    1 sky130_fd_sc_hd__or2_4  A =   8.76  Df = 944.3  -61.7 ps  S =  46.8 ps  Cin =  2.4 ff  Cout =   4.9 ff  Cmax = 514.5 ff  G =  193  
+ABC: Path  8 --     103 : 1    3 sky130_fd_sc_hd__buf_6  A =  11.26  Df =1056.1  -42.6 ps  S =  66.5 ps  Cin =  4.6 ff  Cout =  27.6 ff  Cmax = 785.5 ff  G =  571  
+ABC: Path  9 --     104 : 1    2 sky130_fd_sc_hd__inv_8  A =  11.26  Df =1085.0  -28.6 ps  S =  26.4 ps  Cin = 17.7 ff  Cout =   9.7 ff  Cmax =1035.5 ff  G =   52  
+ABC: Path 10 --     106 : 4    3 sky130_fd_sc_hd__o22a_4 A =  17.52  Df =1365.7 -110.3 ps  S = 125.2 ps  Cin =  4.6 ff  Cout =  38.6 ff  Cmax = 530.1 ff  G =  824  
+ABC: Path 11 --     107 : 1    1 sky130_fd_sc_hd__inv_8  A =  11.26  Df =1417.1 -136.5 ps  S =  26.3 ps  Cin = 17.7 ff  Cout =   2.5 ff  Cmax =1035.5 ff  G =   13  
+ABC: Path 12 --     108 : 3    2 sky130_fd_sc_hd__or3_4  A =  11.26  Df =1603.7  -81.4 ps  S =  70.1 ps  Cin =  2.4 ff  Cout =   7.3 ff  Cmax = 531.9 ff  G =  293  
+ABC: Path 13 --     153 : 3    1 sky130_fd_sc_hd__and3_4 A =  11.26  Df =1765.4  -69.3 ps  S =  58.1 ps  Cin =  2.4 ff  Cout =   9.3 ff  Cmax = 532.8 ff  G =  358  
+ABC: Path 14 --     154 : 2    1 sky130_fd_sc_hd__nor2_4 A =  11.26  Df =1891.4 -149.5 ps  S = 138.4 ps  Cin =  8.7 ff  Cout =  17.6 ff  Cmax = 251.8 ff  G =  202  
+ABC: Start-point = pi2 (\cfg.cnfg [0]).  End-point = po22 (\vfsm.nlmempty).
+ABC: + print_stats -m 
+ABC: netlist                       : i/o =   30/   24  lat =    0  nd =   103  edge =    221  area =884.81  delay =15.00  lev = 15
+ABC: + write_blif /tmp/yosys-abc-mTs0rA/output.blif 
+
+11.1.2. Re-integrating ABC results.
+ABC RESULTS:   sky130_fd_sc_hd__a211o_4 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__a32o_4 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__and2_2 cells:        7
+ABC RESULTS:   sky130_fd_sc_hd__and3_2 cells:        3
+ABC RESULTS:   sky130_fd_sc_hd__and3_4 cells:        1
+ABC RESULTS:   sky130_fd_sc_hd__buf_1 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__buf_2 cells:        1
+ABC RESULTS:   sky130_fd_sc_hd__buf_6 cells:        3
+ABC RESULTS:   sky130_fd_sc_hd__inv_8 cells:       12
+ABC RESULTS:   sky130_fd_sc_hd__nand2_2 cells:       11
+ABC RESULTS:   sky130_fd_sc_hd__nand3_2 cells:        1
+ABC RESULTS:   sky130_fd_sc_hd__nor2_2 cells:       28
+ABC RESULTS:   sky130_fd_sc_hd__nor2_4 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__nor3_2 cells:        3
+ABC RESULTS:   sky130_fd_sc_hd__nor4_2 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__o21a_4 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__o22a_4 cells:        2
+ABC RESULTS:   sky130_fd_sc_hd__or2_2 cells:       10
+ABC RESULTS:   sky130_fd_sc_hd__or2_4 cells:        4
+ABC RESULTS:   sky130_fd_sc_hd__or3_2 cells:        3
+ABC RESULTS:   sky130_fd_sc_hd__or3_4 cells:        2
+ABC RESULTS:        internal signals:       80
+ABC RESULTS:           input signals:       30
+ABC RESULTS:          output signals:       24
+Removing temp directory.
+
+12. Executing SETUNDEF pass (replace undef values with defined constants).
+
+13. Executing HILOMAP pass (mapping to constant drivers).
+
+14. Executing SPLITNETS pass (splitting up multi-bit signals).
+
+15. Executing OPT_CLEAN pass (remove unused cells and wires).
+Finding unused cells or wires in module \ycell..
+Removed 0 unused cells and 151 unused wires.
+<suppressed ~4 debug messages>
+
+16. Executing INSBUF pass (insert buffer cells for connected wires).
+
+17. Executing CHECK pass (checking for obvious problems).
+checking module ycell..
+Warning: Wire ycell.\vempty is used but has no driver.
+Warning: Wire ycell.\uout [1] is used but has no driver.
+Warning: Wire ycell.\uout [0] is used but has no driver.
+Warning: Wire ycell.\rout [1] is used but has no driver.
+Warning: Wire ycell.\rout [0] is used but has no driver.
+Warning: Wire ycell.\lout [1] is used but has no driver.
+Warning: Wire ycell.\lout [0] is used but has no driver.
+Warning: Wire ycell.\hempty is used but has no driver.
+Warning: Wire ycell.\dout [1] is used but has no driver.
+Warning: Wire ycell.\dout [0] is used but has no driver.
+Warning: Wire ycell.\cbitout is used but has no driver.
+found and reported 11 problems.
+
+18. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                113
+   Number of wire bits:            121
+   Number of public wires:          34
+   Number of public wire bits:      42
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                106
+     sky130_fd_sc_hd__a211o_4        2
+     sky130_fd_sc_hd__a32o_4         2
+     sky130_fd_sc_hd__and2_2         7
+     sky130_fd_sc_hd__and3_2         3
+     sky130_fd_sc_hd__and3_4         1
+     sky130_fd_sc_hd__buf_1          2
+     sky130_fd_sc_hd__buf_2          1
+     sky130_fd_sc_hd__buf_6          3
+     sky130_fd_sc_hd__dfxtp_4        3
+     sky130_fd_sc_hd__inv_8         12
+     sky130_fd_sc_hd__nand2_2       11
+     sky130_fd_sc_hd__nand3_2        1
+     sky130_fd_sc_hd__nor2_2        28
+     sky130_fd_sc_hd__nor2_4         2
+     sky130_fd_sc_hd__nor3_2         3
+     sky130_fd_sc_hd__nor4_2         2
+     sky130_fd_sc_hd__o21a_4         2
+     sky130_fd_sc_hd__o22a_4         2
+     sky130_fd_sc_hd__or2_2         10
+     sky130_fd_sc_hd__or2_4          4
+     sky130_fd_sc_hd__or3_2          3
+     sky130_fd_sc_hd__or3_4          2
+
+   Chip area for module '\ycell': 955.916800
+
+19. Executing Verilog backend.
+Dumping module `\ycell'.
+
+Warnings: 60 unique messages, 60 total
+End of script. Logfile hash: 548c4bfa1f, CPU: user 0.88s system 0.04s, MEM: 43.75 MB peak
+Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+Time spent: 45% 4x stat (0 sec), 26% 2x abc (0 sec), ...
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_rewrite_verilog.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_rewrite_verilog.log
new file mode 100644
index 0000000..b64a6fa
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_rewrite_verilog.log
@@ -0,0 +1,136 @@
+
+ /----------------------------------------------------------------------------\
+ |                                                                            |
+ |  yosys -- Yosys Open SYnthesis Suite                                       |
+ |                                                                            |
+ |  Copyright (C) 2012 - 2020  Claire Wolf <claire@symbioticeda.com>          |
+ |                                                                            |
+ |  Permission to use, copy, modify, and/or distribute this software for any  |
+ |  purpose with or without fee is hereby granted, provided that the above    |
+ |  copyright notice and this permission notice appear in all copies.         |
+ |                                                                            |
+ |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
+ |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
+ |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
+ |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
+ |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
+ |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
+ |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
+ |                                                                            |
+ \----------------------------------------------------------------------------/
+
+ Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+
+[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
+[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
+
+1. Executing Verilog-2005 frontend: /project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
+Parsing Verilog input from `/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v' to AST representation.
+Generating RTLIL representation for module `\ycell'.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:42: Warning: Identifier `\hfsm.lmatch[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:43: Warning: Identifier `\hfsm.lmatch[0]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:44: Warning: Identifier `\_041_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:49: Warning: Identifier `\hfsm.lin[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:50: Warning: Identifier `\hfsm.lin[0]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:52: Warning: Identifier `\_042_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:57: Warning: Identifier `\hfsm.nlmempty' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:59: Warning: Identifier `\_043_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:64: Warning: Identifier `\cfg.cnfg[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:65: Warning: Identifier `\_044_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:70: Warning: Identifier `\cfg.cnfg[0]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:71: Warning: Identifier `\_045_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:78: Warning: Identifier `\_046_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:84: Warning: Identifier `\_047_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:91: Warning: Identifier `\_048_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:98: Warning: Identifier `\_049_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:105: Warning: Identifier `\_050_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:111: Warning: Identifier `\_051_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:117: Warning: Identifier `\_052_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:125: Warning: Identifier `\_053_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:139: Warning: Identifier `\_054_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:145: Warning: Identifier `\_055_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:151: Warning: Identifier `\_056_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:158: Warning: Identifier `\_057_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:164: Warning: Identifier `\_058_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:170: Warning: Identifier `\_059_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:175: Warning: Identifier `\vfsm.lmatch[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:176: Warning: Identifier `\vfsm.lin[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:178: Warning: Identifier `\vfsm.in[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:197: Warning: Identifier `\_060_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:203: Warning: Identifier `\_061_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:210: Warning: Identifier `\_062_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:217: Warning: Identifier `\_063_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:223: Warning: Identifier `\vfsm.lin[0]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:224: Warning: Identifier `\_064_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:231: Warning: Identifier `\vfsm.lmatch[0]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:232: Warning: Identifier `\_065_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:240: Warning: Identifier `\_066_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:247: Warning: Identifier `\_067_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:260: Warning: Identifier `\_068_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:267: Warning: Identifier `\_069_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:281: Warning: Identifier `\_070_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:288: Warning: Identifier `\_071_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:295: Warning: Identifier `\_072_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:302: Warning: Identifier `\_073_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:310: Warning: Identifier `\_074_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:324: Warning: Identifier `\_075_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:329: Warning: Identifier `\vfsm.clear' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:336: Warning: Identifier `\vfsm.nlmempty' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:337: Warning: Identifier `\_076_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:344: Warning: Identifier `\_077_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:351: Warning: Identifier `\_078_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:359: Warning: Identifier `\_000_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:373: Warning: Identifier `\_001_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:379: Warning: Identifier `\_002_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:385: Warning: Identifier `\_003_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:393: Warning: Identifier `\hfsm.in[1]' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:410: Warning: Identifier `\_004_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:418: Warning: Identifier `\_005_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:425: Warning: Identifier `\_006_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:432: Warning: Identifier `\_007_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:446: Warning: Identifier `\_008_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:459: Warning: Identifier `\_009_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:466: Warning: Identifier `\_010_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:473: Warning: Identifier `\_011_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:480: Warning: Identifier `\_012_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:488: Warning: Identifier `\_013_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:496: Warning: Identifier `\_014_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:503: Warning: Identifier `\_015_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:516: Warning: Identifier `\_016_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:523: Warning: Identifier `\_017_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:530: Warning: Identifier `\_018_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:539: Warning: Identifier `\_019_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:546: Warning: Identifier `\_020_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:553: Warning: Identifier `\_021_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:566: Warning: Identifier `\_022_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:573: Warning: Identifier `\_023_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:580: Warning: Identifier `\_024_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:585: Warning: Identifier `\hfsm.clear' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:594: Warning: Identifier `\_025_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:602: Warning: Identifier `\_026_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:616: Warning: Identifier `\_027_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:623: Warning: Identifier `\_028_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:637: Warning: Identifier `\_029_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:651: Warning: Identifier `\_030_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:666: Warning: Identifier `\_031_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:680: Warning: Identifier `\_032_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:694: Warning: Identifier `\_033_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:703: Warning: Identifier `\_034_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:719: Warning: Identifier `\_035_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:727: Warning: Identifier `\_036_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:734: Warning: Identifier `\_037_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:742: Warning: Identifier `\_038_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:756: Warning: Identifier `\_039_' is implicitly declared.
+/project/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v:765: Warning: Identifier `\_040_' is implicitly declared.
+Successfully finished Verilog frontend.
+
+2. Executing Verilog backend.
+Dumping module `\ycell'.
+
+Warnings: 95 unique messages, 95 total
+End of script. Logfile hash: 6f39103e7a, CPU: user 0.35s system 0.00s, MEM: 11.52 MB peak
+Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
+Time spent: 77% 2x write_verilog (0 sec), 22% 2x read_verilog (0 sec)
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_runtime.txt
new file mode 100644
index 0000000..ccad957
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/synthesis/yosys_runtime.txt
@@ -0,0 +1 @@
+0h0m1s256ms
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log b/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log
new file mode 100644
index 0000000..4c7b5a1
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/logs/write_verilog.log
@@ -0,0 +1,17 @@
+OpenROAD 0.9.0 d03ebfc244
+This program is licensed under the BSD-3 license. See the LICENSE file for details. 
+Components of this program may be licensed under more restrictive licenses which must be honored.
+Error: cannot open '/.openroad'.
+Notice 0: Reading LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0:     Created 13 technology layers
+Notice 0:     Created 25 technology vias
+Notice 0:     Created 437 library cells
+Notice 0: Finished LEF file:  /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+Notice 0: 
+Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def
+Notice 0: Design: ycell
+Notice 0:     Created 28 pins.
+Notice 0:     Created 388 components and 1819 component-terminals.
+Notice 0:     Created 2 special nets and 0 connections.
+Notice 0:     Created 123 nets and 1819 connections.
+Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/final_summary_report.csv b/openlane/morphle_ycell/runs/morphle_ycell/reports/final_summary_report.csv
new file mode 100644
index 0000000..75f29ac
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/final_summary_report.csv
@@ -0,0 +1,2 @@
+,design,design_name,config,runtime,DIEAREA_mm^2,CellPer_mm^2,(Cell/mm^2)/Core_Util,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
+0,/project/openlane/morphle_ycell,ycell,morphle_ycell,0h0m28s,0.005226,20283.199387677,67610.66462559,33,393.62,106,0,0,0,0,0,0,0,0,0,4953,891,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,1555586,0.0,20.45,23.86,0.89,0.78,-1,113,121,34,42,0,0,0,106,7,3,1,17,20,0,0,12,30,24,15,40,33,0,73,1000.0,1,0,2,5,30,1,153.6,153.18,0.55,0,sky130_fd_sc_hd,8,3
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.core_area.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.core_area.rpt
new file mode 100644
index 0000000..80ca41f
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.core_area.rpt
@@ -0,0 +1 @@
+5.52 10.88 61.64 65.28
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.die_area.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.die_area.rpt
new file mode 100644
index 0000000..f9b0dc2
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/floorplan/verilog2def.die_area.rpt
@@ -0,0 +1 @@
+0.0 0.0 67.49 78.21
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_allchecks.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_allchecks.rpt
new file mode 100644
index 0000000..804fb12
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_allchecks.rpt
@@ -0,0 +1 @@
+No paths found.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_tns.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_tns.rpt
new file mode 100644
index 0000000..53df237
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_tns.rpt
@@ -0,0 +1 @@
+tns 0.00
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_violators.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_violators.rpt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_violators.rpt
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_wns.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_wns.rpt
new file mode 100644
index 0000000..3196164
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/placement/openphysyn_wns.rpt
@@ -0,0 +1 @@
+wns 0.00
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/antenna.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/antenna.rpt
new file mode 100644
index 0000000..e4239ce
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/antenna.rpt
@@ -0,0 +1,7283 @@
+Warning - class CORE ANTENNACELL is not found. This msg can be ignored if not in the antenna-avoid flow
+
+Net - cbitin
+  _182_  (sky130_fd_sc_hd__dfxtp_4)  D
+[1]  met2:
+  PAR:   15.99  Ratio:    0.00       (Area)
+  PAR:   81.50  Ratio:  400.00       (S.Area)
+  CAR:   17.28  Ratio:    0.00       (C.Area)
+  CAR:   88.30  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.67  Ratio:  400.00       (S.Area)
+  CAR:    1.29  Ratio:    0.00       (C.Area)
+  CAR:    6.80  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - cbitout
+  _096_  (sky130_fd_sc_hd__or2_4)  A
+[1]  met2:
+  PAR:   11.01  Ratio:    0.00       (Area)
+  PAR:   55.52  Ratio: 2956.40       (S.Area)
+  CAR:   19.81  Ratio:    0.00       (C.Area)
+  CAR:  101.25  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.96  Ratio:    0.00       (Area)
+  PAR:   31.41  Ratio:  400.00       (S.Area)
+  CAR:    6.02  Ratio:    0.00       (C.Area)
+  CAR:   31.48  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.30  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _085_  (sky130_fd_sc_hd__buf_6)  A
+[1]  met2:
+  PAR:   11.01  Ratio:    0.00       (Area)
+  PAR:   55.52  Ratio: 2956.40       (S.Area)
+  CAR:   13.81  Ratio:    0.00       (C.Area)
+  CAR:   69.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.77  Ratio:    0.00       (Area)
+  PAR:   14.25  Ratio:  400.00       (S.Area)
+  CAR:    2.80  Ratio:    0.00       (C.Area)
+  CAR:   14.29  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - confclk
+  _184_  (sky130_fd_sc_hd__dfxtp_4)  CLK
+[1]  met2:
+  PAR:    5.70  Ratio:    0.00       (Area)
+  PAR:   29.51  Ratio:  400.00       (S.Area)
+  CAR:   18.89  Ratio:    0.00       (C.Area)
+  CAR:   97.59  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.93  Ratio:    0.00       (Area)
+  PAR:    5.28  Ratio:  400.00       (S.Area)
+  CAR:    1.02  Ratio:    0.00       (C.Area)
+  CAR:    5.39  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.09  Ratio:    0.00       (Area)
+  PAR:    0.11  Ratio:   75.00       (S.Area)
+  CAR:    0.09  Ratio:    0.00       (C.Area)
+  CAR:    0.11  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.14  Ratio:    6.00       (Area)
+  CAR:    0.32  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.18  Ratio:    3.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+  _182_  (sky130_fd_sc_hd__dfxtp_4)  CLK
+[1]  met2:
+  PAR:    5.70  Ratio:    0.00       (Area)
+  PAR:   29.51  Ratio:  400.00       (S.Area)
+  CAR:   18.08  Ratio:    0.00       (C.Area)
+  CAR:   92.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.12  Ratio:    0.00       (Area)
+  PAR:    0.62  Ratio:  400.00       (S.Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+  CAR:    0.72  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.09  Ratio:    0.00       (Area)
+  PAR:    0.11  Ratio:   75.00       (S.Area)
+  CAR:    0.09  Ratio:    0.00       (C.Area)
+  CAR:    0.11  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.14  Ratio:    6.00       (Area)
+  CAR:    0.32  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.18  Ratio:    3.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+  _183_  (sky130_fd_sc_hd__dfxtp_4)  CLK
+[1]  met2:
+  PAR:    5.70  Ratio:    0.00       (Area)
+  PAR:   29.51  Ratio:  400.00       (S.Area)
+  CAR:   17.96  Ratio:    0.00       (C.Area)
+  CAR:   92.31  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   12.17  Ratio:    0.00       (Area)
+  PAR:   62.69  Ratio:  400.00       (S.Area)
+  CAR:   12.26  Ratio:    0.00       (C.Area)
+  CAR:   62.80  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.09  Ratio:    0.00       (Area)
+  PAR:    0.11  Ratio:   75.00       (S.Area)
+  CAR:    0.09  Ratio:    0.00       (C.Area)
+  CAR:    0.11  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.28  Ratio:    6.00       (Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.18  Ratio:    3.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+
+Net - dempty
+  _093_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met2:
+  PAR:   33.74  Ratio:    0.00       (Area)
+  PAR:  170.28  Ratio:  400.00       (S.Area)
+  CAR:   34.90  Ratio:    0.00       (C.Area)
+  CAR:  177.19  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.04  Ratio:    0.00       (Area)
+  PAR:    6.78  Ratio:  400.00       (S.Area)
+  CAR:    1.16  Ratio:    0.00       (C.Area)
+  CAR:    6.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - din[0]
+  _111_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:   13.27  Ratio:    0.00       (Area)
+  PAR:   67.16  Ratio:  400.00       (S.Area)
+  CAR:   14.38  Ratio:    0.00       (C.Area)
+  CAR:   72.79  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.08  Ratio:    0.00       (Area)
+  PAR:    5.60  Ratio:  400.00       (S.Area)
+  CAR:    1.11  Ratio:    0.00       (C.Area)
+  CAR:    5.63  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - din[1]
+  _100_  (sky130_fd_sc_hd__o22a_4)  B1
+[1]  met3:
+  PAR:    6.40  Ratio:    0.00       (Area)
+  PAR:   35.11  Ratio:  400.00       (S.Area)
+  CAR:    7.16  Ratio:    0.00       (C.Area)
+  CAR:   39.38  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.20  Ratio:    0.00       (Area)
+  PAR:    1.22  Ratio:  400.00       (S.Area)
+  CAR:    0.76  Ratio:    0.00       (C.Area)
+  CAR:    4.28  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.53  Ratio:    0.00       (Area)
+  PAR:    3.03  Ratio:  400.00       (S.Area)
+  CAR:    0.56  Ratio:    0.00       (C.Area)
+  CAR:    3.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - dout[0]
+  _110_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    4.41  Ratio:    0.00       (Area)
+  PAR:   22.32  Ratio: 2736.24       (S.Area)
+  CAR:    6.00  Ratio:    0.00       (C.Area)
+  CAR:   30.59  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    6.21  Ratio:    0.00       (Area)
+  PAR:   33.95  Ratio: 2736.24       (S.Area)
+  CAR:   12.22  Ratio:    0.00       (C.Area)
+  CAR:   64.54  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.57  Ratio:    0.00       (Area)
+  PAR:    8.23  Ratio:  400.00       (S.Area)
+  CAR:    1.60  Ratio:    0.00       (C.Area)
+  CAR:    8.26  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - dout[1]
+  _100_  (sky130_fd_sc_hd__o22a_4)  A2
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hempty
+  _126_  (sky130_fd_sc_hd__or2_4)  B
+[1]  met2:
+  PAR:   15.14  Ratio:    0.00       (Area)
+  PAR:   77.37  Ratio: 2956.40       (S.Area)
+  CAR:   17.10  Ratio:    0.00       (C.Area)
+  CAR:   87.34  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.90  Ratio:    0.00       (Area)
+  PAR:    9.90  Ratio:  400.00       (S.Area)
+  CAR:    1.96  Ratio:    0.00       (C.Area)
+  CAR:    9.97  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _181_  (sky130_fd_sc_hd__or3_2)  B
+[1]  met2:
+  PAR:   15.14  Ratio:    0.00       (Area)
+  PAR:   77.37  Ratio: 2956.40       (S.Area)
+  CAR:   15.41  Ratio:    0.00       (C.Area)
+  CAR:   78.29  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - lempty
+  _138_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met3:
+  PAR:    0.90  Ratio:    0.00       (Area)
+  PAR:    5.06  Ratio:  400.00       (S.Area)
+  CAR:    2.20  Ratio:    0.00       (C.Area)
+  CAR:   11.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.28  Ratio:    0.00       (Area)
+  PAR:    6.43  Ratio:  400.00       (S.Area)
+  CAR:    1.29  Ratio:    0.00       (C.Area)
+  CAR:    6.49  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.05  Ratio:  400.00       (S.Area)
+  CAR:    0.02  Ratio:    0.00       (C.Area)
+  CAR:    0.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+
+Net - lin[0]
+  _139_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met3:
+  PAR:   30.63  Ratio:    0.00       (Area)
+  PAR:  167.13  Ratio:  400.00       (S.Area)
+  CAR:   43.84  Ratio:    0.00       (C.Area)
+  CAR:  234.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    9.87  Ratio:    0.00       (Area)
+  PAR:   50.11  Ratio:  400.00       (S.Area)
+  CAR:   13.20  Ratio:    0.00       (C.Area)
+  CAR:   67.13  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.22  Ratio:    0.00       (Area)
+  PAR:   16.89  Ratio:  400.00       (S.Area)
+  CAR:    3.34  Ratio:    0.00       (C.Area)
+  CAR:   17.02  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - lin[1]
+  _165_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met3:
+  PAR:    8.77  Ratio:    0.00       (Area)
+  PAR:   49.65  Ratio:  400.00       (S.Area)
+  CAR:   19.59  Ratio:    0.00       (C.Area)
+  CAR:  104.27  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:   10.01  Ratio:    0.00       (Area)
+  PAR:   50.26  Ratio:  400.00       (S.Area)
+  CAR:   10.83  Ratio:    0.00       (C.Area)
+  CAR:   54.62  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.79  Ratio:    0.00       (Area)
+  PAR:    4.33  Ratio:  400.00       (S.Area)
+  CAR:    0.82  Ratio:    0.00       (C.Area)
+  CAR:    4.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - lout[0]
+  _167_  (sky130_fd_sc_hd__or3_4)  C
+[1]  met4:
+  PAR:   33.55  Ratio:    0.00       (Area)
+  PAR:  180.48  Ratio: 2886.20       (S.Area)
+  CAR:   52.37  Ratio:    0.00       (C.Area)
+  CAR:  279.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.59  Ratio:    0.00       (Area)
+  PAR:   15.67  Ratio:  400.00       (S.Area)
+  CAR:   18.82  Ratio:    0.00       (C.Area)
+  CAR:   98.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:   16.09  Ratio:    0.00       (Area)
+  PAR:   82.42  Ratio:  400.00       (S.Area)
+  CAR:   16.22  Ratio:    0.00       (C.Area)
+  CAR:   82.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.08  Ratio:    0.00       (Area)
+  PAR:    0.40  Ratio:  400.00       (S.Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+  CAR:    0.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.53  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - lout[1]
+  _131_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    0.89  Ratio:    0.00       (Area)
+  PAR:    4.54  Ratio: 2956.40       (S.Area)
+  CAR:    3.29  Ratio:    0.00       (C.Area)
+  CAR:   16.79  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.40  Ratio:    0.00       (Area)
+  PAR:   12.25  Ratio:  400.00       (S.Area)
+  CAR:    2.41  Ratio:    0.00       (C.Area)
+  CAR:   12.26  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.20  Ratio:    0.00       (Area)
+  PAR:   11.91  Ratio: 2956.40       (S.Area)
+  CAR:    5.49  Ratio:    0.00       (C.Area)
+  CAR:   28.70  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.04  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _167_  (sky130_fd_sc_hd__or3_4)  B
+[1]  met2:
+  PAR:    0.89  Ratio:    0.00       (Area)
+  PAR:    4.54  Ratio: 2956.40       (S.Area)
+  CAR:    5.44  Ratio:    0.00       (C.Area)
+  CAR:   28.11  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.09  Ratio:    0.00       (Area)
+  PAR:   11.26  Ratio:  400.00       (S.Area)
+  CAR:    2.15  Ratio:    0.00       (C.Area)
+  CAR:   11.33  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.20  Ratio:    0.00       (Area)
+  PAR:   11.91  Ratio: 2956.40       (S.Area)
+  CAR:    7.64  Ratio:    0.00       (C.Area)
+  CAR:   40.01  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.25  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - rempty
+  _126_  (sky130_fd_sc_hd__or2_4)  A
+[1]  met3:
+  PAR:   10.10  Ratio:    0.00       (Area)
+  PAR:   55.74  Ratio:  400.00       (S.Area)
+  CAR:   29.46  Ratio:    0.00       (C.Area)
+  CAR:  154.29  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:   17.99  Ratio:    0.00       (Area)
+  PAR:   90.73  Ratio:  400.00       (S.Area)
+  CAR:   19.36  Ratio:    0.00       (C.Area)
+  CAR:   98.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.31  Ratio:    0.00       (Area)
+  PAR:    7.75  Ratio:  400.00       (S.Area)
+  CAR:    1.37  Ratio:    0.00       (C.Area)
+  CAR:    7.82  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - reset
+  _181_  (sky130_fd_sc_hd__or3_2)  A
+[1]  met2:
+  PAR:    6.83  Ratio:    0.00       (Area)
+  PAR:   34.80  Ratio:  400.00       (S.Area)
+  CAR:    7.61  Ratio:    0.00       (C.Area)
+  CAR:   39.04  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _173_  (sky130_fd_sc_hd__a211o_4)  B1
+[1]  met2:
+  PAR:    6.83  Ratio:    0.00       (Area)
+  PAR:   34.80  Ratio:  400.00       (S.Area)
+  CAR:    6.90  Ratio:    0.00       (C.Area)
+  CAR:   35.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - rin[0]
+  _147_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met3:
+  PAR:    5.57  Ratio:    0.00       (Area)
+  PAR:   30.65  Ratio:  400.00       (S.Area)
+  CAR:    8.76  Ratio:    0.00       (C.Area)
+  CAR:   46.89  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.99  Ratio:    0.00       (Area)
+  PAR:   15.16  Ratio:  400.00       (S.Area)
+  CAR:    3.19  Ratio:    0.00       (C.Area)
+  CAR:   16.24  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - rin[1]
+  _130_  (sky130_fd_sc_hd__o22a_4)  B1
+[1]  met2:
+  PAR:    2.88  Ratio:    0.00       (Area)
+  PAR:   14.58  Ratio:  400.00       (S.Area)
+  CAR:    3.56  Ratio:    0.00       (C.Area)
+  CAR:   18.29  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.66  Ratio:    0.00       (Area)
+  PAR:    3.68  Ratio:  400.00       (S.Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+  CAR:    3.71  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - rout[0]
+  _146_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met4:
+  PAR:    7.69  Ratio:    0.00       (Area)
+  PAR:   41.78  Ratio: 2736.24       (S.Area)
+  CAR:    9.81  Ratio:    0.00       (C.Area)
+  CAR:   54.02  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    1.20  Ratio:    0.00       (Area)
+  PAR:    7.34  Ratio:  400.00       (S.Area)
+  CAR:    2.12  Ratio:    0.00       (C.Area)
+  CAR:   12.24  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.20  Ratio:    0.00       (Area)
+  PAR:    1.22  Ratio:  400.00       (S.Area)
+  CAR:    0.92  Ratio:    0.00       (C.Area)
+  CAR:    4.90  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.69  Ratio:    0.00       (Area)
+  PAR:    3.65  Ratio:  400.00       (S.Area)
+  CAR:    0.72  Ratio:    0.00       (C.Area)
+  CAR:    3.68  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - rout[1]
+  _130_  (sky130_fd_sc_hd__o22a_4)  A2
+[1]  met2:
+  PAR:    3.75  Ratio:    0.00       (Area)
+  PAR:   19.25  Ratio: 2956.40       (S.Area)
+  CAR:    3.89  Ratio:    0.00       (C.Area)
+  CAR:   20.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.10  Ratio:    0.00       (Area)
+  PAR:    0.72  Ratio:  400.00       (S.Area)
+  CAR:    0.13  Ratio:    0.00       (C.Area)
+  CAR:    0.76  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - uempty
+  _102_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    0.77  Ratio:    0.00       (Area)
+  PAR:    3.89  Ratio:  400.00       (S.Area)
+  CAR:    0.95  Ratio:    0.00       (C.Area)
+  CAR:    4.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    0.91  Ratio:  400.00       (S.Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+  CAR:    0.92  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+
+Net - uin[0]
+  _103_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met2:
+  PAR:   41.17  Ratio:    0.00       (Area)
+  PAR:  208.17  Ratio:  400.00       (S.Area)
+  CAR:   42.97  Ratio:    0.00       (C.Area)
+  CAR:  217.52  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.69  Ratio:    0.00       (Area)
+  PAR:    9.22  Ratio:  400.00       (S.Area)
+  CAR:    1.80  Ratio:    0.00       (C.Area)
+  CAR:    9.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - uin[1]
+  _157_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:   42.94  Ratio:    0.00       (Area)
+  PAR:  217.83  Ratio:  400.00       (S.Area)
+  CAR:   46.28  Ratio:    0.00       (C.Area)
+  CAR:  234.86  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.22  Ratio:    0.00       (Area)
+  PAR:   16.89  Ratio:  400.00       (S.Area)
+  CAR:    3.34  Ratio:    0.00       (C.Area)
+  CAR:   17.02  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - uout[0]
+  _116_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    5.75  Ratio:    0.00       (Area)
+  PAR:   29.47  Ratio: 2886.20       (S.Area)
+  CAR:    8.51  Ratio:    0.00       (C.Area)
+  CAR:   43.59  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.74  Ratio:    0.00       (Area)
+  PAR:   14.08  Ratio:  400.00       (S.Area)
+  CAR:    2.77  Ratio:    0.00       (C.Area)
+  CAR:   14.12  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _158_  (sky130_fd_sc_hd__nor3_2)  C
+[1]  met2:
+  PAR:    5.75  Ratio:    0.00       (Area)
+  PAR:   29.47  Ratio: 2886.20       (S.Area)
+  CAR:    6.86  Ratio:    0.00       (C.Area)
+  CAR:   35.10  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.08  Ratio:    0.00       (Area)
+  PAR:    5.60  Ratio:  400.00       (S.Area)
+  CAR:    1.11  Ratio:    0.00       (C.Area)
+  CAR:    5.63  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - uout[1]
+  _101_  (sky130_fd_sc_hd__nand3_2)  C
+[1]  met2:
+  PAR:    7.38  Ratio:    0.00       (Area)
+  PAR:   37.13  Ratio: 2956.40       (S.Area)
+  CAR:    8.62  Ratio:    0.00       (C.Area)
+  CAR:   43.61  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.21  Ratio:    0.00       (Area)
+  PAR:    6.45  Ratio:  400.00       (S.Area)
+  CAR:    1.24  Ratio:    0.00       (C.Area)
+  CAR:    6.48  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _158_  (sky130_fd_sc_hd__nor3_2)  B
+[1]  met2:
+  PAR:    7.38  Ratio:    0.00       (Area)
+  PAR:   37.13  Ratio: 2956.40       (S.Area)
+  CAR:   11.12  Ratio:    0.00       (C.Area)
+  CAR:   56.69  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.50  Ratio:    0.00       (Area)
+  PAR:   13.08  Ratio:  400.00       (S.Area)
+  CAR:    2.53  Ratio:    0.00       (C.Area)
+  CAR:   13.12  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vempty
+  _093_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:   48.47  Ratio:    0.00       (Area)
+  PAR:  245.80  Ratio: 2778.20       (S.Area)
+  CAR:   48.74  Ratio:    0.00       (C.Area)
+  CAR:  246.71  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _000_
+  _132_  (sky130_fd_sc_hd__or3_4)  B
+[1]  met4:
+  PAR:    5.33  Ratio:    0.00       (Area)
+  PAR:   30.02  Ratio: 2778.20       (S.Area)
+  CAR:   11.65  Ratio:    0.00       (C.Area)
+  CAR:   65.27  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    4.62  Ratio:    0.00       (Area)
+  PAR:   26.58  Ratio:  400.00       (S.Area)
+  CAR:    6.32  Ratio:    0.00       (C.Area)
+  CAR:   35.24  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.56  Ratio:    0.00       (Area)
+  PAR:    8.20  Ratio:  400.00       (S.Area)
+  CAR:    1.70  Ratio:    0.00       (C.Area)
+  CAR:    8.67  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.08  Ratio:    0.00       (Area)
+  PAR:    0.40  Ratio:  400.00       (S.Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+  CAR:    0.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.53  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _001_
+  _127_  (sky130_fd_sc_hd__buf_6)  A
+[1]  met2:
+  PAR:    3.78  Ratio:    0.00       (Area)
+  PAR:   19.00  Ratio: 2956.40       (S.Area)
+  CAR:    4.37  Ratio:    0.00       (C.Area)
+  CAR:   22.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.56  Ratio:    0.00       (Area)
+  PAR:    3.00  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _002_
+  _130_  (sky130_fd_sc_hd__o22a_4)  B2
+[1]  met2:
+  PAR:    1.59  Ratio:    0.00       (Area)
+  PAR:    8.10  Ratio: 3134.60       (S.Area)
+  CAR:    2.54  Ratio:    0.00       (C.Area)
+  CAR:   13.12  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.92  Ratio:    0.00       (Area)
+  PAR:    4.98  Ratio:  400.00       (S.Area)
+  CAR:    0.95  Ratio:    0.00       (C.Area)
+  CAR:    5.01  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _146_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met1:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.28  Ratio:  400.00       (S.Area)
+  CAR:    1.21  Ratio:    0.00       (C.Area)
+  CAR:    6.31  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _128_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.05  Ratio:  400.00       (S.Area)
+  CAR:    0.02  Ratio:    0.00       (C.Area)
+  CAR:    0.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+
+Net - _003_
+  _147_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    5.17  Ratio:    0.00       (Area)
+  PAR:   26.07  Ratio: 3312.80       (S.Area)
+  CAR:    5.50  Ratio:    0.00       (C.Area)
+  CAR:   27.80  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    1.70  Ratio:  400.00       (S.Area)
+  CAR:    0.33  Ratio:    0.00       (C.Area)
+  CAR:    1.73  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _130_  (sky130_fd_sc_hd__o22a_4)  A1
+[1]  met2:
+  PAR:    5.17  Ratio:    0.00       (Area)
+  PAR:   26.07  Ratio: 3312.80       (S.Area)
+  CAR:    5.24  Ratio:    0.00       (C.Area)
+  CAR:   26.31  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _004_
+  _132_  (sky130_fd_sc_hd__or3_4)  C
+[1]  met2:
+  PAR:   10.34  Ratio:    0.00       (Area)
+  PAR:   52.25  Ratio: 3312.80       (S.Area)
+  CAR:   12.56  Ratio:    0.00       (C.Area)
+  CAR:   63.52  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.16  Ratio:    0.00       (Area)
+  PAR:   11.20  Ratio:  400.00       (S.Area)
+  CAR:    2.22  Ratio:    0.00       (C.Area)
+  CAR:   11.27  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _005_
+  _133_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    3.56  Ratio:    0.00       (Area)
+  PAR:   17.99  Ratio: 2956.40       (S.Area)
+  CAR:    3.63  Ratio:    0.00       (C.Area)
+  CAR:   18.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _177_  (sky130_fd_sc_hd__and3_4)  A
+[1]  met2:
+  PAR:    3.56  Ratio:    0.00       (Area)
+  PAR:   17.99  Ratio: 2956.40       (S.Area)
+  CAR:    4.22  Ratio:    0.00       (C.Area)
+  CAR:   21.45  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.60  Ratio:    0.00       (Area)
+  PAR:    3.39  Ratio:  400.00       (S.Area)
+  CAR:    0.66  Ratio:    0.00       (C.Area)
+  CAR:    3.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _006_
+  _134_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    0.61  Ratio:    0.00       (Area)
+  PAR:    3.13  Ratio: 2848.40       (S.Area)
+  CAR:    0.68  Ratio:    0.00       (C.Area)
+  CAR:    3.36  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _007_
+  _135_  (sky130_fd_sc_hd__nor2_4)  B
+[1]  met3:
+  PAR:    1.27  Ratio:    0.00       (Area)
+  PAR:    7.65  Ratio: 2848.40       (S.Area)
+  CAR:    7.08  Ratio:    0.00       (C.Area)
+  CAR:   37.14  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.47  Ratio:    0.00       (Area)
+  PAR:   12.67  Ratio:  400.00       (S.Area)
+  CAR:    5.81  Ratio:    0.00       (C.Area)
+  CAR:   29.49  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.32  Ratio:    0.00       (Area)
+  PAR:   16.80  Ratio:  400.00       (S.Area)
+  CAR:    3.33  Ratio:    0.00       (C.Area)
+  CAR:   16.82  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.02  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.02  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.09  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.03  Ratio:    3.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+
+Net - _008_
+  _137_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   14.76  Ratio: 2848.40       (S.Area)
+  CAR:    6.50  Ratio:    0.00       (C.Area)
+  CAR:   33.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.61  Ratio:    0.00       (Area)
+  PAR:   18.47  Ratio:  400.00       (S.Area)
+  CAR:    3.64  Ratio:    0.00       (C.Area)
+  CAR:   18.50  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _009_
+  _165_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    4.55  Ratio:    0.00       (Area)
+  PAR:   23.01  Ratio: 3312.80       (S.Area)
+  CAR:    5.23  Ratio:    0.00       (C.Area)
+  CAR:   26.72  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.66  Ratio:    0.00       (Area)
+  PAR:    3.68  Ratio:  400.00       (S.Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+  CAR:    3.71  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _139_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:    4.55  Ratio:    0.00       (Area)
+  PAR:   23.01  Ratio: 3312.80       (S.Area)
+  CAR:    6.22  Ratio:    0.00       (C.Area)
+  CAR:   32.47  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.56  Ratio:    0.00       (Area)
+  PAR:    9.33  Ratio:  400.00       (S.Area)
+  CAR:    1.67  Ratio:    0.00       (C.Area)
+  CAR:    9.47  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _167_  (sky130_fd_sc_hd__or3_4)  A
+[1]  met2:
+  PAR:    4.55  Ratio:    0.00       (Area)
+  PAR:   23.01  Ratio: 3312.80       (S.Area)
+  CAR:    4.81  Ratio:    0.00       (C.Area)
+  CAR:   24.52  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.21  Ratio:    0.00       (Area)
+  PAR:    1.44  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    1.51  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _010_
+  _180_  (sky130_fd_sc_hd__nor4_2)  D
+[1]  met2:
+  PAR:    4.77  Ratio:    0.00       (Area)
+  PAR:   24.09  Ratio: 2857.40       (S.Area)
+  CAR:    7.15  Ratio:    0.00       (C.Area)
+  CAR:   36.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.35  Ratio:    0.00       (Area)
+  PAR:   12.13  Ratio:  400.00       (S.Area)
+  CAR:    2.38  Ratio:    0.00       (C.Area)
+  CAR:   12.17  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _169_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met1:
+  PAR:    0.66  Ratio:    0.00       (Area)
+  PAR:    3.68  Ratio:  400.00       (S.Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+  CAR:    3.71  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _140_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met1:
+  PAR:    2.12  Ratio:    0.00       (Area)
+  PAR:   11.39  Ratio:  400.00       (S.Area)
+  CAR:    2.24  Ratio:    0.00       (C.Area)
+  CAR:   11.52  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _011_
+  _144_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met2:
+  PAR:    2.77  Ratio:    0.00       (Area)
+  PAR:   14.19  Ratio: 2778.20       (S.Area)
+  CAR:   10.20  Ratio:    0.00       (C.Area)
+  CAR:   51.66  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    7.31  Ratio:    0.00       (Area)
+  PAR:   37.33  Ratio:  400.00       (S.Area)
+  CAR:    7.43  Ratio:    0.00       (C.Area)
+  CAR:   37.47  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _012_
+  _143_  (sky130_fd_sc_hd__or3_2)  B
+[1]  met2:
+  PAR:    3.53  Ratio:    0.00       (Area)
+  PAR:   17.97  Ratio: 2857.40       (S.Area)
+  CAR:    3.80  Ratio:    0.00       (C.Area)
+  CAR:   18.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _013_
+  _143_  (sky130_fd_sc_hd__or3_2)  C
+[1]  met2:
+  PAR:   10.48  Ratio:    0.00       (Area)
+  PAR:   53.52  Ratio: 2969.60       (S.Area)
+  CAR:   14.20  Ratio:    0.00       (C.Area)
+  CAR:   73.21  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.60  Ratio:    0.00       (Area)
+  PAR:   19.56  Ratio:  400.00       (S.Area)
+  CAR:    3.71  Ratio:    0.00       (C.Area)
+  CAR:   19.69  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _014_
+  _144_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:   12.97  Ratio:    0.00       (Area)
+  PAR:   65.19  Ratio: 2778.20       (S.Area)
+  CAR:   13.24  Ratio:    0.00       (C.Area)
+  CAR:   66.10  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _015_
+  _145_  (sky130_fd_sc_hd__buf_1)  A
+[1]  met4:
+  PAR:   49.27  Ratio:    0.00       (Area)
+  PAR:  264.83  Ratio: 2857.40       (S.Area)
+  CAR:   97.68  Ratio:    0.00       (C.Area)
+  CAR:  525.48  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:   47.96  Ratio:    0.00       (Area)
+  PAR:  258.21  Ratio:  400.00       (S.Area)
+  CAR:   48.41  Ratio:    0.00       (C.Area)
+  CAR:  260.65  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.27  Ratio:    0.00       (Area)
+  PAR:    1.85  Ratio:  400.00       (S.Area)
+  CAR:    0.44  Ratio:    0.00       (C.Area)
+  CAR:    2.44  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.10  Ratio:    0.00       (Area)
+  PAR:    0.50  Ratio:  400.00       (S.Area)
+  CAR:    0.17  Ratio:    0.00       (C.Area)
+  CAR:    0.59  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.07  Ratio:    0.00       (Area)
+  PAR:    0.09  Ratio:   75.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.09  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.20  Ratio:    6.00       (Area)
+  CAR:    0.67  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.20  Ratio:    6.00       (Area)
+  CAR:    0.47  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.11  Ratio:    6.00       (Area)
+  CAR:    0.26  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.15  Ratio:    3.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+
+Net - _016_
+  _166_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    5.05  Ratio:    0.00       (Area)
+  PAR:   25.61  Ratio: 2886.20       (S.Area)
+  CAR:    5.51  Ratio:    0.00       (C.Area)
+  CAR:   27.99  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.43  Ratio:    0.00       (Area)
+  PAR:    2.35  Ratio:  400.00       (S.Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+  CAR:    2.38  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _149_  (sky130_fd_sc_hd__a211o_4)  A1
+[1]  met1:
+  PAR:    2.41  Ratio:    0.00       (Area)
+  PAR:   12.64  Ratio:  400.00       (S.Area)
+  CAR:    2.44  Ratio:    0.00       (C.Area)
+  CAR:   12.68  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _017_
+  _166_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    5.08  Ratio:    0.00       (Area)
+  PAR:   25.56  Ratio: 2886.20       (S.Area)
+  CAR:    6.29  Ratio:    0.00       (C.Area)
+  CAR:   31.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.28  Ratio:  400.00       (S.Area)
+  CAR:    1.21  Ratio:    0.00       (C.Area)
+  CAR:    6.31  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _149_  (sky130_fd_sc_hd__a211o_4)  A2
+[1]  met2:
+  PAR:    5.08  Ratio:    0.00       (Area)
+  PAR:   25.56  Ratio: 2886.20       (S.Area)
+  CAR:    6.71  Ratio:    0.00       (C.Area)
+  CAR:   33.80  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.60  Ratio:    0.00       (Area)
+  PAR:    8.20  Ratio:  400.00       (S.Area)
+  CAR:    1.63  Ratio:    0.00       (C.Area)
+  CAR:    8.24  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _018_
+  _149_  (sky130_fd_sc_hd__a211o_4)  C1
+[1]  met2:
+  PAR:    8.63  Ratio:    0.00       (Area)
+  PAR:   43.43  Ratio: 2848.40       (S.Area)
+  CAR:    9.09  Ratio:    0.00       (C.Area)
+  CAR:   45.82  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.43  Ratio:    0.00       (Area)
+  PAR:    2.35  Ratio:  400.00       (S.Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+  CAR:    2.38  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _019_
+  _150_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    6.70  Ratio:    0.00       (Area)
+  PAR:   33.70  Ratio: 2973.50       (S.Area)
+  CAR:    6.90  Ratio:    0.00       (C.Area)
+  CAR:   34.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _177_  (sky130_fd_sc_hd__and3_4)  C
+[1]  met2:
+  PAR:    6.70  Ratio:    0.00       (Area)
+  PAR:   33.70  Ratio: 2973.50       (S.Area)
+  CAR:    6.84  Ratio:    0.00       (C.Area)
+  CAR:   34.16  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.08  Ratio:    0.00       (Area)
+  PAR:    0.40  Ratio:  400.00       (S.Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+  CAR:    0.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _020_
+  _151_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    3.21  Ratio:    0.00       (Area)
+  PAR:   16.11  Ratio: 2848.40       (S.Area)
+  CAR:    7.37  Ratio:    0.00       (C.Area)
+  CAR:   37.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.13  Ratio:    0.00       (Area)
+  PAR:   21.07  Ratio:  400.00       (S.Area)
+  CAR:    4.16  Ratio:    0.00       (C.Area)
+  CAR:   21.11  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _021_
+  _152_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    0.80  Ratio:    0.00       (Area)
+  PAR:    4.09  Ratio: 2848.40       (S.Area)
+  CAR:    1.00  Ratio:    0.00       (C.Area)
+  CAR:    5.17  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _022_
+  _160_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met3:
+  PAR:    6.17  Ratio:    0.00       (Area)
+  PAR:   33.30  Ratio: 3312.80       (S.Area)
+  CAR:    7.12  Ratio:    0.00       (C.Area)
+  CAR:   38.36  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.50  Ratio:    0.00       (Area)
+  PAR:    2.68  Ratio:  400.00       (S.Area)
+  CAR:    0.96  Ratio:    0.00       (C.Area)
+  CAR:    5.06  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.43  Ratio:    0.00       (Area)
+  PAR:    2.35  Ratio:  400.00       (S.Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+  CAR:    2.38  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _154_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met3:
+  PAR:    6.17  Ratio:    0.00       (Area)
+  PAR:   33.30  Ratio: 3312.80       (S.Area)
+  CAR:    6.73  Ratio:    0.00       (C.Area)
+  CAR:   36.21  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.50  Ratio:    0.00       (Area)
+  PAR:    2.68  Ratio:  400.00       (S.Area)
+  CAR:    0.57  Ratio:    0.00       (C.Area)
+  CAR:    2.91  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _023_
+  _155_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    4.55  Ratio:    0.00       (Area)
+  PAR:   22.84  Ratio: 2848.40       (S.Area)
+  CAR:    7.32  Ratio:    0.00       (C.Area)
+  CAR:   36.96  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.74  Ratio:    0.00       (Area)
+  PAR:   14.08  Ratio:  400.00       (S.Area)
+  CAR:    2.77  Ratio:    0.00       (C.Area)
+  CAR:   14.12  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _024_
+  _156_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.57  Ratio:    0.00       (Area)
+  PAR:    7.94  Ratio: 2848.40       (S.Area)
+  CAR:    3.17  Ratio:    0.00       (C.Area)
+  CAR:   16.20  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.57  Ratio:    0.00       (Area)
+  PAR:    8.23  Ratio:  400.00       (S.Area)
+  CAR:    1.60  Ratio:    0.00       (C.Area)
+  CAR:    8.26  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _025_
+  _159_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met2:
+  PAR:   11.84  Ratio:    0.00       (Area)
+  PAR:   59.52  Ratio: 2857.40       (S.Area)
+  CAR:   12.11  Ratio:    0.00       (C.Area)
+  CAR:   60.44  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _026_
+  _159_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:   18.26  Ratio:    0.00       (Area)
+  PAR:   91.63  Ratio: 2918.60       (S.Area)
+  CAR:   19.04  Ratio:    0.00       (C.Area)
+  CAR:   95.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _027_
+  _161_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met3:
+  PAR:   14.55  Ratio:    0.00       (Area)
+  PAR:   78.40  Ratio: 2848.40       (S.Area)
+  CAR:   19.92  Ratio:    0.00       (C.Area)
+  CAR:  105.33  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    5.30  Ratio:    0.00       (Area)
+  PAR:   26.70  Ratio:  400.00       (S.Area)
+  CAR:    5.37  Ratio:    0.00       (C.Area)
+  CAR:   26.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _028_
+  _162_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.47  Ratio:    0.00       (Area)
+  PAR:    7.46  Ratio: 2848.40       (S.Area)
+  CAR:    1.93  Ratio:    0.00       (C.Area)
+  CAR:    9.84  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.43  Ratio:    0.00       (Area)
+  PAR:    2.35  Ratio:  400.00       (S.Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+  CAR:    2.38  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _029_
+  _164_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    2.24  Ratio:    0.00       (Area)
+  PAR:   11.31  Ratio: 2848.40       (S.Area)
+  CAR:    6.31  Ratio:    0.00       (C.Area)
+  CAR:   31.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.04  Ratio:    0.00       (Area)
+  PAR:   20.59  Ratio:  400.00       (S.Area)
+  CAR:    4.07  Ratio:    0.00       (C.Area)
+  CAR:   20.62  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _030_
+  _168_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    2.44  Ratio:    0.00       (Area)
+  PAR:   12.27  Ratio: 2886.20       (S.Area)
+  CAR:    2.50  Ratio:    0.00       (C.Area)
+  CAR:   12.50  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _031_
+  _168_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met3:
+  PAR:    7.90  Ratio:    0.00       (Area)
+  PAR:   42.91  Ratio: 2956.40       (S.Area)
+  CAR:   16.17  Ratio:    0.00       (C.Area)
+  CAR:   85.37  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    6.97  Ratio:    0.00       (Area)
+  PAR:   35.27  Ratio:  400.00       (S.Area)
+  CAR:    8.28  Ratio:    0.00       (C.Area)
+  CAR:   42.46  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.27  Ratio:    0.00       (Area)
+  PAR:    7.16  Ratio:  400.00       (S.Area)
+  CAR:    1.30  Ratio:    0.00       (C.Area)
+  CAR:    7.19  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _032_
+  _170_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    0.80  Ratio:    0.00       (Area)
+  PAR:    4.09  Ratio: 2848.40       (S.Area)
+  CAR:    0.87  Ratio:    0.00       (C.Area)
+  CAR:    4.33  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _033_
+  _172_  (sky130_fd_sc_hd__nor4_2)  D
+[1]  met2:
+  PAR:   11.51  Ratio:    0.00       (Area)
+  PAR:   57.86  Ratio: 2848.40       (S.Area)
+  CAR:   12.23  Ratio:    0.00       (C.Area)
+  CAR:   61.54  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.69  Ratio:    0.00       (Area)
+  PAR:    3.65  Ratio:  400.00       (S.Area)
+  CAR:    0.72  Ratio:    0.00       (C.Area)
+  CAR:    3.68  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _034_
+  _173_  (sky130_fd_sc_hd__a211o_4)  C1
+[1]  met2:
+  PAR:    1.76  Ratio:    0.00       (Area)
+  PAR:    8.90  Ratio: 2988.80       (S.Area)
+  CAR:    4.92  Ratio:    0.00       (C.Area)
+  CAR:   24.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.13  Ratio:    0.00       (Area)
+  PAR:   16.04  Ratio:  400.00       (S.Area)
+  CAR:    3.16  Ratio:    0.00       (C.Area)
+  CAR:   16.07  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _035_
+  _177_  (sky130_fd_sc_hd__and3_4)  B
+[1]  met2:
+  PAR:    3.05  Ratio:    0.00       (Area)
+  PAR:   15.43  Ratio: 2778.20       (S.Area)
+  CAR:    7.17  Ratio:    0.00       (C.Area)
+  CAR:   36.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.05  Ratio:    0.00       (Area)
+  PAR:    6.05  Ratio:  400.00       (S.Area)
+  CAR:    1.11  Ratio:    0.00       (C.Area)
+  CAR:    6.12  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _175_  (sky130_fd_sc_hd__nor3_2)  C
+[1]  met2:
+  PAR:    3.05  Ratio:    0.00       (Area)
+  PAR:   15.43  Ratio: 2778.20       (S.Area)
+  CAR:    6.09  Ratio:    0.00       (C.Area)
+  CAR:   30.72  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.01  Ratio:    0.00       (Area)
+  PAR:   15.26  Ratio:  400.00       (S.Area)
+  CAR:    3.04  Ratio:    0.00       (C.Area)
+  CAR:   15.29  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _036_
+  _176_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    6.19  Ratio:    0.00       (Area)
+  PAR:   31.02  Ratio: 2918.60       (S.Area)
+  CAR:    6.26  Ratio:    0.00       (C.Area)
+  CAR:   31.25  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _037_
+  _178_  (sky130_fd_sc_hd__nor2_4)  A
+[1]  met2:
+  PAR:    3.81  Ratio:    0.00       (Area)
+  PAR:   19.12  Ratio: 2848.40       (S.Area)
+  CAR:    3.98  Ratio:    0.00       (C.Area)
+  CAR:   19.98  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.15  Ratio:    0.00       (Area)
+  PAR:    0.85  Ratio:  400.00       (S.Area)
+  CAR:    0.16  Ratio:    0.00       (C.Area)
+  CAR:    0.87  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.02  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.02  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.03  Ratio:    3.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+
+Net - _038_
+  _178_  (sky130_fd_sc_hd__nor2_4)  B
+[1]  met2:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    1.57  Ratio: 2969.60       (S.Area)
+  CAR:    0.97  Ratio:    0.00       (C.Area)
+  CAR:    5.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.65  Ratio:    0.00       (Area)
+  PAR:    3.46  Ratio:  400.00       (S.Area)
+  CAR:    0.67  Ratio:    0.00       (C.Area)
+  CAR:    3.48  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.02  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.02  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.03  Ratio:    3.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+
+Net - _039_
+  _180_  (sky130_fd_sc_hd__nor4_2)  C
+[1]  met2:
+  PAR:    8.82  Ratio:    0.00       (Area)
+  PAR:   44.40  Ratio: 2848.40       (S.Area)
+  CAR:    8.89  Ratio:    0.00       (C.Area)
+  CAR:   44.63  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _040_
+  _181_  (sky130_fd_sc_hd__or3_2)  C
+[1]  met2:
+  PAR:    5.79  Ratio:    0.00       (Area)
+  PAR:   29.30  Ratio: 2988.80       (S.Area)
+  CAR:    6.06  Ratio:    0.00       (C.Area)
+  CAR:   30.21  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _041_
+  _117_  (sky130_fd_sc_hd__and3_2)  A
+[1]  met2:
+  PAR:    9.08  Ratio:    0.00       (Area)
+  PAR:   46.52  Ratio: 2778.20       (S.Area)
+  CAR:    9.86  Ratio:    0.00       (C.Area)
+  CAR:   50.77  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    7.96  Ratio:    0.00       (Area)
+  PAR:   43.84  Ratio: 2778.20       (S.Area)
+  CAR:   17.82  Ratio:    0.00       (C.Area)
+  CAR:   94.61  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _080_  (sky130_fd_sc_hd__nor3_2)  C
+[1]  met3:
+  PAR:    7.96  Ratio:    0.00       (Area)
+  PAR:   43.84  Ratio: 2778.20       (S.Area)
+  CAR:    8.13  Ratio:    0.00       (C.Area)
+  CAR:   44.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.74  Ratio:  400.00       (S.Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+  CAR:    0.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _042_
+  _081_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    5.11  Ratio:    0.00       (Area)
+  PAR:   26.21  Ratio: 2918.60       (S.Area)
+  CAR:    5.76  Ratio:    0.00       (C.Area)
+  CAR:   29.95  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.62  Ratio:    0.00       (Area)
+  PAR:    3.71  Ratio:  400.00       (S.Area)
+  CAR:    0.65  Ratio:    0.00       (C.Area)
+  CAR:    3.74  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _043_
+  _118_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    4.40  Ratio:    0.00       (Area)
+  PAR:   22.28  Ratio: 2848.40       (S.Area)
+  CAR:    6.35  Ratio:    0.00       (C.Area)
+  CAR:   32.33  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.92  Ratio:    0.00       (Area)
+  PAR:   10.01  Ratio:  400.00       (S.Area)
+  CAR:    1.95  Ratio:    0.00       (C.Area)
+  CAR:   10.05  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _044_
+  _124_  (sky130_fd_sc_hd__and3_2)  A
+[1]  met2:
+  PAR:    2.66  Ratio:    0.00       (Area)
+  PAR:   13.64  Ratio: 3312.80       (S.Area)
+  CAR:   10.97  Ratio:    0.00       (C.Area)
+  CAR:   57.11  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    8.20  Ratio:    0.00       (Area)
+  PAR:   43.33  Ratio:  400.00       (S.Area)
+  CAR:    8.31  Ratio:    0.00       (C.Area)
+  CAR:   43.47  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _087_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.66  Ratio:    0.00       (Area)
+  PAR:   13.64  Ratio: 3312.80       (S.Area)
+  CAR:   11.55  Ratio:    0.00       (C.Area)
+  CAR:   60.68  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.66  Ratio:    0.00       (Area)
+  PAR:    3.68  Ratio:  400.00       (S.Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+  CAR:    3.71  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _091_  (sky130_fd_sc_hd__and3_2)  A
+[1]  met2:
+  PAR:    2.66  Ratio:    0.00       (Area)
+  PAR:   13.64  Ratio: 3312.80       (S.Area)
+  CAR:    2.93  Ratio:    0.00       (C.Area)
+  CAR:   14.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _084_  (sky130_fd_sc_hd__or2_4)  A
+[1]  met1:
+  PAR:    0.08  Ratio:    0.00       (Area)
+  PAR:    0.40  Ratio:  400.00       (S.Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+  CAR:    0.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _045_
+  _091_  (sky130_fd_sc_hd__and3_2)  B
+[1]  met2:
+  PAR:    2.22  Ratio:    0.00       (Area)
+  PAR:   11.35  Ratio: 3312.80       (S.Area)
+  CAR:   12.71  Ratio:    0.00       (C.Area)
+  CAR:   65.70  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   10.38  Ratio:    0.00       (Area)
+  PAR:   54.22  Ratio:  400.00       (S.Area)
+  CAR:   10.49  Ratio:    0.00       (C.Area)
+  CAR:   54.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.54  Ratio:    6.00       (Area)
+  CAR:    0.77  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _084_  (sky130_fd_sc_hd__or2_4)  B
+[1]  met2:
+  PAR:    2.22  Ratio:    0.00       (Area)
+  PAR:   11.35  Ratio: 3312.80       (S.Area)
+  CAR:   15.34  Ratio:    0.00       (C.Area)
+  CAR:   79.44  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.68  Ratio:    0.00       (Area)
+  PAR:   13.80  Ratio:  400.00       (S.Area)
+  CAR:    2.74  Ratio:    0.00       (C.Area)
+  CAR:   13.87  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _088_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    2.22  Ratio:    0.00       (Area)
+  PAR:   11.35  Ratio: 3312.80       (S.Area)
+  CAR:   16.63  Ratio:    0.00       (C.Area)
+  CAR:   86.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.00  Ratio:    0.00       (Area)
+  PAR:   20.62  Ratio:  400.00       (S.Area)
+  CAR:    4.03  Ratio:    0.00       (C.Area)
+  CAR:   20.65  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _122_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    2.22  Ratio:    0.00       (Area)
+  PAR:   11.35  Ratio: 3312.80       (S.Area)
+  CAR:   16.67  Ratio:    0.00       (C.Area)
+  CAR:   86.42  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _173_  (sky130_fd_sc_hd__a211o_4)  A2
+[1]  met2:
+  PAR:    2.22  Ratio:    0.00       (Area)
+  PAR:   11.35  Ratio: 3312.80       (S.Area)
+  CAR:   16.93  Ratio:    0.00       (C.Area)
+  CAR:   87.49  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.30  Ratio:    0.00       (Area)
+  PAR:   21.89  Ratio:  400.00       (S.Area)
+  CAR:    4.33  Ratio:    0.00       (C.Area)
+  CAR:   21.93  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _046_
+  _096_  (sky130_fd_sc_hd__or2_4)  B
+[1]  met3:
+  PAR:    3.90  Ratio:    0.00       (Area)
+  PAR:   22.90  Ratio: 2956.40       (S.Area)
+  CAR:   13.81  Ratio:    0.00       (C.Area)
+  CAR:   73.42  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    4.64  Ratio:    0.00       (Area)
+  PAR:   23.59  Ratio:  400.00       (S.Area)
+  CAR:    9.91  Ratio:    0.00       (C.Area)
+  CAR:   50.53  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.22  Ratio:    0.00       (Area)
+  PAR:   26.87  Ratio:  400.00       (S.Area)
+  CAR:    5.27  Ratio:    0.00       (C.Area)
+  CAR:   26.94  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _113_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met3:
+  PAR:    3.90  Ratio:    0.00       (Area)
+  PAR:   22.90  Ratio: 2956.40       (S.Area)
+  CAR:   28.26  Ratio:    0.00       (C.Area)
+  CAR:  151.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:   18.22  Ratio:    0.00       (Area)
+  PAR:   95.78  Ratio:  400.00       (S.Area)
+  CAR:   24.36  Ratio:    0.00       (C.Area)
+  CAR:  128.36  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    6.02  Ratio:    0.00       (Area)
+  PAR:   32.44  Ratio:  400.00       (S.Area)
+  CAR:    6.14  Ratio:    0.00       (C.Area)
+  CAR:   32.58  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _101_  (sky130_fd_sc_hd__nand3_2)  A
+[1]  met1:
+  PAR:    0.43  Ratio:    0.00       (Area)
+  PAR:    2.35  Ratio:  400.00       (S.Area)
+  CAR:    0.46  Ratio:    0.00       (C.Area)
+  CAR:    2.38  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _047_
+  _123_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.08  Ratio: 3134.60       (S.Area)
+  CAR:    2.88  Ratio:    0.00       (C.Area)
+  CAR:   15.23  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.66  Ratio:    0.00       (Area)
+  PAR:    9.11  Ratio:  400.00       (S.Area)
+  CAR:    1.69  Ratio:    0.00       (C.Area)
+  CAR:    9.14  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _086_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met2:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.08  Ratio: 3134.60       (S.Area)
+  CAR:    4.01  Ratio:    0.00       (C.Area)
+  CAR:   20.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.71  Ratio:    0.00       (Area)
+  PAR:   14.33  Ratio:  400.00       (S.Area)
+  CAR:    2.83  Ratio:    0.00       (C.Area)
+  CAR:   14.47  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _087_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.08  Ratio: 3134.60       (S.Area)
+  CAR:    6.80  Ratio:    0.00       (C.Area)
+  CAR:   35.04  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.59  Ratio:    0.00       (Area)
+  PAR:   28.92  Ratio:  400.00       (S.Area)
+  CAR:    5.62  Ratio:    0.00       (C.Area)
+  CAR:   28.95  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.14  Ratio:    6.00       (Area)
+  CAR:    0.19  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _124_  (sky130_fd_sc_hd__and3_2)  C
+[1]  met2:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.08  Ratio: 3134.60       (S.Area)
+  CAR:   10.11  Ratio:    0.00       (C.Area)
+  CAR:   52.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.22  Ratio:    0.00       (Area)
+  PAR:   16.89  Ratio:  400.00       (S.Area)
+  CAR:    3.34  Ratio:    0.00       (C.Area)
+  CAR:   17.02  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _090_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.08  Ratio: 3134.60       (S.Area)
+  CAR:    6.82  Ratio:    0.00       (C.Area)
+  CAR:   35.27  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.26  Ratio:  400.00       (S.Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+  CAR:    0.27  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+
+Net - _048_
+  _101_  (sky130_fd_sc_hd__nand3_2)  B
+[1]  met2:
+  PAR:    2.24  Ratio:    0.00       (Area)
+  PAR:   11.31  Ratio: 2778.20       (S.Area)
+  CAR:    5.92  Ratio:    0.00       (C.Area)
+  CAR:   29.98  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.65  Ratio:    0.00       (Area)
+  PAR:   18.64  Ratio:  400.00       (S.Area)
+  CAR:    3.68  Ratio:    0.00       (C.Area)
+  CAR:   18.67  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _049_
+  _088_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    2.12  Ratio:    0.00       (Area)
+  PAR:   10.86  Ratio: 2848.40       (S.Area)
+  CAR:    2.19  Ratio:    0.00       (C.Area)
+  CAR:   11.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _149_  (sky130_fd_sc_hd__a211o_4)  B1
+[1]  met1:
+  PAR:    0.82  Ratio:    0.00       (Area)
+  PAR:    4.30  Ratio:  400.00       (S.Area)
+  CAR:    0.85  Ratio:    0.00       (C.Area)
+  CAR:    4.33  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _050_
+  _140_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met4:
+  PAR:    2.67  Ratio:    0.00       (Area)
+  PAR:   14.39  Ratio: 2886.20       (S.Area)
+  CAR:   17.22  Ratio:    0.00       (C.Area)
+  CAR:   95.15  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    4.70  Ratio:    0.00       (Area)
+  PAR:   28.84  Ratio:  400.00       (S.Area)
+  CAR:   14.55  Ratio:    0.00       (C.Area)
+  CAR:   80.75  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    3.07  Ratio:    0.00       (Area)
+  PAR:   16.11  Ratio:  400.00       (S.Area)
+  CAR:    9.85  Ratio:    0.00       (C.Area)
+  CAR:   51.91  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    6.67  Ratio:    0.00       (Area)
+  PAR:   35.67  Ratio:  400.00       (S.Area)
+  CAR:    6.78  Ratio:    0.00       (C.Area)
+  CAR:   35.80  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    1.04  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _089_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.18  Ratio:  400.00       (S.Area)
+  CAR:    0.62  Ratio:    0.00       (C.Area)
+  CAR:    3.23  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.59  Ratio:    0.00       (Area)
+  PAR:    3.03  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.04  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _129_  (sky130_fd_sc_hd__a32o_4)  A3
+[1]  met2:
+  PAR:    5.43  Ratio:    0.00       (Area)
+  PAR:   27.82  Ratio: 2886.20       (S.Area)
+  CAR:    5.50  Ratio:    0.00       (C.Area)
+  CAR:   28.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.80  Ratio:    0.00       (Area)
+  PAR:    4.64  Ratio: 2886.20       (S.Area)
+  CAR:    6.30  Ratio:    0.00       (C.Area)
+  CAR:   32.69  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.67  Ratio:    0.00       (Area)
+  PAR:   14.39  Ratio: 2886.20       (S.Area)
+  CAR:    8.97  Ratio:    0.00       (C.Area)
+  CAR:   47.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.28  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _051_
+  _092_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met3:
+  PAR:    4.83  Ratio:    0.00       (Area)
+  PAR:   27.58  Ratio: 3312.80       (S.Area)
+  CAR:   15.95  Ratio:    0.00       (C.Area)
+  CAR:   85.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    4.56  Ratio:    0.00       (Area)
+  PAR:   23.17  Ratio:  400.00       (S.Area)
+  CAR:   11.13  Ratio:    0.00       (C.Area)
+  CAR:   58.30  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    6.46  Ratio:    0.00       (Area)
+  PAR:   35.00  Ratio:  400.00       (S.Area)
+  CAR:    6.57  Ratio:    0.00       (C.Area)
+  CAR:   35.13  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.48  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.32  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _143_  (sky130_fd_sc_hd__or3_2)  A
+[1]  met3:
+  PAR:    4.83  Ratio:    0.00       (Area)
+  PAR:   27.58  Ratio: 3312.80       (S.Area)
+  CAR:   15.95  Ratio:    0.00       (C.Area)
+  CAR:   85.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    4.56  Ratio:    0.00       (Area)
+  PAR:   23.17  Ratio:  400.00       (S.Area)
+  CAR:   11.13  Ratio:    0.00       (C.Area)
+  CAR:   58.30  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    6.46  Ratio:    0.00       (Area)
+  PAR:   35.00  Ratio:  400.00       (S.Area)
+  CAR:    6.57  Ratio:    0.00       (C.Area)
+  CAR:   35.13  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.48  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.32  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _129_  (sky130_fd_sc_hd__a32o_4)  B2
+[1]  met1:
+  PAR:    3.87  Ratio:    0.00       (Area)
+  PAR:   19.83  Ratio: 3312.80       (S.Area)
+  CAR:    3.90  Ratio:    0.00       (C.Area)
+  CAR:   19.87  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.66  Ratio:    0.00       (Area)
+  PAR:   13.37  Ratio: 3312.80       (S.Area)
+  CAR:    6.56  Ratio:    0.00       (C.Area)
+  CAR:   33.24  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    4.83  Ratio:    0.00       (Area)
+  PAR:   27.58  Ratio: 3312.80       (S.Area)
+  CAR:   11.38  Ratio:    0.00       (C.Area)
+  CAR:   60.81  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _052_
+  _091_  (sky130_fd_sc_hd__and3_2)  C
+[1]  met2:
+  PAR:    4.16  Ratio:    0.00       (Area)
+  PAR:   21.48  Ratio: 3312.80       (S.Area)
+  CAR:   12.60  Ratio:    0.00       (C.Area)
+  CAR:   64.06  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    8.33  Ratio:    0.00       (Area)
+  PAR:   42.44  Ratio:  400.00       (S.Area)
+  CAR:    8.45  Ratio:    0.00       (C.Area)
+  CAR:   42.58  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _173_  (sky130_fd_sc_hd__a211o_4)  A1
+[1]  met2:
+  PAR:    4.16  Ratio:    0.00       (Area)
+  PAR:   21.48  Ratio: 3312.80       (S.Area)
+  CAR:    4.22  Ratio:    0.00       (C.Area)
+  CAR:   21.71  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _113_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met4:
+  PAR:    6.49  Ratio:    0.00       (Area)
+  PAR:   35.10  Ratio: 3312.80       (S.Area)
+  CAR:   16.00  Ratio:    0.00       (C.Area)
+  CAR:   88.70  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    5.80  Ratio:    0.00       (Area)
+  PAR:   34.68  Ratio:  400.00       (S.Area)
+  CAR:    9.51  Ratio:    0.00       (C.Area)
+  CAR:   53.60  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    3.44  Ratio:    0.00       (Area)
+  PAR:   18.00  Ratio:  400.00       (S.Area)
+  CAR:    3.71  Ratio:    0.00       (C.Area)
+  CAR:   18.91  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    1.04  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _053_
+  _114_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:    7.81  Ratio:    0.00       (Area)
+  PAR:   40.10  Ratio: 2778.20       (S.Area)
+  CAR:    8.08  Ratio:    0.00       (C.Area)
+  CAR:   41.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _092_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:    7.81  Ratio:    0.00       (Area)
+  PAR:   40.10  Ratio: 2778.20       (S.Area)
+  CAR:   25.26  Ratio:    0.00       (C.Area)
+  CAR:  130.04  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.07  Ratio:    0.00       (Area)
+  PAR:   11.89  Ratio:  400.00       (S.Area)
+  CAR:    2.18  Ratio:    0.00       (C.Area)
+  CAR:   12.02  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _125_  (sky130_fd_sc_hd__or2_4)  A
+[1]  met2:
+  PAR:    7.81  Ratio:    0.00       (Area)
+  PAR:   40.10  Ratio: 2778.20       (S.Area)
+  CAR:   23.13  Ratio:    0.00       (C.Area)
+  CAR:  118.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   15.27  Ratio:    0.00       (Area)
+  PAR:   77.92  Ratio:  400.00       (S.Area)
+  CAR:   15.33  Ratio:    0.00       (C.Area)
+  CAR:   77.99  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.30  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - _054_
+  _094_  (sky130_fd_sc_hd__buf_2)  A
+[1]  met2:
+  PAR:    2.20  Ratio:    0.00       (Area)
+  PAR:   11.25  Ratio: 2778.20       (S.Area)
+  CAR:    2.41  Ratio:    0.00       (C.Area)
+  CAR:   11.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.12  Ratio:    0.00       (Area)
+  PAR:    0.62  Ratio:  400.00       (S.Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+  CAR:    0.72  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.09  Ratio:    0.00       (Area)
+  PAR:    0.11  Ratio:   75.00       (S.Area)
+  CAR:    0.09  Ratio:    0.00       (C.Area)
+  CAR:    0.11  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.14  Ratio:    6.00       (Area)
+  CAR:    0.32  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.18  Ratio:    3.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+
+Net - _055_
+  _110_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    1.12  Ratio:    0.00       (Area)
+  PAR:    5.70  Ratio: 2778.20       (S.Area)
+  CAR:    5.14  Ratio:    0.00       (C.Area)
+  CAR:   26.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.99  Ratio:    0.00       (Area)
+  PAR:   21.14  Ratio:  400.00       (S.Area)
+  CAR:    4.02  Ratio:    0.00       (C.Area)
+  CAR:   21.18  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _095_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    1.12  Ratio:    0.00       (Area)
+  PAR:    5.70  Ratio: 2778.20       (S.Area)
+  CAR:    5.71  Ratio:    0.00       (C.Area)
+  CAR:   29.89  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.59  Ratio:    0.00       (Area)
+  PAR:    3.03  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.04  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _100_  (sky130_fd_sc_hd__o22a_4)  B2
+[1]  met2:
+  PAR:    1.12  Ratio:    0.00       (Area)
+  PAR:    5.70  Ratio: 2778.20       (S.Area)
+  CAR:    6.45  Ratio:    0.00       (C.Area)
+  CAR:   34.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.31  Ratio:    0.00       (Area)
+  PAR:    7.13  Ratio:  400.00       (S.Area)
+  CAR:    1.34  Ratio:    0.00       (C.Area)
+  CAR:    7.16  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _056_
+  _111_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    4.05  Ratio:    0.00       (Area)
+  PAR:   20.61  Ratio: 3312.80       (S.Area)
+  CAR:    4.12  Ratio:    0.00       (C.Area)
+  CAR:   20.84  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _100_  (sky130_fd_sc_hd__o22a_4)  A1
+[1]  met1:
+  PAR:    2.09  Ratio:    0.00       (Area)
+  PAR:   10.83  Ratio:  400.00       (S.Area)
+  CAR:    2.12  Ratio:    0.00       (C.Area)
+  CAR:   10.87  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _057_
+  _097_  (sky130_fd_sc_hd__buf_6)  A
+[1]  met2:
+  PAR:    2.63  Ratio:    0.00       (Area)
+  PAR:   13.23  Ratio: 2956.40       (S.Area)
+  CAR:    4.13  Ratio:    0.00       (C.Area)
+  CAR:   20.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.47  Ratio:    0.00       (Area)
+  PAR:    7.55  Ratio:  400.00       (S.Area)
+  CAR:    1.50  Ratio:    0.00       (C.Area)
+  CAR:    7.59  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _058_
+  _104_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met2:
+  PAR:    1.55  Ratio:    0.00       (Area)
+  PAR:    7.87  Ratio: 3134.60       (S.Area)
+  CAR:    3.87  Ratio:    0.00       (C.Area)
+  CAR:   19.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.20  Ratio:    0.00       (Area)
+  PAR:   11.78  Ratio:  400.00       (S.Area)
+  CAR:    2.31  Ratio:    0.00       (C.Area)
+  CAR:   11.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _098_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    1.55  Ratio:    0.00       (Area)
+  PAR:    7.87  Ratio: 3134.60       (S.Area)
+  CAR:    1.57  Ratio:    0.00       (C.Area)
+  CAR:    7.92  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.05  Ratio:  400.00       (S.Area)
+  CAR:    0.02  Ratio:    0.00       (C.Area)
+  CAR:    0.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _099_  (sky130_fd_sc_hd__a32o_4)  A3
+[1]  met2:
+  PAR:    1.55  Ratio:    0.00       (Area)
+  PAR:    7.87  Ratio: 3134.60       (S.Area)
+  CAR:    3.47  Ratio:    0.00       (C.Area)
+  CAR:   18.14  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.89  Ratio:    0.00       (Area)
+  PAR:   10.24  Ratio:  400.00       (S.Area)
+  CAR:    1.92  Ratio:    0.00       (C.Area)
+  CAR:   10.27  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _059_
+  _107_  (sky130_fd_sc_hd__or3_2)  A
+[1]  met2:
+  PAR:    4.00  Ratio:    0.00       (Area)
+  PAR:   20.27  Ratio: 3312.80       (S.Area)
+  CAR:    9.38  Ratio:    0.00       (C.Area)
+  CAR:   47.51  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.27  Ratio:    0.00       (Area)
+  PAR:   27.11  Ratio:  400.00       (S.Area)
+  CAR:    5.38  Ratio:    0.00       (C.Area)
+  CAR:   27.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _125_  (sky130_fd_sc_hd__or2_4)  B
+[1]  met2:
+  PAR:    4.00  Ratio:    0.00       (Area)
+  PAR:   20.27  Ratio: 3312.80       (S.Area)
+  CAR:    5.96  Ratio:    0.00       (C.Area)
+  CAR:   30.23  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.90  Ratio:    0.00       (Area)
+  PAR:    9.90  Ratio:  400.00       (S.Area)
+  CAR:    1.96  Ratio:    0.00       (C.Area)
+  CAR:    9.97  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+  _099_  (sky130_fd_sc_hd__a32o_4)  B2
+[1]  met2:
+  PAR:    4.00  Ratio:    0.00       (Area)
+  PAR:   20.27  Ratio: 3312.80       (S.Area)
+  CAR:    4.20  Ratio:    0.00       (C.Area)
+  CAR:   21.35  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _060_
+  _160_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.96  Ratio:    0.00       (Area)
+  PAR:   10.48  Ratio: 2994.20       (S.Area)
+  CAR:   26.20  Ratio:    0.00       (C.Area)
+  CAR:  133.15  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _117_  (sky130_fd_sc_hd__and3_2)  B
+[1]  met2:
+  PAR:    1.96  Ratio:    0.00       (Area)
+  PAR:   10.48  Ratio: 2994.20       (S.Area)
+  CAR:   26.25  Ratio:    0.00       (C.Area)
+  CAR:  133.06  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   24.18  Ratio:    0.00       (Area)
+  PAR:  122.44  Ratio:  400.00       (S.Area)
+  CAR:   24.29  Ratio:    0.00       (C.Area)
+  CAR:  122.58  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _061_
+  _103_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met4:
+  PAR:    5.13  Ratio:    0.00       (Area)
+  PAR:   27.88  Ratio: 3312.80       (S.Area)
+  CAR:   30.42  Ratio:    0.00       (C.Area)
+  CAR:  158.90  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.36  Ratio:    0.00       (Area)
+  PAR:   13.21  Ratio:  400.00       (S.Area)
+  CAR:   25.28  Ratio:    0.00       (C.Area)
+  CAR:  131.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.37  Ratio:    0.00       (Area)
+  PAR:    7.39  Ratio:  400.00       (S.Area)
+  CAR:   22.92  Ratio:    0.00       (C.Area)
+  CAR:  117.81  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   21.43  Ratio:    0.00       (Area)
+  PAR:  110.28  Ratio:  400.00       (S.Area)
+  CAR:   21.55  Ratio:    0.00       (C.Area)
+  CAR:  110.41  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.64  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _157_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met4:
+  PAR:    5.13  Ratio:    0.00       (Area)
+  PAR:   27.88  Ratio: 3312.80       (S.Area)
+  CAR:   30.57  Ratio:    0.00       (C.Area)
+  CAR:  159.68  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.36  Ratio:    0.00       (Area)
+  PAR:   13.21  Ratio:  400.00       (S.Area)
+  CAR:   25.44  Ratio:    0.00       (C.Area)
+  CAR:  131.79  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.37  Ratio:    0.00       (Area)
+  PAR:    7.39  Ratio:  400.00       (S.Area)
+  CAR:   23.08  Ratio:    0.00       (C.Area)
+  CAR:  118.58  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.87  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.82  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _158_  (sky130_fd_sc_hd__nor3_2)  A
+[1]  met4:
+  PAR:    5.13  Ratio:    0.00       (Area)
+  PAR:   27.88  Ratio: 3312.80       (S.Area)
+  CAR:   30.70  Ratio:    0.00       (C.Area)
+  CAR:  161.00  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.36  Ratio:    0.00       (Area)
+  PAR:   13.21  Ratio:  400.00       (S.Area)
+  CAR:   25.56  Ratio:    0.00       (C.Area)
+  CAR:  133.12  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.37  Ratio:    0.00       (Area)
+  PAR:    7.39  Ratio:  400.00       (S.Area)
+  CAR:   23.20  Ratio:    0.00       (C.Area)
+  CAR:  119.91  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.36  Ratio:    0.00       (Area)
+  PAR:    2.21  Ratio:  400.00       (S.Area)
+  CAR:    0.39  Ratio:    0.00       (C.Area)
+  CAR:    2.24  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.57  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.51  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _062_
+  _104_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:    6.52  Ratio:    0.00       (Area)
+  PAR:   33.14  Ratio: 2857.40       (S.Area)
+  CAR:    6.79  Ratio:    0.00       (C.Area)
+  CAR:   34.06  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.08  Ratio:    0.00       (Area)
+  PAR:   11.43  Ratio: 2857.40       (S.Area)
+  CAR:    8.87  Ratio:    0.00       (C.Area)
+  CAR:   45.48  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.47  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _119_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met3:
+  PAR:    2.08  Ratio:    0.00       (Area)
+  PAR:   11.43  Ratio: 2857.40       (S.Area)
+  CAR:    2.26  Ratio:    0.00       (C.Area)
+  CAR:   12.39  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.74  Ratio:  400.00       (S.Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+  CAR:    0.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _172_  (sky130_fd_sc_hd__nor4_2)  C
+[1]  met2:
+  PAR:    6.52  Ratio:    0.00       (Area)
+  PAR:   33.14  Ratio: 2857.40       (S.Area)
+  CAR:    7.66  Ratio:    0.00       (C.Area)
+  CAR:   39.12  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.08  Ratio:    0.00       (Area)
+  PAR:   11.43  Ratio: 2857.40       (S.Area)
+  CAR:    9.74  Ratio:    0.00       (C.Area)
+  CAR:   50.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.11  Ratio:    0.00       (Area)
+  PAR:    5.94  Ratio:  400.00       (S.Area)
+  CAR:    1.14  Ratio:    0.00       (C.Area)
+  CAR:    5.97  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.17  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _063_
+  _108_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met2:
+  PAR:    5.79  Ratio:    0.00       (Area)
+  PAR:   29.30  Ratio: 2778.20       (S.Area)
+  CAR:    6.57  Ratio:    0.00       (C.Area)
+  CAR:   33.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _064_
+  _107_  (sky130_fd_sc_hd__or3_2)  B
+[1]  met2:
+  PAR:   15.99  Ratio:    0.00       (Area)
+  PAR:   80.30  Ratio: 2857.40       (S.Area)
+  CAR:   17.80  Ratio:    0.00       (C.Area)
+  CAR:   89.66  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.69  Ratio:    0.00       (Area)
+  PAR:    9.22  Ratio:  400.00       (S.Area)
+  CAR:    1.80  Ratio:    0.00       (C.Area)
+  CAR:    9.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _065_
+  _107_  (sky130_fd_sc_hd__or3_2)  C
+[1]  met2:
+  PAR:   20.90  Ratio:    0.00       (Area)
+  PAR:  104.86  Ratio: 2969.60       (S.Area)
+  CAR:   21.17  Ratio:    0.00       (C.Area)
+  CAR:  105.77  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _066_
+  _108_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:    3.90  Ratio:    0.00       (Area)
+  PAR:   19.86  Ratio: 2778.20       (S.Area)
+  CAR:    4.17  Ratio:    0.00       (C.Area)
+  CAR:   20.77  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _067_
+  _109_  (sky130_fd_sc_hd__buf_1)  A
+[1]  met2:
+  PAR:    1.78  Ratio:    0.00       (Area)
+  PAR:    9.10  Ratio: 2857.40       (S.Area)
+  CAR:    1.95  Ratio:    0.00       (C.Area)
+  CAR:    9.68  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.10  Ratio:    0.00       (Area)
+  PAR:    0.50  Ratio:  400.00       (S.Area)
+  CAR:    0.17  Ratio:    0.00       (C.Area)
+  CAR:    0.59  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.07  Ratio:    0.00       (Area)
+  PAR:    0.09  Ratio:   75.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.09  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.11  Ratio:    6.00       (Area)
+  CAR:    0.26  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.15  Ratio:    3.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+
+Net - _068_
+  _112_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met2:
+  PAR:    0.71  Ratio:    0.00       (Area)
+  PAR:    3.61  Ratio: 2886.20       (S.Area)
+  CAR:    0.77  Ratio:    0.00       (C.Area)
+  CAR:    3.84  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _069_
+  _112_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    0.71  Ratio:    0.00       (Area)
+  PAR:    3.61  Ratio: 2886.20       (S.Area)
+  CAR:    0.77  Ratio:    0.00       (C.Area)
+  CAR:    3.84  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _070_
+  _115_  (sky130_fd_sc_hd__nand2_2)  A
+[1]  met3:
+  PAR:    3.40  Ratio:    0.00       (Area)
+  PAR:   18.92  Ratio: 2778.20       (S.Area)
+  CAR:    4.19  Ratio:    0.00       (C.Area)
+  CAR:   23.17  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.20  Ratio:    0.00       (Area)
+  PAR:    1.22  Ratio:  400.00       (S.Area)
+  CAR:    0.79  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.56  Ratio:    0.00       (Area)
+  PAR:    3.00  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _071_
+  _115_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    1.19  Ratio:    0.00       (Area)
+  PAR:    6.02  Ratio: 2778.20       (S.Area)
+  CAR:    3.40  Ratio:    0.00       (C.Area)
+  CAR:   17.36  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.18  Ratio:    0.00       (Area)
+  PAR:   11.31  Ratio:  400.00       (S.Area)
+  CAR:    2.21  Ratio:    0.00       (C.Area)
+  CAR:   11.35  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _072_
+  _116_  (sky130_fd_sc_hd__nand2_2)  B
+[1]  met2:
+  PAR:    3.30  Ratio:    0.00       (Area)
+  PAR:   16.59  Ratio: 2886.20       (S.Area)
+  CAR:    3.89  Ratio:    0.00       (C.Area)
+  CAR:   19.63  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.56  Ratio:    0.00       (Area)
+  PAR:    3.00  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _073_
+  _154_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    3.81  Ratio:    0.00       (Area)
+  PAR:   19.29  Ratio: 2886.20       (S.Area)
+  CAR:   33.17  Ratio:    0.00       (C.Area)
+  CAR:  167.52  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _117_  (sky130_fd_sc_hd__and3_2)  C
+[1]  met2:
+  PAR:    3.81  Ratio:    0.00       (Area)
+  PAR:   19.29  Ratio: 2886.20       (S.Area)
+  CAR:   33.22  Ratio:    0.00       (C.Area)
+  CAR:  167.43  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   29.29  Ratio:    0.00       (Area)
+  PAR:  148.00  Ratio:  400.00       (S.Area)
+  CAR:   29.40  Ratio:    0.00       (C.Area)
+  CAR:  148.13  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - _074_
+  _118_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met3:
+  PAR:    9.81  Ratio:    0.00       (Area)
+  PAR:   53.12  Ratio: 2778.20       (S.Area)
+  CAR:   10.85  Ratio:    0.00       (C.Area)
+  CAR:   58.42  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.97  Ratio:    0.00       (Area)
+  PAR:    5.06  Ratio:  400.00       (S.Area)
+  CAR:    1.04  Ratio:    0.00       (C.Area)
+  CAR:    5.29  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _075_
+  _120_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.47  Ratio:    0.00       (Area)
+  PAR:    7.46  Ratio: 2848.40       (S.Area)
+  CAR:    2.06  Ratio:    0.00       (C.Area)
+  CAR:   10.49  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.56  Ratio:    0.00       (Area)
+  PAR:    3.00  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _076_
+  _150_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    4.27  Ratio:    0.00       (Area)
+  PAR:   21.48  Ratio: 3312.80       (S.Area)
+  CAR:    7.20  Ratio:    0.00       (C.Area)
+  CAR:   36.64  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _133_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    4.27  Ratio:    0.00       (Area)
+  PAR:   21.48  Ratio: 3312.80       (S.Area)
+  CAR:    7.16  Ratio:    0.00       (C.Area)
+  CAR:   36.44  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.87  Ratio:    0.00       (Area)
+  PAR:   14.93  Ratio:  400.00       (S.Area)
+  CAR:    2.90  Ratio:    0.00       (C.Area)
+  CAR:   14.97  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _077_
+  _123_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    2.28  Ratio:    0.00       (Area)
+  PAR:   11.70  Ratio: 2848.40       (S.Area)
+  CAR:    2.48  Ratio:    0.00       (C.Area)
+  CAR:   12.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - _078_
+  _132_  (sky130_fd_sc_hd__or3_4)  A
+[1]  met3:
+  PAR:    6.79  Ratio:    0.00       (Area)
+  PAR:   37.85  Ratio: 2848.40       (S.Area)
+  CAR:   13.62  Ratio:    0.00       (C.Area)
+  CAR:   72.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.33  Ratio:    0.00       (Area)
+  PAR:   12.05  Ratio:  400.00       (S.Area)
+  CAR:    6.82  Ratio:    0.00       (C.Area)
+  CAR:   35.08  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.43  Ratio:    0.00       (Area)
+  PAR:   22.97  Ratio:  400.00       (S.Area)
+  CAR:    4.49  Ratio:    0.00       (C.Area)
+  CAR:   23.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.06  Ratio:    0.00       (Area)
+  PAR:    0.07  Ratio:   75.00       (S.Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+  CAR:    0.07  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.12  Ratio:    3.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+
+Net - cfg.cnfg\[0\]
+  _148_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met4:
+  PAR:    2.52  Ratio:    0.00       (Area)
+  PAR:   13.72  Ratio: 2956.40       (S.Area)
+  CAR:    6.98  Ratio:    0.00       (C.Area)
+  CAR:   37.76  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.75  Ratio:    0.00       (Area)
+  PAR:    4.75  Ratio:  400.00       (S.Area)
+  CAR:    4.46  Ratio:    0.00       (C.Area)
+  CAR:   24.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.73  Ratio:    0.00       (Area)
+  PAR:   14.30  Ratio:  400.00       (S.Area)
+  CAR:    3.71  Ratio:    0.00       (C.Area)
+  CAR:   19.29  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.95  Ratio:    0.00       (Area)
+  PAR:    4.95  Ratio:  400.00       (S.Area)
+  CAR:    0.98  Ratio:    0.00       (C.Area)
+  CAR:    4.98  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.17  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _086_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met4:
+  PAR:    2.52  Ratio:    0.00       (Area)
+  PAR:   13.72  Ratio: 2956.40       (S.Area)
+  CAR:   11.89  Ratio:    0.00       (C.Area)
+  CAR:   62.57  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.75  Ratio:    0.00       (Area)
+  PAR:    4.75  Ratio:  400.00       (S.Area)
+  CAR:    9.37  Ratio:    0.00       (C.Area)
+  CAR:   48.85  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.73  Ratio:    0.00       (Area)
+  PAR:   14.30  Ratio:  400.00       (S.Area)
+  CAR:    8.63  Ratio:    0.00       (C.Area)
+  CAR:   44.11  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.78  Ratio:    0.00       (Area)
+  PAR:   29.67  Ratio:  400.00       (S.Area)
+  CAR:    5.89  Ratio:    0.00       (C.Area)
+  CAR:   29.80  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.54  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.47  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _124_  (sky130_fd_sc_hd__and3_2)  B
+[1]  met2:
+  PAR:    0.97  Ratio:    0.00       (Area)
+  PAR:    5.02  Ratio: 2956.40       (S.Area)
+  CAR:   13.84  Ratio:    0.00       (C.Area)
+  CAR:   72.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.18  Ratio:    0.00       (Area)
+  PAR:    1.13  Ratio: 2956.40       (S.Area)
+  CAR:   14.01  Ratio:    0.00       (C.Area)
+  CAR:   74.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.52  Ratio:    0.00       (Area)
+  PAR:   13.72  Ratio: 2956.40       (S.Area)
+  CAR:   16.53  Ratio:    0.00       (C.Area)
+  CAR:   87.73  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.67  Ratio:  400.00       (S.Area)
+  CAR:    1.29  Ratio:    0.00       (C.Area)
+  CAR:    6.80  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.81  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.82  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _083_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    0.97  Ratio:    0.00       (Area)
+  PAR:    5.02  Ratio: 2956.40       (S.Area)
+  CAR:   12.55  Ratio:    0.00       (C.Area)
+  CAR:   66.08  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.18  Ratio:    0.00       (Area)
+  PAR:    1.13  Ratio: 2956.40       (S.Area)
+  CAR:   12.73  Ratio:    0.00       (C.Area)
+  CAR:   67.21  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.52  Ratio:    0.00       (Area)
+  PAR:   13.72  Ratio: 2956.40       (S.Area)
+  CAR:   15.25  Ratio:    0.00       (C.Area)
+  CAR:   80.94  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    1.61  Ratio:  400.00       (S.Area)
+  CAR:    0.31  Ratio:    0.00       (C.Area)
+  CAR:    1.62  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.43  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.04  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _183_  (sky130_fd_sc_hd__dfxtp_4)  D
+[1]  met2:
+  PAR:    0.97  Ratio:    0.00       (Area)
+  PAR:    5.02  Ratio: 2956.40       (S.Area)
+  CAR:   12.36  Ratio:    0.00       (C.Area)
+  CAR:   64.60  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.18  Ratio:    0.00       (Area)
+  PAR:    1.13  Ratio: 2956.40       (S.Area)
+  CAR:   12.53  Ratio:    0.00       (C.Area)
+  CAR:   65.73  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.52  Ratio:    0.00       (Area)
+  PAR:   13.72  Ratio: 2956.40       (S.Area)
+  CAR:   15.05  Ratio:    0.00       (C.Area)
+  CAR:   79.45  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   11.27  Ratio:    0.00       (Area)
+  PAR:   59.44  Ratio:  400.00       (S.Area)
+  CAR:   11.38  Ratio:    0.00       (C.Area)
+  CAR:   59.58  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.60  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.62  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - cfg.cnfg\[1\]
+  _148_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met4:
+  PAR:    1.70  Ratio:    0.00       (Area)
+  PAR:    9.17  Ratio: 2956.40       (S.Area)
+  CAR:    4.62  Ratio:    0.00       (C.Area)
+  CAR:   25.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    2.38  Ratio:  400.00       (S.Area)
+  CAR:    2.93  Ratio:    0.00       (C.Area)
+  CAR:   16.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.71  Ratio:    0.00       (Area)
+  PAR:    8.87  Ratio:  400.00       (S.Area)
+  CAR:    2.62  Ratio:    0.00       (C.Area)
+  CAR:   13.71  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.88  Ratio:    0.00       (Area)
+  PAR:    4.81  Ratio:  400.00       (S.Area)
+  CAR:    0.91  Ratio:    0.00       (C.Area)
+  CAR:    4.84  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.17  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _114_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met4:
+  PAR:    1.70  Ratio:    0.00       (Area)
+  PAR:    9.17  Ratio: 2956.40       (S.Area)
+  CAR:    6.03  Ratio:    0.00       (C.Area)
+  CAR:   32.33  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    2.38  Ratio:  400.00       (S.Area)
+  CAR:    4.33  Ratio:    0.00       (C.Area)
+  CAR:   23.16  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.71  Ratio:    0.00       (Area)
+  PAR:    8.87  Ratio:  400.00       (S.Area)
+  CAR:    4.03  Ratio:    0.00       (C.Area)
+  CAR:   20.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.20  Ratio:    0.00       (Area)
+  PAR:   11.78  Ratio:  400.00       (S.Area)
+  CAR:    2.31  Ratio:    0.00       (C.Area)
+  CAR:   11.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.54  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.47  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _082_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.57  Ratio: 2956.40       (S.Area)
+  CAR:    1.66  Ratio:    0.00       (C.Area)
+  CAR:    8.49  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.99  Ratio: 2956.40       (S.Area)
+  CAR:    1.82  Ratio:    0.00       (C.Area)
+  CAR:    9.48  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    1.70  Ratio:    0.00       (Area)
+  PAR:    9.17  Ratio: 2956.40       (S.Area)
+  CAR:    3.51  Ratio:    0.00       (C.Area)
+  CAR:   18.64  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    0.91  Ratio:  400.00       (S.Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+  CAR:    0.92  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.04  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _122_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.57  Ratio: 2956.40       (S.Area)
+  CAR:   15.35  Ratio:    0.00       (C.Area)
+  CAR:   78.75  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.99  Ratio: 2956.40       (S.Area)
+  CAR:   15.51  Ratio:    0.00       (C.Area)
+  CAR:   79.74  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    1.70  Ratio:    0.00       (Area)
+  PAR:    9.17  Ratio: 2956.40       (S.Area)
+  CAR:   17.20  Ratio:    0.00       (C.Area)
+  CAR:   88.91  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.40  Ratio:    0.00       (Area)
+  PAR:    2.38  Ratio:  400.00       (S.Area)
+  CAR:    0.43  Ratio:    0.00       (C.Area)
+  CAR:    2.41  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.48  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.49  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _184_  (sky130_fd_sc_hd__dfxtp_4)  D
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.57  Ratio: 2956.40       (S.Area)
+  CAR:   15.04  Ratio:    0.00       (C.Area)
+  CAR:   76.48  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.99  Ratio: 2956.40       (S.Area)
+  CAR:   15.20  Ratio:    0.00       (C.Area)
+  CAR:   77.47  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    1.70  Ratio:    0.00       (Area)
+  PAR:    9.17  Ratio: 2956.40       (S.Area)
+  CAR:   16.89  Ratio:    0.00       (C.Area)
+  CAR:   86.63  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   13.44  Ratio:    0.00       (Area)
+  PAR:   68.78  Ratio:  400.00       (S.Area)
+  CAR:   13.56  Ratio:    0.00       (C.Area)
+  CAR:   68.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.60  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.62  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.clear
+  _164_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.47  Ratio:    0.00       (Area)
+  PAR:   12.57  Ratio: 2778.20       (S.Area)
+  CAR:    6.00  Ratio:    0.00       (C.Area)
+  CAR:   30.72  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.50  Ratio:    0.00       (Area)
+  PAR:   18.12  Ratio:  400.00       (S.Area)
+  CAR:    3.53  Ratio:    0.00       (C.Area)
+  CAR:   18.15  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _170_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.47  Ratio:    0.00       (Area)
+  PAR:   12.57  Ratio: 2778.20       (S.Area)
+  CAR:    9.58  Ratio:    0.00       (C.Area)
+  CAR:   48.98  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.51  Ratio:    0.00       (Area)
+  PAR:   12.76  Ratio:  400.00       (S.Area)
+  CAR:    2.54  Ratio:    0.00       (C.Area)
+  CAR:   12.79  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _162_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.47  Ratio:    0.00       (Area)
+  PAR:   12.57  Ratio: 2778.20       (S.Area)
+  CAR:    7.06  Ratio:    0.00       (C.Area)
+  CAR:   36.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.06  Ratio:    0.00       (Area)
+  PAR:    5.50  Ratio:  400.00       (S.Area)
+  CAR:    1.09  Ratio:    0.00       (C.Area)
+  CAR:    5.54  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _156_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.47  Ratio:    0.00       (Area)
+  PAR:   12.57  Ratio: 2778.20       (S.Area)
+  CAR:    6.04  Ratio:    0.00       (C.Area)
+  CAR:   30.92  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.in\[1\]
+  _163_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    3.86  Ratio:    0.00       (Area)
+  PAR:   19.52  Ratio: 2886.20       (S.Area)
+  CAR:    3.93  Ratio:    0.00       (C.Area)
+  CAR:   19.75  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _129_  (sky130_fd_sc_hd__a32o_4)  B1
+[1]  met2:
+  PAR:    3.86  Ratio:    0.00       (Area)
+  PAR:   19.52  Ratio: 2886.20       (S.Area)
+  CAR:    8.62  Ratio:    0.00       (C.Area)
+  CAR:   44.19  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.65  Ratio:    0.00       (Area)
+  PAR:   18.84  Ratio:  400.00       (S.Area)
+  CAR:    3.68  Ratio:    0.00       (C.Area)
+  CAR:   18.87  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _180_  (sky130_fd_sc_hd__nor4_2)  A
+[1]  met2:
+  PAR:    3.86  Ratio:    0.00       (Area)
+  PAR:   19.52  Ratio: 2886.20       (S.Area)
+  CAR:    4.97  Ratio:    0.00       (C.Area)
+  CAR:   25.35  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.08  Ratio:    0.00       (Area)
+  PAR:    5.80  Ratio:  400.00       (S.Area)
+  CAR:    1.11  Ratio:    0.00       (C.Area)
+  CAR:    5.83  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.lin\[0\]
+  _179_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    2.43  Ratio:    0.00       (Area)
+  PAR:   12.56  Ratio: 2848.40       (S.Area)
+  CAR:    5.68  Ratio:    0.00       (C.Area)
+  CAR:   29.30  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.21  Ratio:    0.00       (Area)
+  PAR:    6.25  Ratio:  400.00       (S.Area)
+  CAR:    1.24  Ratio:    0.00       (C.Area)
+  CAR:    6.28  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _141_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:    2.43  Ratio:    0.00       (Area)
+  PAR:   12.56  Ratio: 2848.40       (S.Area)
+  CAR:   16.34  Ratio:    0.00       (C.Area)
+  CAR:   84.37  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   11.78  Ratio:    0.00       (Area)
+  PAR:   61.22  Ratio:  400.00       (S.Area)
+  CAR:   11.89  Ratio:    0.00       (C.Area)
+  CAR:   61.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _142_  (sky130_fd_sc_hd__o21a_4)  A2
+[1]  met2:
+  PAR:    2.43  Ratio:    0.00       (Area)
+  PAR:   12.56  Ratio: 2848.40       (S.Area)
+  CAR:   17.28  Ratio:    0.00       (C.Area)
+  CAR:   89.70  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.03  Ratio:    0.00       (Area)
+  PAR:    5.43  Ratio:  400.00       (S.Area)
+  CAR:    1.06  Ratio:    0.00       (C.Area)
+  CAR:    5.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _080_  (sky130_fd_sc_hd__nor3_2)  B
+[1]  met2:
+  PAR:    2.43  Ratio:    0.00       (Area)
+  PAR:   12.56  Ratio: 2848.40       (S.Area)
+  CAR:   17.28  Ratio:    0.00       (C.Area)
+  CAR:   89.70  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.03  Ratio:    0.00       (Area)
+  PAR:    5.43  Ratio:  400.00       (S.Area)
+  CAR:    1.06  Ratio:    0.00       (C.Area)
+  CAR:    5.46  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _169_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.43  Ratio:    0.00       (Area)
+  PAR:   12.56  Ratio: 2848.40       (S.Area)
+  CAR:    4.47  Ratio:    0.00       (C.Area)
+  CAR:   23.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.01  Ratio:    0.00       (Area)
+  PAR:   10.45  Ratio:  400.00       (S.Area)
+  CAR:    2.04  Ratio:    0.00       (C.Area)
+  CAR:   10.48  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.lin\[1\]
+  _179_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.60  Ratio:    0.00       (Area)
+  PAR:    8.13  Ratio: 2848.40       (S.Area)
+  CAR:    3.71  Ratio:    0.00       (C.Area)
+  CAR:   19.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.08  Ratio:    0.00       (Area)
+  PAR:   10.88  Ratio:  400.00       (S.Area)
+  CAR:    2.11  Ratio:    0.00       (C.Area)
+  CAR:   10.92  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.96  Ratio:    0.00       (Area)
+  PAR:    5.52  Ratio: 2848.40       (S.Area)
+  CAR:    4.67  Ratio:    0.00       (C.Area)
+  CAR:   24.57  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   12.35  Ratio: 2848.40       (S.Area)
+  CAR:    6.95  Ratio:    0.00       (C.Area)
+  CAR:   36.92  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+  _163_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met2:
+  PAR:    1.60  Ratio:    0.00       (Area)
+  PAR:    8.13  Ratio: 2848.40       (S.Area)
+  CAR:    3.71  Ratio:    0.00       (C.Area)
+  CAR:   19.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.08  Ratio:    0.00       (Area)
+  PAR:   10.88  Ratio:  400.00       (S.Area)
+  CAR:    2.11  Ratio:    0.00       (C.Area)
+  CAR:   10.92  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    0.96  Ratio:    0.00       (Area)
+  PAR:    5.52  Ratio: 2848.40       (S.Area)
+  CAR:    4.67  Ratio:    0.00       (C.Area)
+  CAR:   24.57  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   12.35  Ratio: 2848.40       (S.Area)
+  CAR:    6.95  Ratio:    0.00       (C.Area)
+  CAR:   36.92  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+  _142_  (sky130_fd_sc_hd__o21a_4)  A1
+[1]  met4:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   12.35  Ratio: 2848.40       (S.Area)
+  CAR:    6.58  Ratio:    0.00       (C.Area)
+  CAR:   34.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.17  Ratio:    0.00       (Area)
+  PAR:   11.90  Ratio:  400.00       (S.Area)
+  CAR:    4.29  Ratio:    0.00       (C.Area)
+  CAR:   22.61  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.56  Ratio:  400.00       (S.Area)
+  CAR:    2.12  Ratio:    0.00       (C.Area)
+  CAR:   10.72  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.61  Ratio:    0.00       (Area)
+  PAR:    3.13  Ratio:  400.00       (S.Area)
+  CAR:    0.63  Ratio:    0.00       (C.Area)
+  CAR:    3.16  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.13  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _080_  (sky130_fd_sc_hd__nor3_2)  A
+[1]  met4:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   12.35  Ratio: 2848.40       (S.Area)
+  CAR:    6.58  Ratio:    0.00       (C.Area)
+  CAR:   34.97  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.17  Ratio:    0.00       (Area)
+  PAR:   11.90  Ratio:  400.00       (S.Area)
+  CAR:    4.29  Ratio:    0.00       (C.Area)
+  CAR:   22.61  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.56  Ratio:  400.00       (S.Area)
+  CAR:    2.12  Ratio:    0.00       (C.Area)
+  CAR:   10.72  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.61  Ratio:    0.00       (Area)
+  PAR:    3.13  Ratio:  400.00       (S.Area)
+  CAR:    0.63  Ratio:    0.00       (C.Area)
+  CAR:    3.16  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.13  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _129_  (sky130_fd_sc_hd__a32o_4)  A2
+[1]  met4:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   12.35  Ratio: 2848.40       (S.Area)
+  CAR:    6.01  Ratio:    0.00       (C.Area)
+  CAR:   32.04  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.17  Ratio:    0.00       (Area)
+  PAR:   11.90  Ratio:  400.00       (S.Area)
+  CAR:    3.72  Ratio:    0.00       (C.Area)
+  CAR:   19.69  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.48  Ratio:    0.00       (Area)
+  PAR:    7.56  Ratio:  400.00       (S.Area)
+  CAR:    1.55  Ratio:    0.00       (C.Area)
+  CAR:    7.79  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.16  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.13  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.lmatch\[0\]
+  _079_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:    3.42  Ratio:    0.00       (Area)
+  PAR:   17.31  Ratio: 2848.40       (S.Area)
+  CAR:    4.20  Ratio:    0.00       (C.Area)
+  CAR:   21.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _142_  (sky130_fd_sc_hd__o21a_4)  B1
+[1]  met2:
+  PAR:    3.42  Ratio:    0.00       (Area)
+  PAR:   17.31  Ratio: 2848.40       (S.Area)
+  CAR:    7.55  Ratio:    0.00       (C.Area)
+  CAR:   39.04  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    4.10  Ratio:    0.00       (Area)
+  PAR:   21.69  Ratio:  400.00       (S.Area)
+  CAR:    4.13  Ratio:    0.00       (C.Area)
+  CAR:   21.73  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _155_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met1:
+  PAR:    0.56  Ratio:    0.00       (Area)
+  PAR:    3.00  Ratio:  400.00       (S.Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+  CAR:    3.03  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.lmatch\[1\]
+  _141_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met2:
+  PAR:    5.03  Ratio:    0.00       (Area)
+  PAR:   25.64  Ratio: 2848.40       (S.Area)
+  CAR:   12.32  Ratio:    0.00       (C.Area)
+  CAR:   63.99  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    7.18  Ratio:    0.00       (Area)
+  PAR:   38.22  Ratio:  400.00       (S.Area)
+  CAR:    7.29  Ratio:    0.00       (C.Area)
+  CAR:   38.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.36  Ratio:    6.00       (Area)
+  CAR:    0.59  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _079_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met2:
+  PAR:    5.03  Ratio:    0.00       (Area)
+  PAR:   25.64  Ratio: 2848.40       (S.Area)
+  CAR:   12.47  Ratio:    0.00       (C.Area)
+  CAR:   64.77  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _129_  (sky130_fd_sc_hd__a32o_4)  A1
+[1]  met2:
+  PAR:    5.03  Ratio:    0.00       (Area)
+  PAR:   25.64  Ratio: 2848.40       (S.Area)
+  CAR:   12.27  Ratio:    0.00       (C.Area)
+  CAR:   64.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _161_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met1:
+  PAR:    1.34  Ratio:    0.00       (Area)
+  PAR:    6.90  Ratio:  400.00       (S.Area)
+  CAR:    1.37  Ratio:    0.00       (C.Area)
+  CAR:    6.94  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - hfsm.nlmempty
+  _153_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met3:
+  PAR:    2.44  Ratio:    0.00       (Area)
+  PAR:   13.37  Ratio: 2848.40       (S.Area)
+  CAR:    2.99  Ratio:    0.00       (C.Area)
+  CAR:   16.24  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.53  Ratio:    0.00       (Area)
+  PAR:    2.82  Ratio:  400.00       (S.Area)
+  CAR:    0.55  Ratio:    0.00       (C.Area)
+  CAR:    2.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.05  Ratio:  400.00       (S.Area)
+  CAR:    0.02  Ratio:    0.00       (C.Area)
+  CAR:    0.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    1.35  Ratio:    0.00       (Area)
+  PAR:    7.31  Ratio: 2848.40       (S.Area)
+  CAR:    4.34  Ratio:    0.00       (C.Area)
+  CAR:   23.56  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.04  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _180_  (sky130_fd_sc_hd__nor4_2)  B
+[1]  met3:
+  PAR:    2.44  Ratio:    0.00       (Area)
+  PAR:   13.37  Ratio: 2848.40       (S.Area)
+  CAR:    3.17  Ratio:    0.00       (C.Area)
+  CAR:   17.27  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.53  Ratio:    0.00       (Area)
+  PAR:    2.82  Ratio:  400.00       (S.Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+  CAR:    3.90  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met4:
+  PAR:    1.35  Ratio:    0.00       (Area)
+  PAR:    7.31  Ratio: 2848.40       (S.Area)
+  CAR:    4.52  Ratio:    0.00       (C.Area)
+  CAR:   24.58  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.12  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _081_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met4:
+  PAR:    1.35  Ratio:    0.00       (Area)
+  PAR:    7.31  Ratio: 2848.40       (S.Area)
+  CAR:    4.03  Ratio:    0.00       (C.Area)
+  CAR:   22.53  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    2.31  Ratio:    0.00       (Area)
+  PAR:   13.29  Ratio:  400.00       (S.Area)
+  CAR:    2.68  Ratio:    0.00       (C.Area)
+  CAR:   15.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    1.70  Ratio:  400.00       (S.Area)
+  CAR:    0.37  Ratio:    0.00       (C.Area)
+  CAR:    1.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.clear
+  _120_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.66  Ratio:    0.00       (Area)
+  PAR:    8.42  Ratio: 2973.50       (S.Area)
+  CAR:    2.99  Ratio:    0.00       (C.Area)
+  CAR:   15.58  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.31  Ratio:    0.00       (Area)
+  PAR:    7.13  Ratio:  400.00       (S.Area)
+  CAR:    1.34  Ratio:    0.00       (C.Area)
+  CAR:    7.16  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _152_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.66  Ratio:    0.00       (Area)
+  PAR:    8.42  Ratio: 2973.50       (S.Area)
+  CAR:    3.65  Ratio:    0.00       (C.Area)
+  CAR:   19.26  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.66  Ratio:    0.00       (Area)
+  PAR:    3.68  Ratio:  400.00       (S.Area)
+  CAR:    0.69  Ratio:    0.00       (C.Area)
+  CAR:    3.71  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _137_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    1.66  Ratio:    0.00       (Area)
+  PAR:    8.42  Ratio: 2973.50       (S.Area)
+  CAR:    3.03  Ratio:    0.00       (C.Area)
+  CAR:   15.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _135_  (sky130_fd_sc_hd__nor2_4)  A
+[1]  met2:
+  PAR:    1.66  Ratio:    0.00       (Area)
+  PAR:    8.42  Ratio: 2973.50       (S.Area)
+  CAR:    1.69  Ratio:    0.00       (C.Area)
+  CAR:    8.54  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.02  Ratio:    0.00       (Area)
+  PAR:    0.10  Ratio:  400.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.12  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.02  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.02  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.05  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.03  Ratio:    3.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.in\[1\]
+  _136_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    3.23  Ratio:    0.00       (Area)
+  PAR:   16.51  Ratio: 2778.20       (S.Area)
+  CAR:    3.30  Ratio:    0.00       (C.Area)
+  CAR:   16.75  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _099_  (sky130_fd_sc_hd__a32o_4)  B1
+[1]  met2:
+  PAR:    3.23  Ratio:    0.00       (Area)
+  PAR:   16.51  Ratio: 2778.20       (S.Area)
+  CAR:    4.59  Ratio:    0.00       (C.Area)
+  CAR:   23.68  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.33  Ratio:    0.00       (Area)
+  PAR:    7.13  Ratio:  400.00       (S.Area)
+  CAR:    1.36  Ratio:    0.00       (C.Area)
+  CAR:    7.17  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _172_  (sky130_fd_sc_hd__nor4_2)  A
+[1]  met2:
+  PAR:    3.23  Ratio:    0.00       (Area)
+  PAR:   16.51  Ratio: 2778.20       (S.Area)
+  CAR:    4.59  Ratio:    0.00       (C.Area)
+  CAR:   23.68  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.33  Ratio:    0.00       (Area)
+  PAR:    7.13  Ratio:  400.00       (S.Area)
+  CAR:    1.36  Ratio:    0.00       (C.Area)
+  CAR:    7.17  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.02  Ratio:    6.00       (Area)
+  CAR:    0.08  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.lin\[0\]
+  _105_  (sky130_fd_sc_hd__and2_2)  B
+[1]  met2:
+  PAR:   11.03  Ratio:    0.00       (Area)
+  PAR:   57.80  Ratio: 2848.40       (S.Area)
+  CAR:   11.30  Ratio:    0.00       (C.Area)
+  CAR:   58.71  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.16  Ratio:    0.00       (Area)
+  PAR:    0.78  Ratio:  400.00       (S.Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+  CAR:    0.91  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    3.02  Ratio:    0.00       (Area)
+  PAR:   16.97  Ratio: 2848.40       (S.Area)
+  CAR:   14.32  Ratio:    0.00       (C.Area)
+  CAR:   75.68  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _171_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met3:
+  PAR:    3.02  Ratio:    0.00       (Area)
+  PAR:   16.97  Ratio: 2848.40       (S.Area)
+  CAR:   11.12  Ratio:    0.00       (C.Area)
+  CAR:   59.32  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   11.82  Ratio:  400.00       (S.Area)
+  CAR:    4.52  Ratio:    0.00       (C.Area)
+  CAR:   23.86  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.82  Ratio:    0.00       (Area)
+  PAR:    4.30  Ratio:  400.00       (S.Area)
+  CAR:    0.85  Ratio:    0.00       (C.Area)
+  CAR:    4.33  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.22  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _119_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met3:
+  PAR:    3.02  Ratio:    0.00       (Area)
+  PAR:   16.97  Ratio: 2848.40       (S.Area)
+  CAR:   10.34  Ratio:    0.00       (C.Area)
+  CAR:   55.22  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   11.82  Ratio:  400.00       (S.Area)
+  CAR:    3.74  Ratio:    0.00       (C.Area)
+  CAR:   19.76  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.22  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _175_  (sky130_fd_sc_hd__nor3_2)  A
+[1]  met3:
+  PAR:    3.02  Ratio:    0.00       (Area)
+  PAR:   16.97  Ratio: 2848.40       (S.Area)
+  CAR:   10.30  Ratio:    0.00       (C.Area)
+  CAR:   55.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.29  Ratio:    0.00       (Area)
+  PAR:   11.82  Ratio:  400.00       (S.Area)
+  CAR:    3.70  Ratio:    0.00       (C.Area)
+  CAR:   19.56  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.38  Ratio:    0.00       (Area)
+  PAR:    7.71  Ratio:  400.00       (S.Area)
+  CAR:    1.41  Ratio:    0.00       (C.Area)
+  CAR:    7.74  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _106_  (sky130_fd_sc_hd__o21a_4)  A1
+[1]  met3:
+  PAR:    3.02  Ratio:    0.00       (Area)
+  PAR:   16.97  Ratio: 2848.40       (S.Area)
+  CAR:    6.67  Ratio:    0.00       (C.Area)
+  CAR:   35.69  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    3.58  Ratio:    0.00       (Area)
+  PAR:   18.50  Ratio:  400.00       (S.Area)
+  CAR:    3.65  Ratio:    0.00       (C.Area)
+  CAR:   18.73  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.16  Ratio:    6.00       (Area)
+  CAR:    0.27  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.lin\[1\]
+  _171_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met3:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   16.10  Ratio: 2848.40       (S.Area)
+  CAR:    7.92  Ratio:    0.00       (C.Area)
+  CAR:   42.55  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.76  Ratio:    0.00       (Area)
+  PAR:    9.00  Ratio:  400.00       (S.Area)
+  CAR:    5.07  Ratio:    0.00       (C.Area)
+  CAR:   26.45  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.22  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _136_  (sky130_fd_sc_hd__nor2_2)  B
+[1]  met3:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   16.10  Ratio: 2848.40       (S.Area)
+  CAR:    7.75  Ratio:    0.00       (C.Area)
+  CAR:   41.50  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.76  Ratio:    0.00       (Area)
+  PAR:    9.00  Ratio:  400.00       (S.Area)
+  CAR:    4.90  Ratio:    0.00       (C.Area)
+  CAR:   25.40  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    3.11  Ratio:    0.00       (Area)
+  PAR:   16.36  Ratio:  400.00       (S.Area)
+  CAR:    3.14  Ratio:    0.00       (C.Area)
+  CAR:   16.40  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _175_  (sky130_fd_sc_hd__nor3_2)  B
+[1]  met3:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   16.10  Ratio: 2848.40       (S.Area)
+  CAR:    4.90  Ratio:    0.00       (C.Area)
+  CAR:   26.87  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    1.76  Ratio:    0.00       (Area)
+  PAR:    9.00  Ratio:  400.00       (S.Area)
+  CAR:    2.06  Ratio:    0.00       (C.Area)
+  CAR:   10.76  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.27  Ratio:    0.00       (Area)
+  PAR:    1.73  Ratio:  400.00       (S.Area)
+  CAR:    0.30  Ratio:    0.00       (C.Area)
+  CAR:    1.76  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.03  Ratio:    6.00       (Area)
+  CAR:    0.13  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _106_  (sky130_fd_sc_hd__o21a_4)  A2
+[1]  met3:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   16.10  Ratio: 2848.40       (S.Area)
+  CAR:    5.72  Ratio:    0.00       (C.Area)
+  CAR:   30.88  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.68  Ratio:    0.00       (Area)
+  PAR:   13.70  Ratio:  400.00       (S.Area)
+  CAR:    2.88  Ratio:    0.00       (C.Area)
+  CAR:   14.78  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _099_  (sky130_fd_sc_hd__a32o_4)  A2
+[1]  met3:
+  PAR:    2.85  Ratio:    0.00       (Area)
+  PAR:   16.10  Ratio: 2848.40       (S.Area)
+  CAR:    5.59  Ratio:    0.00       (C.Area)
+  CAR:   30.03  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    2.68  Ratio:    0.00       (Area)
+  PAR:   13.70  Ratio:  400.00       (S.Area)
+  CAR:    2.75  Ratio:    0.00       (C.Area)
+  CAR:   13.93  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.04  Ratio:    6.00       (Area)
+  CAR:    0.14  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.lmatch\[0\]
+  _151_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    4.25  Ratio:    0.00       (Area)
+  PAR:   21.66  Ratio: 2848.40       (S.Area)
+  CAR:    9.90  Ratio:    0.00       (C.Area)
+  CAR:   50.58  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    5.62  Ratio:    0.00       (Area)
+  PAR:   28.89  Ratio:  400.00       (S.Area)
+  CAR:    5.65  Ratio:    0.00       (C.Area)
+  CAR:   28.93  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.14  Ratio:    6.00       (Area)
+  CAR:    0.19  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _174_  (sky130_fd_sc_hd__or2_2)  B
+[1]  met2:
+  PAR:    4.25  Ratio:    0.00       (Area)
+  PAR:   21.66  Ratio: 2848.40       (S.Area)
+  CAR:   20.88  Ratio:    0.00       (C.Area)
+  CAR:  105.90  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:   10.89  Ratio:    0.00       (Area)
+  PAR:   55.22  Ratio:  400.00       (S.Area)
+  CAR:   11.00  Ratio:    0.00       (C.Area)
+  CAR:   55.36  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _106_  (sky130_fd_sc_hd__o21a_4)  B1
+[1]  met2:
+  PAR:    4.25  Ratio:    0.00       (Area)
+  PAR:   21.66  Ratio: 2848.40       (S.Area)
+  CAR:   10.20  Ratio:    0.00       (C.Area)
+  CAR:   52.28  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.30  Ratio:    0.00       (Area)
+  PAR:    1.70  Ratio:  400.00       (S.Area)
+  CAR:    0.33  Ratio:    0.00       (C.Area)
+  CAR:    1.73  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.lmatch\[1\]
+  _105_  (sky130_fd_sc_hd__and2_2)  A
+[1]  met3:
+  PAR:    2.94  Ratio:    0.00       (Area)
+  PAR:   17.07  Ratio: 3096.80       (S.Area)
+  CAR:    9.81  Ratio:    0.00       (C.Area)
+  CAR:   52.54  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    6.09  Ratio:    0.00       (Area)
+  PAR:   31.22  Ratio:  400.00       (S.Area)
+  CAR:    6.87  Ratio:    0.00       (C.Area)
+  CAR:   35.47  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.67  Ratio:    0.00       (Area)
+  PAR:    4.11  Ratio:  400.00       (S.Area)
+  CAR:    0.78  Ratio:    0.00       (C.Area)
+  CAR:    4.25  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.32  Ratio:    6.00       (Area)
+  CAR:    0.73  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _174_  (sky130_fd_sc_hd__or2_2)  A
+[1]  met4:
+  PAR:    4.44  Ratio:    0.00       (Area)
+  PAR:   23.97  Ratio: 3096.80       (S.Area)
+  CAR:   10.45  Ratio:    0.00       (C.Area)
+  CAR:   56.50  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    1.43  Ratio:    0.00       (Area)
+  PAR:    8.38  Ratio:  400.00       (S.Area)
+  CAR:    6.01  Ratio:    0.00       (C.Area)
+  CAR:   32.53  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.58  Ratio:    0.00       (Area)
+  PAR:    3.20  Ratio:  400.00       (S.Area)
+  CAR:    4.58  Ratio:    0.00       (C.Area)
+  CAR:   24.15  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    2.71  Ratio:    0.00       (Area)
+  PAR:   14.33  Ratio:  400.00       (S.Area)
+  CAR:    2.83  Ratio:    0.00       (C.Area)
+  CAR:   14.47  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.11  Ratio:    0.00       (Area)
+  PAR:    0.13  Ratio:   75.00       (S.Area)
+  CAR:    0.11  Ratio:    0.00       (C.Area)
+  CAR:    0.13  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.63  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.56  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.18  Ratio:    6.00       (Area)
+  CAR:    0.41  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.23  Ratio:    3.00       (Area)
+  CAR:    0.23  Ratio:    0.00       (C.Area)
+
+  _134_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met4:
+  PAR:    4.44  Ratio:    0.00       (Area)
+  PAR:   23.97  Ratio: 3096.80       (S.Area)
+  CAR:    7.65  Ratio:    0.00       (C.Area)
+  CAR:   42.07  Ratio:    0.00       (C.S.Area)
+
+[1]  met3:
+  PAR:    1.43  Ratio:    0.00       (Area)
+  PAR:    8.38  Ratio:  400.00       (S.Area)
+  CAR:    3.21  Ratio:    0.00       (C.Area)
+  CAR:   18.09  Ratio:    0.00       (C.S.Area)
+
+[1]  met2:
+  PAR:    0.58  Ratio:    0.00       (Area)
+  PAR:    3.20  Ratio:  400.00       (S.Area)
+  CAR:    1.78  Ratio:    0.00       (C.Area)
+  CAR:    9.71  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    1.18  Ratio:    0.00       (Area)
+  PAR:    6.48  Ratio:  400.00       (S.Area)
+  CAR:    1.21  Ratio:    0.00       (C.Area)
+  CAR:    6.51  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.28  Ratio:    0.00       (C.Area)
+
+[1]  via2_FR:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.21  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.09  Ratio:    6.00       (Area)
+  CAR:    0.15  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _099_  (sky130_fd_sc_hd__a32o_4)  A1
+[1]  met4:
+  PAR:    4.44  Ratio:    0.00       (Area)
+  PAR:   23.97  Ratio: 3096.80       (S.Area)
+  CAR:    4.64  Ratio:    0.00       (C.Area)
+  CAR:   25.05  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  via2_FR:
+  PAR:    0.08  Ratio:    6.00       (Area)
+  CAR:    0.18  Ratio:    0.00       (C.Area)
+
+[1]  M3M4_PR_M:
+  PAR:    0.06  Ratio:    6.00       (Area)
+  CAR:    0.25  Ratio:    0.00       (C.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+
+Net - vfsm.nlmempty
+  _176_  (sky130_fd_sc_hd__nor2_2)  A
+[1]  met2:
+  PAR:    2.10  Ratio:    0.00       (Area)
+  PAR:   10.67  Ratio: 3096.80       (S.Area)
+  CAR:    2.17  Ratio:    0.00       (C.Area)
+  CAR:   10.90  Ratio:    0.00       (C.S.Area)
+
+[1]  met1:
+  PAR:    0.04  Ratio:    0.00       (Area)
+  PAR:    0.20  Ratio:  400.00       (S.Area)
+  CAR:    0.07  Ratio:    0.00       (C.Area)
+  CAR:    0.23  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+  _121_  (sky130_fd_sc_hd__inv_8)  A
+[1]  met1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.05  Ratio:  400.00       (S.Area)
+  CAR:    0.02  Ratio:    0.00       (C.Area)
+  CAR:    0.06  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.01  Ratio:    0.00       (Area)
+  PAR:    0.01  Ratio:   75.00       (S.Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+  CAR:    0.01  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.01  Ratio:    6.00       (Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.01  Ratio:    3.00       (Area)
+  CAR:    0.01  Ratio:    0.00       (C.Area)
+
+  _172_  (sky130_fd_sc_hd__nor4_2)  B
+[1]  met1:
+  PAR:    0.17  Ratio:    0.00       (Area)
+  PAR:    1.05  Ratio:  400.00       (S.Area)
+  CAR:    0.20  Ratio:    0.00       (C.Area)
+  CAR:    1.08  Ratio:    0.00       (C.S.Area)
+
+[1]  li1:
+  PAR:    0.03  Ratio:    0.00       (Area)
+  PAR:    0.03  Ratio:   75.00       (S.Area)
+  CAR:    0.03  Ratio:    0.00       (C.Area)
+  CAR:    0.03  Ratio:    0.00       (C.S.Area)
+
+[1]  M1M2_PR:
+  PAR:    0.05  Ratio:    6.00       (Area)
+  CAR:    0.10  Ratio:    0.00       (C.Area)
+
+[1]  L1M1_PR_MR:
+  PAR:    0.06  Ratio:    3.00       (Area)
+  CAR:    0.06  Ratio:    0.00       (C.Area)
+
+Number of pins violated: 0
+Number of nets violated: 0
+Total number of unspecial nets: 121
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.drc b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.drc
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.drc
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.klayout.xml b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.klayout.xml
new file mode 100644
index 0000000..91c028a
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.klayout.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>ycell</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/runtime.txt b/openlane/morphle_ycell/runs/morphle_ycell/reports/runtime.txt
new file mode 100644
index 0000000..354fcd2
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/runtime.txt
@@ -0,0 +1 @@
+Routing completed for ycell/02-12_20-35 in 0h0m28s
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.chk.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.chk.rpt
new file mode 100644
index 0000000..2e124a9
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.chk.rpt
@@ -0,0 +1,15 @@
+
+17. Executing CHECK pass (checking for obvious problems).
+checking module ycell..
+Warning: Wire ycell.\vempty is used but has no driver.
+Warning: Wire ycell.\uout [1] is used but has no driver.
+Warning: Wire ycell.\uout [0] is used but has no driver.
+Warning: Wire ycell.\rout [1] is used but has no driver.
+Warning: Wire ycell.\rout [0] is used but has no driver.
+Warning: Wire ycell.\lout [1] is used but has no driver.
+Warning: Wire ycell.\lout [0] is used but has no driver.
+Warning: Wire ycell.\hempty is used but has no driver.
+Warning: Wire ycell.\dout [1] is used but has no driver.
+Warning: Wire ycell.\dout [0] is used but has no driver.
+Warning: Wire ycell.\cbitout is used but has no driver.
+found and reported 11 problems.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.stat.rpt b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.stat.rpt
new file mode 100644
index 0000000..d881d9f
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_2.stat.rpt
@@ -0,0 +1,38 @@
+
+18. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                113
+   Number of wire bits:            121
+   Number of public wires:          34
+   Number of public wire bits:      42
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                106
+     sky130_fd_sc_hd__a211o_4        2
+     sky130_fd_sc_hd__a32o_4         2
+     sky130_fd_sc_hd__and2_2         7
+     sky130_fd_sc_hd__and3_2         3
+     sky130_fd_sc_hd__and3_4         1
+     sky130_fd_sc_hd__buf_1          2
+     sky130_fd_sc_hd__buf_2          1
+     sky130_fd_sc_hd__buf_6          3
+     sky130_fd_sc_hd__dfxtp_4        3
+     sky130_fd_sc_hd__inv_8         12
+     sky130_fd_sc_hd__nand2_2       11
+     sky130_fd_sc_hd__nand3_2        1
+     sky130_fd_sc_hd__nor2_2        28
+     sky130_fd_sc_hd__nor2_4         2
+     sky130_fd_sc_hd__nor3_2         3
+     sky130_fd_sc_hd__nor4_2         2
+     sky130_fd_sc_hd__o21a_4         2
+     sky130_fd_sc_hd__o22a_4         2
+     sky130_fd_sc_hd__or2_2         10
+     sky130_fd_sc_hd__or2_4          4
+     sky130_fd_sc_hd__or3_2          3
+     sky130_fd_sc_hd__or3_4          2
+
+   Chip area for module '\ycell': 955.916800
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_dff.stat b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_dff.stat
new file mode 100644
index 0000000..7a06fa9
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_dff.stat
@@ -0,0 +1,23 @@
+
+10. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                109
+   Number of wire bits:            125
+   Number of public wires:          29
+   Number of public wire bits:      45
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                107
+     $_ANDNOT_                      37
+     $_AND_                          7
+     $_MUX_                         12
+     $_NAND_                         1
+     $_NOR_                         17
+     $_NOT_                          7
+     $_ORNOT_                        3
+     $_OR_                          20
+     sky130_fd_sc_hd__dfxtp_4        3
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_pre.stat b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_pre.stat
new file mode 100644
index 0000000..9383e75
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/reports/synthesis/yosys_pre.stat
@@ -0,0 +1,23 @@
+
+8. Printing statistics.
+
+=== ycell ===
+
+   Number of wires:                109
+   Number of wire bits:            125
+   Number of public wires:          29
+   Number of public wire bits:      45
+   Number of memories:               0
+   Number of memory bits:            0
+   Number of processes:              0
+   Number of cells:                107
+     $_ANDNOT_                      37
+     $_AND_                          7
+     $_DFF_P_                        3
+     $_MUX_                         12
+     $_NAND_                         1
+     $_NOR_                         17
+     $_NOT_                          7
+     $_ORNOT_                        3
+     $_OR_                          20
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/cts/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/cts/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/cts/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/ycell.floorplan.def b/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/ycell.floorplan.def
new file mode 100644
index 0000000..3249557
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/floorplan/ycell.floorplan.def
@@ -0,0 +1,371 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 ;
+    - _080_ sky130_fd_sc_hd__nor3_2 ;
+    - _081_ sky130_fd_sc_hd__nor2_2 ;
+    - _082_ sky130_fd_sc_hd__inv_8 ;
+    - _083_ sky130_fd_sc_hd__inv_8 ;
+    - _084_ sky130_fd_sc_hd__or2_4 ;
+    - _085_ sky130_fd_sc_hd__buf_6 ;
+    - _086_ sky130_fd_sc_hd__or2_2 ;
+    - _087_ sky130_fd_sc_hd__nor2_2 ;
+    - _088_ sky130_fd_sc_hd__nand2_2 ;
+    - _089_ sky130_fd_sc_hd__inv_8 ;
+    - _090_ sky130_fd_sc_hd__inv_8 ;
+    - _091_ sky130_fd_sc_hd__and3_2 ;
+    - _092_ sky130_fd_sc_hd__or2_2 ;
+    - _093_ sky130_fd_sc_hd__or2_2 ;
+    - _094_ sky130_fd_sc_hd__buf_2 ;
+    - _095_ sky130_fd_sc_hd__inv_8 ;
+    - _096_ sky130_fd_sc_hd__or2_4 ;
+    - _097_ sky130_fd_sc_hd__buf_6 ;
+    - _098_ sky130_fd_sc_hd__inv_8 ;
+    - _099_ sky130_fd_sc_hd__a32o_4 ;
+    - _100_ sky130_fd_sc_hd__o22a_4 ;
+    - _101_ sky130_fd_sc_hd__nand3_2 ;
+    - _102_ sky130_fd_sc_hd__inv_8 ;
+    - _103_ sky130_fd_sc_hd__and2_2 ;
+    - _104_ sky130_fd_sc_hd__or2_2 ;
+    - _105_ sky130_fd_sc_hd__and2_2 ;
+    - _106_ sky130_fd_sc_hd__o21a_4 ;
+    - _107_ sky130_fd_sc_hd__or3_2 ;
+    - _108_ sky130_fd_sc_hd__and2_2 ;
+    - _109_ sky130_fd_sc_hd__buf_1 ;
+    - _110_ sky130_fd_sc_hd__nand2_2 ;
+    - _111_ sky130_fd_sc_hd__nand2_2 ;
+    - _112_ sky130_fd_sc_hd__nand2_2 ;
+    - _113_ sky130_fd_sc_hd__or2_2 ;
+    - _114_ sky130_fd_sc_hd__or2_2 ;
+    - _115_ sky130_fd_sc_hd__nand2_2 ;
+    - _116_ sky130_fd_sc_hd__nand2_2 ;
+    - _117_ sky130_fd_sc_hd__and3_2 ;
+    - _118_ sky130_fd_sc_hd__nor2_2 ;
+    - _119_ sky130_fd_sc_hd__nor2_2 ;
+    - _120_ sky130_fd_sc_hd__nor2_2 ;
+    - _121_ sky130_fd_sc_hd__inv_8 ;
+    - _122_ sky130_fd_sc_hd__nor2_2 ;
+    - _123_ sky130_fd_sc_hd__nor2_2 ;
+    - _124_ sky130_fd_sc_hd__and3_2 ;
+    - _125_ sky130_fd_sc_hd__or2_4 ;
+    - _126_ sky130_fd_sc_hd__or2_4 ;
+    - _127_ sky130_fd_sc_hd__buf_6 ;
+    - _128_ sky130_fd_sc_hd__inv_8 ;
+    - _129_ sky130_fd_sc_hd__a32o_4 ;
+    - _130_ sky130_fd_sc_hd__o22a_4 ;
+    - _131_ sky130_fd_sc_hd__inv_8 ;
+    - _132_ sky130_fd_sc_hd__or3_4 ;
+    - _133_ sky130_fd_sc_hd__nor2_2 ;
+    - _134_ sky130_fd_sc_hd__nor2_2 ;
+    - _135_ sky130_fd_sc_hd__nor2_4 ;
+    - _136_ sky130_fd_sc_hd__nor2_2 ;
+    - _137_ sky130_fd_sc_hd__nor2_2 ;
+    - _138_ sky130_fd_sc_hd__inv_8 ;
+    - _139_ sky130_fd_sc_hd__and2_2 ;
+    - _140_ sky130_fd_sc_hd__or2_2 ;
+    - _141_ sky130_fd_sc_hd__and2_2 ;
+    - _142_ sky130_fd_sc_hd__o21a_4 ;
+    - _143_ sky130_fd_sc_hd__or3_2 ;
+    - _144_ sky130_fd_sc_hd__and2_2 ;
+    - _145_ sky130_fd_sc_hd__buf_1 ;
+    - _146_ sky130_fd_sc_hd__nand2_2 ;
+    - _147_ sky130_fd_sc_hd__nand2_2 ;
+    - _148_ sky130_fd_sc_hd__nor2_2 ;
+    - _149_ sky130_fd_sc_hd__a211o_4 ;
+    - _150_ sky130_fd_sc_hd__nor2_2 ;
+    - _151_ sky130_fd_sc_hd__nor2_2 ;
+    - _152_ sky130_fd_sc_hd__nor2_2 ;
+    - _153_ sky130_fd_sc_hd__inv_8 ;
+    - _154_ sky130_fd_sc_hd__nor2_2 ;
+    - _155_ sky130_fd_sc_hd__nor2_2 ;
+    - _156_ sky130_fd_sc_hd__nor2_2 ;
+    - _157_ sky130_fd_sc_hd__and2_2 ;
+    - _158_ sky130_fd_sc_hd__nor3_2 ;
+    - _159_ sky130_fd_sc_hd__or2_2 ;
+    - _160_ sky130_fd_sc_hd__nor2_2 ;
+    - _161_ sky130_fd_sc_hd__nor2_2 ;
+    - _162_ sky130_fd_sc_hd__nor2_2 ;
+    - _163_ sky130_fd_sc_hd__nor2_2 ;
+    - _164_ sky130_fd_sc_hd__nor2_2 ;
+    - _165_ sky130_fd_sc_hd__nand2_2 ;
+    - _166_ sky130_fd_sc_hd__nand2_2 ;
+    - _167_ sky130_fd_sc_hd__or3_4 ;
+    - _168_ sky130_fd_sc_hd__nand2_2 ;
+    - _169_ sky130_fd_sc_hd__nor2_2 ;
+    - _170_ sky130_fd_sc_hd__nor2_2 ;
+    - _171_ sky130_fd_sc_hd__nor2_2 ;
+    - _172_ sky130_fd_sc_hd__nor4_2 ;
+    - _173_ sky130_fd_sc_hd__a211o_4 ;
+    - _174_ sky130_fd_sc_hd__or2_2 ;
+    - _175_ sky130_fd_sc_hd__nor3_2 ;
+    - _176_ sky130_fd_sc_hd__nor2_2 ;
+    - _177_ sky130_fd_sc_hd__and3_4 ;
+    - _178_ sky130_fd_sc_hd__nor2_4 ;
+    - _179_ sky130_fd_sc_hd__nor2_2 ;
+    - _180_ sky130_fd_sc_hd__nor4_2 ;
+    - _181_ sky130_fd_sc_hd__or3_2 ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+END PINS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.json b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.json
new file mode 100644
index 0000000..88b8567
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.json
@@ -0,0 +1,625 @@
+[
+  {
+   "pins": [
+      [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A1",
+        "A2",
+        "B1",
+        "B2",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A1",
+        "A2",
+        "B1",
+        "B2",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A1",
+        "A2",
+        "A3",
+        "B1",
+        "B2",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A1",
+        "A2",
+        "A3",
+        "B1",
+        "B2",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "CLK",
+        "D",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Q"
+      ], [
+        "CLK",
+        "D",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Q"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A1",
+        "A2",
+        "B1",
+        "C1",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A1",
+        "A2",
+        "B1",
+        "C1",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "D",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "C",
+        "D",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A1",
+        "A2",
+        "B1",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A1",
+        "A2",
+        "B1",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "VGND",
+        "VPWR"
+      ], [
+        "VGND",
+        "VPWR"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "X"
+      ]
+   ]
+  },
+  {
+   "pins": [
+      [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ], [
+        "A",
+        "B",
+        "C",
+        "VGND",
+        "VNB",
+        "VPB",
+        "VPWR",
+        "Y"
+      ]
+   ]
+  },
+  {
+   "name": [
+      "ycell",
+      "ycell"
+   ],
+   "devices": [
+       [
+         ["sky130_fd_sc_hd__inv_8", 12],
+         ["sky130_fd_sc_hd__or2_2", 10],
+         ["sky130_fd_sc_hd__o22a_4", 2],
+         ["sky130_fd_sc_hd__nand2_2", 11],
+         ["sky130_fd_sc_hd__nor2_2", 28],
+         ["sky130_fd_sc_hd__a32o_4", 2],
+         ["sky130_fd_sc_hd__dfxtp_4", 3],
+         ["sky130_fd_sc_hd__or3_4", 2],
+         ["sky130_fd_sc_hd__buf_6", 3],
+         ["sky130_fd_sc_hd__a211o_4", 2],
+         ["sky130_fd_sc_hd__or2_4", 4],
+         ["sky130_fd_sc_hd__or3_2", 3],
+         ["sky130_fd_sc_hd__nor4_2", 2],
+         ["sky130_fd_sc_hd__buf_2", 1],
+         ["sky130_fd_sc_hd__buf_1", 2],
+         ["sky130_fd_sc_hd__and2_2", 7],
+         ["sky130_fd_sc_hd__and3_2", 3],
+         ["sky130_fd_sc_hd__o21a_4", 2],
+         ["sky130_fd_sc_hd__tapvpwrvgnd_1", 33],
+         ["sky130_fd_sc_hd__nor2_4", 2],
+         ["sky130_fd_sc_hd__nand3_2", 1],
+         ["sky130_fd_sc_hd__and3_4", 1],
+         ["sky130_fd_sc_hd__nor3_2", 3 ]
+       ], [
+         ["sky130_fd_sc_hd__inv_8", 12 ],
+         ["sky130_fd_sc_hd__or2_2", 10 ],
+         ["sky130_fd_sc_hd__o22a_4", 2 ],
+         ["sky130_fd_sc_hd__nand2_2", 11 ],
+         ["sky130_fd_sc_hd__nor2_2", 28 ],
+         ["sky130_fd_sc_hd__a32o_4", 2 ],
+         ["sky130_fd_sc_hd__dfxtp_4", 3 ],
+         ["sky130_fd_sc_hd__or3_4", 2 ],
+         ["sky130_fd_sc_hd__buf_6", 3 ],
+         ["sky130_fd_sc_hd__a211o_4", 2 ],
+         ["sky130_fd_sc_hd__or2_4", 4 ],
+         ["sky130_fd_sc_hd__or3_2", 3 ],
+         ["sky130_fd_sc_hd__nor4_2", 2 ],
+         ["sky130_fd_sc_hd__buf_2", 1 ],
+         ["sky130_fd_sc_hd__buf_1", 2 ],
+         ["sky130_fd_sc_hd__and2_2", 7 ],
+         ["sky130_fd_sc_hd__and3_2", 3 ],
+         ["sky130_fd_sc_hd__o21a_4", 2 ],
+         ["sky130_fd_sc_hd__tapvpwrvgnd_1", 33 ],
+         ["sky130_fd_sc_hd__nor2_4", 2 ],
+         ["sky130_fd_sc_hd__nand3_2", 1 ],
+         ["sky130_fd_sc_hd__and3_4", 1 ],
+         ["sky130_fd_sc_hd__nor3_2", 3 ]
+       ]
+   ],
+   "nets": [
+    123,
+    123
+   ],
+   "badnets": [
+   ],
+   "badelements": [
+   ],
+   "pins": [
+      [
+        "dempty",
+        "din[0]",
+        "rempty",
+        "din[1]",
+        "rin[1]",
+        "lempty",
+        "uempty",
+        "lin[0]",
+        "uin[0]",
+        "rin[0]",
+        "uin[1]",
+        "lin[1]",
+        "cbitin",
+        "vempty",
+        "dout[0]",
+        "dout[1]",
+        "rout[1]",
+        "rout[0]",
+        "lout[0]",
+        "reset",
+        "cbitout",
+        "uout[1]",
+        "uout[0]",
+        "hempty",
+        "lout[1]",
+        "confclk",
+        "VGND",
+        "VPWR"
+      ], [
+        "dempty",
+        "din[0]",
+        "rempty",
+        "din[1]",
+        "rin[1]",
+        "lempty",
+        "uempty",
+        "lin[0]",
+        "uin[0]",
+        "rin[0]",
+        "uin[1]",
+        "lin[1]",
+        "cbitin",
+        "vempty",
+        "dout[0]",
+        "dout[1]",
+        "rout[1]",
+        "rout[0]",
+        "lout[0]",
+        "reset",
+        "cbitout",
+        "uout[1]",
+        "uout[0]",
+        "hempty",
+        "lout[1]",
+        "confclk",
+        "VGND",
+        "VPWR"
+      ]
+   ]
+  }
+]
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log
new file mode 100644
index 0000000..da61d1c
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.log
@@ -0,0 +1,613 @@
+
+Cell sky130_fd_sc_hd__inv_8 disconnected node: A
+Cell sky130_fd_sc_hd__inv_8 disconnected node: VGND
+Cell sky130_fd_sc_hd__inv_8 disconnected node: VNB
+Cell sky130_fd_sc_hd__inv_8 disconnected node: VPB
+Cell sky130_fd_sc_hd__inv_8 disconnected node: VPWR
+Cell sky130_fd_sc_hd__inv_8 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__inv_8 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__inv_8          |Circuit 2: sky130_fd_sc_hd__inv_8          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__inv_8 and sky130_fd_sc_hd__inv_8 are equivalent.
+
+Cell sky130_fd_sc_hd__or2_2 disconnected node: A
+Cell sky130_fd_sc_hd__or2_2 disconnected node: B
+Cell sky130_fd_sc_hd__or2_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__or2_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__or2_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__or2_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__or2_2 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__or2_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__or2_2          |Circuit 2: sky130_fd_sc_hd__or2_2          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__or2_2 and sky130_fd_sc_hd__or2_2 are equivalent.
+
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: A1
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: A2
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: B1
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: B2
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__o22a_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__o22a_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__o22a_4         |Circuit 2: sky130_fd_sc_hd__o22a_4         
+-------------------------------------------|-------------------------------------------
+A1                                         |A1                                         
+A2                                         |A2                                         
+B1                                         |B1                                         
+B2                                         |B2                                         
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__o22a_4 and sky130_fd_sc_hd__o22a_4 are equivalent.
+
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: A
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: B
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nand2_2 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nand2_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nand2_2        |Circuit 2: sky130_fd_sc_hd__nand2_2        
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nand2_2 and sky130_fd_sc_hd__nand2_2 are equivalent.
+
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: A
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: B
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nor2_2 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nor2_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nor2_2         |Circuit 2: sky130_fd_sc_hd__nor2_2         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nor2_2 and sky130_fd_sc_hd__nor2_2 are equivalent.
+
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: A1
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: A2
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: A3
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: B1
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: B2
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__a32o_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__a32o_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__a32o_4         |Circuit 2: sky130_fd_sc_hd__a32o_4         
+-------------------------------------------|-------------------------------------------
+A1                                         |A1                                         
+A2                                         |A2                                         
+A3                                         |A3                                         
+B1                                         |B1                                         
+B2                                         |B2                                         
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__a32o_4 and sky130_fd_sc_hd__a32o_4 are equivalent.
+
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: CLK
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: D
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__dfxtp_4 disconnected node: Q
+Warning: Equate pins:  cell sky130_fd_sc_hd__dfxtp_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__dfxtp_4        |Circuit 2: sky130_fd_sc_hd__dfxtp_4        
+-------------------------------------------|-------------------------------------------
+CLK                                        |CLK                                        
+D                                          |D                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Q                                          |Q                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__dfxtp_4 and sky130_fd_sc_hd__dfxtp_4 are equivalent.
+
+Cell sky130_fd_sc_hd__or3_4 disconnected node: A
+Cell sky130_fd_sc_hd__or3_4 disconnected node: B
+Cell sky130_fd_sc_hd__or3_4 disconnected node: C
+Cell sky130_fd_sc_hd__or3_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__or3_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__or3_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__or3_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__or3_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__or3_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__or3_4          |Circuit 2: sky130_fd_sc_hd__or3_4          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__or3_4 and sky130_fd_sc_hd__or3_4 are equivalent.
+
+Cell sky130_fd_sc_hd__buf_6 disconnected node: A
+Cell sky130_fd_sc_hd__buf_6 disconnected node: VGND
+Cell sky130_fd_sc_hd__buf_6 disconnected node: VNB
+Cell sky130_fd_sc_hd__buf_6 disconnected node: VPB
+Cell sky130_fd_sc_hd__buf_6 disconnected node: VPWR
+Cell sky130_fd_sc_hd__buf_6 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__buf_6 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__buf_6          |Circuit 2: sky130_fd_sc_hd__buf_6          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__buf_6 and sky130_fd_sc_hd__buf_6 are equivalent.
+
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: A1
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: A2
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: B1
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: C1
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__a211o_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__a211o_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__a211o_4        |Circuit 2: sky130_fd_sc_hd__a211o_4        
+-------------------------------------------|-------------------------------------------
+A1                                         |A1                                         
+A2                                         |A2                                         
+B1                                         |B1                                         
+C1                                         |C1                                         
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__a211o_4 and sky130_fd_sc_hd__a211o_4 are equivalent.
+
+Cell sky130_fd_sc_hd__or2_4 disconnected node: A
+Cell sky130_fd_sc_hd__or2_4 disconnected node: B
+Cell sky130_fd_sc_hd__or2_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__or2_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__or2_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__or2_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__or2_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__or2_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__or2_4          |Circuit 2: sky130_fd_sc_hd__or2_4          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__or2_4 and sky130_fd_sc_hd__or2_4 are equivalent.
+
+Cell sky130_fd_sc_hd__or3_2 disconnected node: A
+Cell sky130_fd_sc_hd__or3_2 disconnected node: B
+Cell sky130_fd_sc_hd__or3_2 disconnected node: C
+Cell sky130_fd_sc_hd__or3_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__or3_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__or3_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__or3_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__or3_2 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__or3_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__or3_2          |Circuit 2: sky130_fd_sc_hd__or3_2          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__or3_2 and sky130_fd_sc_hd__or3_2 are equivalent.
+
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: A
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: B
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: C
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: D
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nor4_2 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nor4_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nor4_2         |Circuit 2: sky130_fd_sc_hd__nor4_2         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+D                                          |D                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nor4_2 and sky130_fd_sc_hd__nor4_2 are equivalent.
+
+Cell sky130_fd_sc_hd__buf_2 disconnected node: A
+Cell sky130_fd_sc_hd__buf_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__buf_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__buf_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__buf_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__buf_2 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__buf_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__buf_2          |Circuit 2: sky130_fd_sc_hd__buf_2          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__buf_2 and sky130_fd_sc_hd__buf_2 are equivalent.
+
+Cell sky130_fd_sc_hd__buf_1 disconnected node: A
+Cell sky130_fd_sc_hd__buf_1 disconnected node: VGND
+Cell sky130_fd_sc_hd__buf_1 disconnected node: VNB
+Cell sky130_fd_sc_hd__buf_1 disconnected node: VPB
+Cell sky130_fd_sc_hd__buf_1 disconnected node: VPWR
+Cell sky130_fd_sc_hd__buf_1 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__buf_1 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__buf_1          |Circuit 2: sky130_fd_sc_hd__buf_1          
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__buf_1 and sky130_fd_sc_hd__buf_1 are equivalent.
+
+Cell sky130_fd_sc_hd__and2_2 disconnected node: A
+Cell sky130_fd_sc_hd__and2_2 disconnected node: B
+Cell sky130_fd_sc_hd__and2_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__and2_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__and2_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__and2_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__and2_2 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__and2_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__and2_2         |Circuit 2: sky130_fd_sc_hd__and2_2         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__and2_2 and sky130_fd_sc_hd__and2_2 are equivalent.
+
+Cell sky130_fd_sc_hd__and3_2 disconnected node: A
+Cell sky130_fd_sc_hd__and3_2 disconnected node: B
+Cell sky130_fd_sc_hd__and3_2 disconnected node: C
+Cell sky130_fd_sc_hd__and3_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__and3_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__and3_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__and3_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__and3_2 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__and3_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__and3_2         |Circuit 2: sky130_fd_sc_hd__and3_2         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__and3_2 and sky130_fd_sc_hd__and3_2 are equivalent.
+
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: A1
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: A2
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: B1
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__o21a_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__o21a_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__o21a_4         |Circuit 2: sky130_fd_sc_hd__o21a_4         
+-------------------------------------------|-------------------------------------------
+A1                                         |A1                                         
+A2                                         |A2                                         
+B1                                         |B1                                         
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__o21a_4 and sky130_fd_sc_hd__o21a_4 are equivalent.
+
+Cell sky130_fd_sc_hd__tapvpwrvgnd_1 disconnected node: VGND
+Cell sky130_fd_sc_hd__tapvpwrvgnd_1 disconnected node: VPWR
+Warning: Equate pins:  cell sky130_fd_sc_hd__tapvpwrvgnd_1 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__tapvpwrvgnd_1  |Circuit 2: sky130_fd_sc_hd__tapvpwrvgnd_1  
+-------------------------------------------|-------------------------------------------
+VGND                                       |VGND                                       
+VPWR                                       |VPWR                                       
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__tapvpwrvgnd_1 and sky130_fd_sc_hd__tapvpwrvgnd_1 are equivalent.
+
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: A
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: B
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nor2_4 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nor2_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nor2_4         |Circuit 2: sky130_fd_sc_hd__nor2_4         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nor2_4 and sky130_fd_sc_hd__nor2_4 are equivalent.
+
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: A
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: B
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: C
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nand3_2 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nand3_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nand3_2        |Circuit 2: sky130_fd_sc_hd__nand3_2        
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nand3_2 and sky130_fd_sc_hd__nand3_2 are equivalent.
+
+Cell sky130_fd_sc_hd__and3_4 disconnected node: A
+Cell sky130_fd_sc_hd__and3_4 disconnected node: B
+Cell sky130_fd_sc_hd__and3_4 disconnected node: C
+Cell sky130_fd_sc_hd__and3_4 disconnected node: VGND
+Cell sky130_fd_sc_hd__and3_4 disconnected node: VNB
+Cell sky130_fd_sc_hd__and3_4 disconnected node: VPB
+Cell sky130_fd_sc_hd__and3_4 disconnected node: VPWR
+Cell sky130_fd_sc_hd__and3_4 disconnected node: X
+Warning: Equate pins:  cell sky130_fd_sc_hd__and3_4 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__and3_4         |Circuit 2: sky130_fd_sc_hd__and3_4         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__and3_4 and sky130_fd_sc_hd__and3_4 are equivalent.
+
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: A
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: B
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: C
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: VGND
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: VNB
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: VPB
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: VPWR
+Cell sky130_fd_sc_hd__nor3_2 disconnected node: Y
+Warning: Equate pins:  cell sky130_fd_sc_hd__nor3_2 has no definition, treated as a black box.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__nor3_2         |Circuit 2: sky130_fd_sc_hd__nor3_2         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+B                                          |B                                          
+C                                          |C                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+VPB                                        |VPB                                        
+VPWR                                       |VPWR                                       
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__nor3_2 and sky130_fd_sc_hd__nor3_2 are equivalent.
+
+Subcircuit summary:
+Circuit 1: ycell                           |Circuit 2: ycell                           
+-------------------------------------------|-------------------------------------------
+sky130_fd_sc_hd__inv_8 (12)                |sky130_fd_sc_hd__inv_8 (12)                
+sky130_fd_sc_hd__or2_2 (10)                |sky130_fd_sc_hd__or2_2 (10)                
+sky130_fd_sc_hd__o22a_4 (2)                |sky130_fd_sc_hd__o22a_4 (2)                
+sky130_fd_sc_hd__nand2_2 (11)              |sky130_fd_sc_hd__nand2_2 (11)              
+sky130_fd_sc_hd__nor2_2 (28)               |sky130_fd_sc_hd__nor2_2 (28)               
+sky130_fd_sc_hd__a32o_4 (2)                |sky130_fd_sc_hd__a32o_4 (2)                
+sky130_fd_sc_hd__dfxtp_4 (3)               |sky130_fd_sc_hd__dfxtp_4 (3)               
+sky130_fd_sc_hd__or3_4 (2)                 |sky130_fd_sc_hd__or3_4 (2)                 
+sky130_fd_sc_hd__buf_6 (3)                 |sky130_fd_sc_hd__buf_6 (3)                 
+sky130_fd_sc_hd__a211o_4 (2)               |sky130_fd_sc_hd__a211o_4 (2)               
+sky130_fd_sc_hd__or2_4 (4)                 |sky130_fd_sc_hd__or2_4 (4)                 
+sky130_fd_sc_hd__or3_2 (3)                 |sky130_fd_sc_hd__or3_2 (3)                 
+sky130_fd_sc_hd__nor4_2 (2)                |sky130_fd_sc_hd__nor4_2 (2)                
+sky130_fd_sc_hd__buf_2 (1)                 |sky130_fd_sc_hd__buf_2 (1)                 
+sky130_fd_sc_hd__buf_1 (2)                 |sky130_fd_sc_hd__buf_1 (2)                 
+sky130_fd_sc_hd__and2_2 (7)                |sky130_fd_sc_hd__and2_2 (7)                
+sky130_fd_sc_hd__and3_2 (3)                |sky130_fd_sc_hd__and3_2 (3)                
+sky130_fd_sc_hd__o21a_4 (2)                |sky130_fd_sc_hd__o21a_4 (2)                
+sky130_fd_sc_hd__tapvpwrvgnd_1 (33)        |sky130_fd_sc_hd__tapvpwrvgnd_1 (33)        
+sky130_fd_sc_hd__nor2_4 (2)                |sky130_fd_sc_hd__nor2_4 (2)                
+sky130_fd_sc_hd__nand3_2 (1)               |sky130_fd_sc_hd__nand3_2 (1)               
+sky130_fd_sc_hd__and3_4 (1)                |sky130_fd_sc_hd__and3_4 (1)                
+sky130_fd_sc_hd__nor3_2 (3)                |sky130_fd_sc_hd__nor3_2 (3)                
+Number of devices: 139                     |Number of devices: 139                     
+Number of nets: 123                        |Number of nets: 123                        
+---------------------------------------------------------------------------------------
+Resolving automorphisms by property value.
+Resolving automorphisms by pin name.
+Netlists match with 1 symmetry.
+Circuits match correctly.
+
+Subcircuit pins:
+Circuit 1: ycell                           |Circuit 2: ycell                           
+-------------------------------------------|-------------------------------------------
+dempty                                     |dempty                                     
+din[0]                                     |din[0]                                     
+rempty                                     |rempty                                     
+din[1]                                     |din[1]                                     
+rin[1]                                     |rin[1]                                     
+lempty                                     |lempty                                     
+uempty                                     |uempty                                     
+lin[0]                                     |lin[0]                                     
+uin[0]                                     |uin[0]                                     
+rin[0]                                     |rin[0]                                     
+uin[1]                                     |uin[1]                                     
+lin[1]                                     |lin[1]                                     
+cbitin                                     |cbitin                                     
+vempty                                     |vempty                                     
+dout[0]                                    |dout[0]                                    
+dout[1]                                    |dout[1]                                    
+rout[1]                                    |rout[1]                                    
+rout[0]                                    |rout[0]                                    
+lout[0]                                    |lout[0]                                    
+reset                                      |reset                                      
+cbitout                                    |cbitout                                    
+uout[1]                                    |uout[1]                                    
+uout[0]                                    |uout[0]                                    
+hempty                                     |hempty                                     
+lout[1]                                    |lout[1]                                    
+confclk                                    |confclk                                    
+VGND                                       |VGND                                       
+VPWR                                       |VPWR                                       
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes ycell and ycell are equivalent.
+Circuits match uniquely.
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
new file mode 100644
index 0000000..420c961
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs.powered.v
@@ -0,0 +1,2714 @@
+/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
+
+module ycell(cbitin, cbitout, confclk, dempty, hempty, lempty, rempty, reset, uempty, vempty, VPWR, VGND, din, dout, lin, lout, rin, rout, uin, uout);
+  input VGND;
+  input VPWR;
+  wire _000_;
+  wire _001_;
+  wire _002_;
+  wire _003_;
+  wire _004_;
+  wire _005_;
+  wire _006_;
+  wire _007_;
+  wire _008_;
+  wire _009_;
+  wire _010_;
+  wire _011_;
+  wire _012_;
+  wire _013_;
+  wire _014_;
+  wire _015_;
+  wire _016_;
+  wire _017_;
+  wire _018_;
+  wire _019_;
+  wire _020_;
+  wire _021_;
+  wire _022_;
+  wire _023_;
+  wire _024_;
+  wire _025_;
+  wire _026_;
+  wire _027_;
+  wire _028_;
+  wire _029_;
+  wire _030_;
+  wire _031_;
+  wire _032_;
+  wire _033_;
+  wire _034_;
+  wire _035_;
+  wire _036_;
+  wire _037_;
+  wire _038_;
+  wire _039_;
+  wire _040_;
+  wire _041_;
+  wire _042_;
+  wire _043_;
+  wire _044_;
+  wire _045_;
+  wire _046_;
+  wire _047_;
+  wire _048_;
+  wire _049_;
+  wire _050_;
+  wire _051_;
+  wire _052_;
+  wire _053_;
+  wire _054_;
+  wire _055_;
+  wire _056_;
+  wire _057_;
+  wire _058_;
+  wire _059_;
+  wire _060_;
+  wire _061_;
+  wire _062_;
+  wire _063_;
+  wire _064_;
+  wire _065_;
+  wire _066_;
+  wire _067_;
+  wire _068_;
+  wire _069_;
+  wire _070_;
+  wire _071_;
+  wire _072_;
+  wire _073_;
+  wire _074_;
+  wire _075_;
+  wire _076_;
+  wire _077_;
+  wire _078_;
+  input cbitin;
+  output cbitout;
+  wire \cfg.cnfg[0] ;
+  wire \cfg.cnfg[1] ;
+  input confclk;
+  input dempty;
+  input [1:0] din;
+  output [1:0] dout;
+  output hempty;
+  wire \hfsm.clear ;
+  wire \hfsm.in[1] ;
+  wire \hfsm.lin[0] ;
+  wire \hfsm.lin[1] ;
+  wire \hfsm.lmatch[0] ;
+  wire \hfsm.lmatch[1] ;
+  wire \hfsm.nlmempty ;
+  input lempty;
+  input [1:0] lin;
+  output [1:0] lout;
+  input rempty;
+  input reset;
+  input [1:0] rin;
+  output [1:0] rout;
+  input uempty;
+  input [1:0] uin;
+  output [1:0] uout;
+  output vempty;
+  wire \vfsm.clear ;
+  wire \vfsm.in[1] ;
+  wire \vfsm.lin[0] ;
+  wire \vfsm.lin[1] ;
+  wire \vfsm.lmatch[0] ;
+  wire \vfsm.lmatch[1] ;
+  wire \vfsm.nlmempty ;
+  sky130_fd_sc_hd__decap_12 FILLER_0_106 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_0_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_0_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_44 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_0_56 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_63 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_75 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_0_87 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_94 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_100 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_10_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_46 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_10_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_10_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_11_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_11_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_12 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_25 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_11_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_11_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_72 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_12_101 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_12_113 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_12_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_37 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_69 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_13_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_13_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_22 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_71 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_8 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_88 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_14_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_14_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_14_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_14_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_14_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_68 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_9 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_15_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_19 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_15_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_94 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_16_107 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_16_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_56 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_16_78 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_16_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_17_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_17_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_17_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_17_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_66 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_18_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_18_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_18_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_18_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_18_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_18_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_18_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_108 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_19_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_19_59 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_6 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_19_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_19_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_104 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_1_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_1_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_1_59 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_1_67 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_80 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_92 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_2_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_2_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_2_44 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_2_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_2_54 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_2_67 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_80 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_93 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_109 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_3_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_3_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_3_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_3_66 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_72 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_85 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_97 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_110 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_4_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_4_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_4_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_47 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_73 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_4_85 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_4_91 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_5_101 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_5_113 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_5_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_5_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_5_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_75 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_88 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_6_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_6_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_65 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_73 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_81 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_110 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_7_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_7_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_7_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_71 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_97 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_8_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_8_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_64 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_8_78 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_8_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_9_104 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_9_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_14 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_9_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_9_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_76 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_0 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_1 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_12 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_13 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_14 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_16 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_17 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_18 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_19 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_2 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_20 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_22 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_24 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_25 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_26 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_28 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_29 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_30 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_31 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_33 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_34 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_35 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_37 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_4 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_40 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_41 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_42 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_43 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_44 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_45 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_46 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_47 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_48 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_49 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_5 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_50 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_51 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_52 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_53 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_54 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_55 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_56 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_57 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_58 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_59 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_6 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_60 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_61 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_62 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_63 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_64 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_65 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_7 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_8 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_9 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__or2_2 _079_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_041_)
+  );
+  sky130_fd_sc_hd__nor3_2 _080_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_042_)
+  );
+  sky130_fd_sc_hd__nor2_2 _081_ (
+    .A(\hfsm.nlmempty ),
+    .B(_042_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_043_)
+  );
+  sky130_fd_sc_hd__inv_8 _082_ (
+    .A(\cfg.cnfg[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_044_)
+  );
+  sky130_fd_sc_hd__inv_8 _083_ (
+    .A(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_045_)
+  );
+  sky130_fd_sc_hd__or2_4 _084_ (
+    .A(_044_),
+    .B(_045_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_046_)
+  );
+  sky130_fd_sc_hd__buf_6 _085_ (
+    .A(cbitout),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_047_)
+  );
+  sky130_fd_sc_hd__or2_2 _086_ (
+    .A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_048_)
+  );
+  sky130_fd_sc_hd__nor2_2 _087_ (
+    .A(_044_),
+    .B(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_049_)
+  );
+  sky130_fd_sc_hd__nand2_2 _088_ (
+    .A(_045_),
+    .B(_049_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_050_)
+  );
+  sky130_fd_sc_hd__inv_8 _089_ (
+    .A(_050_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_051_)
+  );
+  sky130_fd_sc_hd__inv_8 _090_ (
+    .A(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_052_)
+  );
+  sky130_fd_sc_hd__and3_2 _091_ (
+    .A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_053_)
+  );
+  sky130_fd_sc_hd__or2_2 _092_ (
+    .A(_051_),
+    .B(_053_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(vempty)
+  );
+  sky130_fd_sc_hd__or2_2 _093_ (
+    .A(dempty),
+    .B(vempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_054_)
+  );
+  sky130_fd_sc_hd__buf_2 _094_ (
+    .A(_054_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_055_)
+  );
+  sky130_fd_sc_hd__inv_8 _095_ (
+    .A(_055_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_056_)
+  );
+  sky130_fd_sc_hd__or2_4 _096_ (
+    .A(cbitout),
+    .B(_046_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_057_)
+  );
+  sky130_fd_sc_hd__buf_6 _097_ (
+    .A(_057_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_058_)
+  );
+  sky130_fd_sc_hd__inv_8 _098_ (
+    .A(_058_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_059_)
+  );
+  sky130_fd_sc_hd__a32o_4 _099_ (
+    .A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(dout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _100_ (
+    .A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(uout[1])
+  );
+  sky130_fd_sc_hd__nand3_2 _101_ (
+    .A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_060_)
+  );
+  sky130_fd_sc_hd__inv_8 _102_ (
+    .A(uempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_061_)
+  );
+  sky130_fd_sc_hd__and2_2 _103_ (
+    .A(uin[0]),
+    .B(_061_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_062_)
+  );
+  sky130_fd_sc_hd__or2_2 _104_ (
+    .A(_058_),
+    .B(_062_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_063_)
+  );
+  sky130_fd_sc_hd__and2_2 _105_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_064_)
+  );
+  sky130_fd_sc_hd__o21a_4 _106_ (
+    .A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_065_)
+  );
+  sky130_fd_sc_hd__or3_2 _107_ (
+    .A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_066_)
+  );
+  sky130_fd_sc_hd__and2_2 _108_ (
+    .A(_063_),
+    .B(_066_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_067_)
+  );
+  sky130_fd_sc_hd__buf_1 _109_ (
+    .A(_067_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(dout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _110_ (
+    .A(_055_),
+    .B(dout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_068_)
+  );
+  sky130_fd_sc_hd__nand2_2 _111_ (
+    .A(din[0]),
+    .B(_056_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_069_)
+  );
+  sky130_fd_sc_hd__nand2_2 _112_ (
+    .A(_068_),
+    .B(_069_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(uout[0])
+  );
+  sky130_fd_sc_hd__or2_2 _113_ (
+    .A(_052_),
+    .B(_046_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_070_)
+  );
+  sky130_fd_sc_hd__or2_2 _114_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_071_)
+  );
+  sky130_fd_sc_hd__nand2_2 _115_ (
+    .A(_070_),
+    .B(_071_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_072_)
+  );
+  sky130_fd_sc_hd__nand2_2 _116_ (
+    .A(uout[0]),
+    .B(_072_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_073_)
+  );
+  sky130_fd_sc_hd__and3_2 _117_ (
+    .A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_074_)
+  );
+  sky130_fd_sc_hd__nor2_2 _118_ (
+    .A(_043_),
+    .B(_074_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _119_ (
+    .A(\vfsm.lin[0] ),
+    .B(_062_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_075_)
+  );
+  sky130_fd_sc_hd__nor2_2 _120_ (
+    .A(\vfsm.clear ),
+    .B(_075_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _121_ (
+    .A(\vfsm.nlmempty ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_076_)
+  );
+  sky130_fd_sc_hd__nor2_2 _122_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_077_)
+  );
+  sky130_fd_sc_hd__nor2_2 _123_ (
+    .A(_047_),
+    .B(_077_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_078_)
+  );
+  sky130_fd_sc_hd__and3_2 _124_ (
+    .A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_000_)
+  );
+  sky130_fd_sc_hd__or2_4 _125_ (
+    .A(_053_),
+    .B(_059_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(hempty)
+  );
+  sky130_fd_sc_hd__or2_4 _126_ (
+    .A(rempty),
+    .B(hempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_001_)
+  );
+  sky130_fd_sc_hd__buf_6 _127_ (
+    .A(_001_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_002_)
+  );
+  sky130_fd_sc_hd__inv_8 _128_ (
+    .A(_002_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_003_)
+  );
+  sky130_fd_sc_hd__a32o_4 _129_ (
+    .A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(rout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _130_ (
+    .A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(lout[1])
+  );
+  sky130_fd_sc_hd__inv_8 _131_ (
+    .A(lout[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_004_)
+  );
+  sky130_fd_sc_hd__or3_4 _132_ (
+    .A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_005_)
+  );
+  sky130_fd_sc_hd__nor2_2 _133_ (
+    .A(_076_),
+    .B(_005_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_006_)
+  );
+  sky130_fd_sc_hd__nor2_2 _134_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_007_)
+  );
+  sky130_fd_sc_hd__nor2_4 _135_ (
+    .A(\vfsm.clear ),
+    .B(_007_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _136_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_008_)
+  );
+  sky130_fd_sc_hd__nor2_2 _137_ (
+    .A(\vfsm.clear ),
+    .B(_008_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__inv_8 _138_ (
+    .A(lempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_009_)
+  );
+  sky130_fd_sc_hd__and2_2 _139_ (
+    .A(lin[0]),
+    .B(_009_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_010_)
+  );
+  sky130_fd_sc_hd__or2_2 _140_ (
+    .A(_050_),
+    .B(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_011_)
+  );
+  sky130_fd_sc_hd__and2_2 _141_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_012_)
+  );
+  sky130_fd_sc_hd__o21a_4 _142_ (
+    .A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_013_)
+  );
+  sky130_fd_sc_hd__or3_2 _143_ (
+    .A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_014_)
+  );
+  sky130_fd_sc_hd__and2_2 _144_ (
+    .A(_011_),
+    .B(_014_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_015_)
+  );
+  sky130_fd_sc_hd__buf_1 _145_ (
+    .A(_015_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(rout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _146_ (
+    .A(_002_),
+    .B(rout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_016_)
+  );
+  sky130_fd_sc_hd__nand2_2 _147_ (
+    .A(rin[0]),
+    .B(_003_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_017_)
+  );
+  sky130_fd_sc_hd__nor2_2 _148_ (
+    .A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_018_)
+  );
+  sky130_fd_sc_hd__a211o_4 _149_ (
+    .A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_019_)
+  );
+  sky130_fd_sc_hd__nor2_2 _150_ (
+    .A(_076_),
+    .B(_019_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_020_)
+  );
+  sky130_fd_sc_hd__nor2_2 _151_ (
+    .A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_021_)
+  );
+  sky130_fd_sc_hd__nor2_2 _152_ (
+    .A(\vfsm.clear ),
+    .B(_021_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _153_ (
+    .A(\hfsm.nlmempty ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_022_)
+  );
+  sky130_fd_sc_hd__nor2_2 _154_ (
+    .A(_022_),
+    .B(_073_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_023_)
+  );
+  sky130_fd_sc_hd__nor2_2 _155_ (
+    .A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_024_)
+  );
+  sky130_fd_sc_hd__nor2_2 _156_ (
+    .A(\hfsm.clear ),
+    .B(_024_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__and2_2 _157_ (
+    .A(_061_),
+    .B(uin[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_025_)
+  );
+  sky130_fd_sc_hd__nor3_2 _158_ (
+    .A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_026_)
+  );
+  sky130_fd_sc_hd__or2_2 _159_ (
+    .A(_025_),
+    .B(_026_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\vfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _160_ (
+    .A(_022_),
+    .B(_060_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_027_)
+  );
+  sky130_fd_sc_hd__nor2_2 _161_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_028_)
+  );
+  sky130_fd_sc_hd__nor2_2 _162_ (
+    .A(\hfsm.clear ),
+    .B(_028_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _163_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_029_)
+  );
+  sky130_fd_sc_hd__nor2_2 _164_ (
+    .A(\hfsm.clear ),
+    .B(_029_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__nand2_2 _165_ (
+    .A(_009_),
+    .B(lin[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_030_)
+  );
+  sky130_fd_sc_hd__nand2_2 _166_ (
+    .A(_016_),
+    .B(_017_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(lout[0])
+  );
+  sky130_fd_sc_hd__or3_4 _167_ (
+    .A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_031_)
+  );
+  sky130_fd_sc_hd__nand2_2 _168_ (
+    .A(_030_),
+    .B(_031_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _169_ (
+    .A(\hfsm.lin[0] ),
+    .B(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_032_)
+  );
+  sky130_fd_sc_hd__nor2_2 _170_ (
+    .A(\hfsm.clear ),
+    .B(_032_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__nor2_2 _171_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_033_)
+  );
+  sky130_fd_sc_hd__nor4_2 _172_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_034_)
+  );
+  sky130_fd_sc_hd__a211o_4 _173_ (
+    .A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\vfsm.clear )
+  );
+  sky130_fd_sc_hd__or2_2 _174_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_035_)
+  );
+  sky130_fd_sc_hd__nor3_2 _175_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_036_)
+  );
+  sky130_fd_sc_hd__nor2_2 _176_ (
+    .A(\vfsm.nlmempty ),
+    .B(_036_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_037_)
+  );
+  sky130_fd_sc_hd__and3_4 _177_ (
+    .A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_038_)
+  );
+  sky130_fd_sc_hd__nor2_4 _178_ (
+    .A(_037_),
+    .B(_038_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _179_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_039_)
+  );
+  sky130_fd_sc_hd__nor4_2 _180_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_040_)
+  );
+  sky130_fd_sc_hd__or3_2 _181_ (
+    .A(reset),
+    .B(hempty),
+    .C(_040_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\hfsm.clear )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _182_ (
+    .CLK(confclk),
+    .D(cbitin),
+    .Q(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__dfxtp_4 _183_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__dfxtp_4 _184_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[1] ),
+    .Q(cbitout),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+endmodule
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs_parsed.log b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs_parsed.log
new file mode 100644
index 0000000..0a843e5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/lvs/ycell.lvs_parsed.log
@@ -0,0 +1,3 @@
+LVS reports no net, device, pin, or property mismatches.
+
+Total errors = 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/.magicrc b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/.magicrc
new file mode 100644
index 0000000..f95f0cf
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/.magicrc
@@ -0,0 +1,75 @@
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# Put grid on 0.005 pitch.  This is important, as some commands don't
+# rescale the grid automatically (such as lef read?).
+
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 2
+}
+
+# drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "/opt/asic/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/current/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/current/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/current/sky130A-BindKeys
+
+# set units to lambda grid 
+snap lambda
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE mag
+}
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc_t18
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc_t18/${MAGTYPE}
+}
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a211o_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a211o_4.ext
new file mode 100644
index 0000000..899d8f3
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a211o_4.ext
@@ -0,0 +1,76 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 5 0 -48 1288 48 m1
+port "A1" 1 1007 204 1076 266 li
+port "A2" 2 899 204 965 302 li
+port "A2" 2 899 302 1169 340 li
+port "A2" 2 1127 204 1245 264 li
+port "A2" 2 1127 264 1169 302 li
+port "C1" 4 595 197 729 255 li
+port "B1" 3 508 197 561 265 li
+port "B1" 3 525 265 561 289 li
+port "B1" 3 525 289 835 340 li
+port "B1" 3 769 197 835 289 li
+port "X" 9 17 127 405 175 li
+port "X" 9 17 175 68 299 li
+port "X" 9 17 299 328 341 li
+port "X" 9 119 341 156 493 li
+port "X" 9 197 51 235 123 li
+port "X" 9 197 123 405 127 li
+port "X" 9 290 341 328 493 li
+port "X" 9 369 51 405 123 li
+port "VPWR" 8 0 496 1288 592 m1
+port "VPB" 7 -38 261 1326 582 nw
+port "VNB" 6 29 -17 63 17 pw
+node "li_1075_n17#" 0 0 1075 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_n17#" 0 0 983 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_n17#" 0 0 1167 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A1" 0 0 1007 204 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A2" 0 0 1127 264 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A2" "A2"
+equiv "A2" "A2"
+equiv "A2" "A2"
+node "C1" 0 0 595 197 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B1" 0 0 769 197 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "B1" "B1"
+equiv "B1" "B1"
+equiv "B1" "B1"
+node "li_105_209#" 0 0 105 209 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_464_442#" 0 0 464 442 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 369 51 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_1259_527#" 0 0 1259 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_527#" 0 0 1075 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_527#" 0 0 891 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a32o_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a32o_4.ext
new file mode 100644
index 0000000..e201e4d
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__a32o_4.ext
@@ -0,0 +1,77 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 6 0 -48 1564 48 m1
+port "B2" 5 1406 215 1542 259 li
+port "B2" 5 1406 259 1445 327 li
+port "B1" 4 1126 215 1356 325 li
+port "A1" 1 856 215 1015 265 li
+port "A2" 2 667 215 806 265 li
+port "A3" 3 442 215 621 259 li
+port "X" 10 24 127 321 161 li
+port "X" 10 24 161 68 299 li
+port "X" 10 24 299 321 333 li
+port "X" 10 119 51 153 127 li
+port "X" 10 119 333 153 493 li
+port "X" 10 287 51 321 127 li
+port "X" 10 287 333 321 493 li
+port "VPWR" 9 0 496 1564 592 m1
+port "VPB" 8 -38 261 1602 582 nw
+port "VNB" 7 30 -17 64 17 pw
+node "li_1535_n17#" 0 0 1535 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1259_n17#" 0 0 1259 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_n17#" 0 0 1167 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_n17#" 0 0 1075 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_n17#" 0 0 983 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_n17#" 0 0 891 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1351_n17#" 0 0 1351 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_627_59#" 0 0 627 59 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1134_59#" 0 0 1134 59 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B2" 0 0 1406 259 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "B2" "B2"
+node "B1" 0 0 1126 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_455_51#" 0 0 455 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A1" 0 0 856 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A2" 0 0 667 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A3" 0 0 442 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_114_199#" 0 0 114 199 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_455_383#" 0 0 455 383 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 287 333 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_1535_527#" 0 0 1535 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1443_527#" 0 0 1443 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1351_527#" 0 0 1351 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1259_527#" 0 0 1259 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_527#" 0 0 1167 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_527#" 0 0 1075 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_527#" 0 0 983 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_879_451#" 0 0 879 451 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_451#" 0 0 523 451 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and2_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and2_2.ext
new file mode 100644
index 0000000..2b9cffd
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and2_2.ext
@@ -0,0 +1,40 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 552 48 m1
+port "B" 2 201 215 267 265 li
+port "X" 7 333 51 443 109 li
+port "X" 7 353 383 443 493 li
+port "X" 7 393 109 443 383 li
+port "A" 1 17 215 155 265 li
+port "A" 1 17 265 80 353 li
+port "VPWR" 6 0 496 552 592 m1
+port "VPB" 5 -38 261 590 582 nw
+port "VNB" 4 29 -17 63 17 pw
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 201 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 393 109 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+node "li_57_71#" 0 0 57 71 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 17 265 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A" "A"
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_57_393#" 0 0 57 393 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_2.ext
new file mode 100644
index 0000000..b43575d
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_2.ext
@@ -0,0 +1,44 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 552 48 m1
+port "C" 3 213 61 259 150 li
+port "C" 3 213 150 295 249 li
+port "A" 1 17 153 94 249 li
+port "X" 8 394 359 449 493 li
+port "X" 8 396 51 446 143 li
+port "X" 8 412 143 446 185 li
+port "X" 8 412 185 535 289 li
+port "X" 8 415 289 449 359 li
+port "B" 2 179 425 274 493 li
+port "VPWR" 7 0 496 552 592 m1
+port "VPB" 6 -38 261 590 582 nw
+port "VNB" 5 29 -17 63 17 pw
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 213 150 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "C" "C"
+node "A" 0 0 17 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 415 289 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "B" 0 0 179 425 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_20_285#" 0 0 20 285 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_311_358#" 0 0 311 358 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_4.ext
new file mode 100644
index 0000000..5aac8e9
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__and3_4.ext
@@ -0,0 +1,53 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 828 48 m1
+port "C" 3 305 199 380 265 li
+port "B" 2 213 149 271 268 li
+port "X" 8 490 103 528 123 li
+port "X" 8 490 123 811 169 li
+port "X" 8 490 307 811 352 li
+port "X" 8 490 352 528 493 li
+port "X" 8 662 51 700 123 li
+port "X" 8 662 352 811 353 li
+port "X" 8 662 353 700 493 li
+port "X" 8 755 169 811 307 li
+port "A" 1 23 199 175 268 li
+port "A" 1 23 268 73 467 li
+port "VPWR" 7 0 496 828 592 m1
+port "VPB" 6 -38 261 866 582 nw
+port "VNB" 5 29 -17 63 17 pw
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 305 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 213 149 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 755 169 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_93_51#" 0 0 93 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 23 268 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A" "A"
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_1.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_1.ext
new file mode 100644
index 0000000..e28491c
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_1.ext
@@ -0,0 +1,30 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 2 0 -48 276 48 m1
+port "A" 1 21 197 89 271 li
+port "X" 6 205 312 259 493 li
+port "X" 6 207 51 259 152 li
+port "X" 6 223 152 259 312 li
+port "VPWR" 5 0 496 276 592 m1
+port "VPB" 4 -38 261 314 582 nw
+port "VNB" 3 31 -17 65 17 pw
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 21 197 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 223 152 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+node "li_33_307#" 0 0 33 307 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 31 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_2.ext
new file mode 100644
index 0000000..1679d9a
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_2.ext
@@ -0,0 +1,30 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 2 0 -48 368 48 m1
+port "A" 1 17 197 88 271 li
+port "X" 6 212 51 263 166 li
+port "X" 6 212 312 263 493 li
+port "X" 6 229 166 263 312 li
+port "VPWR" 5 0 496 368 592 m1
+port "VPB" 4 -38 261 406 582 nw
+port "VNB" 3 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 17 197 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 229 166 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+node "li_35_51#" 0 0 35 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_6.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_6.ext
new file mode 100644
index 0000000..8b5dd23
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__buf_6.ext
@@ -0,0 +1,52 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 2 0 -48 828 48 m1
+port "X" 6 339 51 373 147 li
+port "X" 6 339 147 709 181 li
+port "X" 6 339 289 709 323 li
+port "X" 6 339 323 373 493 li
+port "X" 6 442 181 709 289 li
+port "X" 6 507 51 541 147 li
+port "X" 6 507 323 541 493 li
+port "X" 6 675 51 709 147 li
+port "X" 6 675 323 709 493 li
+port "A" 1 56 215 237 263 li
+port "VPWR" 5 0 496 828 592 m1
+port "VPB" 4 -38 261 866 582 nw
+port "VNB" 3 30 -17 64 17 pw
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_407_17#" 0 0 407 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 675 323 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "A" 0 0 56 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_51#" 0 0 155 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_407_367#" 0 0 407 367 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_12.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_12.ext
new file mode 100644
index 0000000..1d82f38
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_12.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 1104 48 m1
+port "VPWR" 4 0 496 1104 592 m1
+port "VPB" 3 -38 261 1142 582 nw
+port "VNB" 2 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_3.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_3.ext
new file mode 100644
index 0000000..fd16a7c
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_3.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 276 48 m1
+port "VPWR" 4 0 496 276 592 m1
+port "VPB" 3 -38 261 314 582 nw
+port "VNB" 2 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_4.ext
new file mode 100644
index 0000000..1a04fe2
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_4.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 368 48 m1
+port "VPWR" 4 0 496 368 592 m1
+port "VPB" 3 -38 261 406 582 nw
+port "VNB" 2 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_6.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_6.ext
new file mode 100644
index 0000000..d7685fd
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_6.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 552 48 m1
+port "VPWR" 4 0 496 552 592 m1
+port "VPB" 3 -38 261 590 582 nw
+port "VNB" 2 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_8.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_8.ext
new file mode 100644
index 0000000..a867479
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__decap_8.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 736 48 m1
+port "VPWR" 4 0 496 736 592 m1
+port "VPB" 3 -38 261 774 582 nw
+port "VNB" 2 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__dfxtp_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__dfxtp_4.ext
new file mode 100644
index 0000000..8e96a69
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__dfxtp_4.ext
@@ -0,0 +1,82 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 1748 48 m1
+port "Q" 7 1397 61 1464 146 li
+port "Q" 7 1397 146 1731 180 li
+port "Q" 7 1397 293 1731 327 li
+port "Q" 7 1397 327 1464 479 li
+port "Q" 7 1568 61 1635 146 li
+port "Q" 7 1568 327 1634 479 li
+port "Q" 7 1682 180 1731 293 li
+port "D" 2 288 213 344 333 li
+port "CLK" 1 18 195 88 325 li
+port "VPWR" 6 0 496 1748 592 m1
+port "VPB" 5 -38 261 1786 582 nw
+port "VNB" 4 29 -17 63 17 pw
+node "m1_202_147#" 0 0 202 147 obsm1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "m1_110_351#" 0 0 110 351 obsm1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1719_n17#" 0 0 1719 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1535_n17#" 0 0 1535 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1259_n17#" 0 0 1259 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_n17#" 0 0 1167 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_n17#" 0 0 983 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_n17#" 0 0 891 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1627_n17#" 0 0 1627 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1443_n17#" 0 0 1443 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1325_17#" 0 0 1325 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_n17#" 0 0 1075 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Q" 0 0 1682 180 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Q" "Q"
+equiv "Q" "Q"
+equiv "Q" "Q"
+equiv "Q" "Q"
+equiv "Q" "Q"
+equiv "Q" "Q"
+node "li_1096_300#" 0 0 1096 300 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_680_17#" 0 0 680 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_858_141#" 0 0 858 141 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_858_249#" 0 0 858 249 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_885_433#" 0 0 885 433 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_664_153#" 0 0 664 153 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_532_331#" 0 0 532 331 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_446_141#" 0 0 446 141 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "D" 0 0 288 213 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_474_438#" 0 0 474 438 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_378_73#" 0 0 378 73 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_203_69#" 0 0 203 69 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "CLK" 0 0 18 195 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_35_69#" 0 0 35 69 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1719_527#" 0 0 1719 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1627_527#" 0 0 1627 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1535_527#" 0 0 1535 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1443_527#" 0 0 1443 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1325_371#" 0 0 1325 371 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1259_527#" 0 0 1259 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_527#" 0 0 1167 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_527#" 0 0 1075 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_527#" 0 0 983 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_527#" 0 0 891 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_1.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_1.ext
new file mode 100644
index 0000000..35bf7c1
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_1.ext
@@ -0,0 +1,19 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 92 48 m1
+port "VPWR" 4 0 496 92 592 m1
+port "VPB" 3 -38 261 130 582 nw
+port "VNB" 2 28 -11 52 11 pw
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 28 -11 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_2.ext
new file mode 100644
index 0000000..c9c6f9b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__fill_2.ext
@@ -0,0 +1,21 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 184 48 m1
+port "VPWR" 4 0 496 184 592 m1
+port "VPB" 3 -38 261 222 582 nw
+port "VNB" 2 31 -10 63 12 pw
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 31 -10 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__inv_8.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__inv_8.ext
new file mode 100644
index 0000000..5abf75e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__inv_8.ext
@@ -0,0 +1,59 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 2 0 -48 828 48 m1
+port "A" 1 136 215 707 265 li
+port "Y" 6 17 143 811 181 li
+port "Y" 6 17 181 86 299 li
+port "Y" 6 17 299 811 333 li
+port "Y" 6 136 51 202 143 li
+port "Y" 6 136 333 202 493 li
+port "Y" 6 304 51 370 143 li
+port "Y" 6 304 333 370 493 li
+port "Y" 6 472 51 538 143 li
+port "Y" 6 472 333 538 493 li
+port "Y" 6 640 51 706 143 li
+port "Y" 6 640 333 706 493 li
+port "Y" 6 747 181 811 299 li
+port "VPWR" 5 0 496 828 592 m1
+port "VPB" 4 -38 261 866 582 nw
+port "VNB" 3 29 -17 63 17 pw
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_404_17#" 0 0 404 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_236_17#" 0 0 236 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_51_17#" 0 0 51 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 136 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 747 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_404_367#" 0 0 404 367 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_236_367#" 0 0 236 367 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_51_367#" 0 0 51 367 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand2_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand2_2.ext
new file mode 100644
index 0000000..d87eb24
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand2_2.ext
@@ -0,0 +1,41 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 460 48 m1
+port "A" 1 203 215 353 265 li
+port "B" 2 17 215 169 265 li
+port "Y" 7 103 299 443 333 li
+port "Y" 7 103 333 169 493 li
+port "Y" 7 271 131 443 181 li
+port "Y" 7 271 333 337 493 li
+port "Y" 7 387 181 443 299 li
+port "VPWR" 6 0 496 460 592 m1
+port "VPB" 5 -38 261 498 582 nw
+port "VNB" 4 30 -17 64 17 pw
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_17_51#" 0 0 17 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 203 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 17 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 387 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand3_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand3_2.ext
new file mode 100644
index 0000000..bd63b0d
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nand3_2.ext
@@ -0,0 +1,46 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 736 48 m1
+port "C" 3 494 215 719 255 li
+port "B" 2 214 215 432 255 li
+port "A" 1 18 199 66 265 li
+port "Y" 8 103 127 169 289 li
+port "Y" 8 103 289 609 333 li
+port "Y" 8 103 333 169 493 li
+port "Y" 8 271 333 337 493 li
+port "Y" 8 543 333 609 493 li
+port "VPWR" 7 0 496 736 592 m1
+port "VPB" 6 -38 261 774 582 nw
+port "VNB" 5 30 -17 64 17 pw
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_271_127#" 0 0 271 127 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 494 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 214 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_18_59#" 0 0 18 59 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 18 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 543 333 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_2.ext
new file mode 100644
index 0000000..ea0bf56
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_2.ext
@@ -0,0 +1,43 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 460 48 m1
+port "B" 2 196 215 350 255 li
+port "A" 1 18 215 162 255 li
+port "Y" 7 107 51 173 145 li
+port "Y" 7 107 145 341 147 li
+port "Y" 7 107 147 427 181 li
+port "Y" 7 275 51 341 145 li
+port "Y" 7 275 289 427 333 li
+port "Y" 7 275 333 341 425 li
+port "Y" 7 384 181 427 289 li
+port "VPWR" 6 0 496 460 592 m1
+port "VPB" 5 -38 261 498 582 nw
+port "VNB" 4 30 -17 64 17 pw
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 196 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 18 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 384 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_18_291#" 0 0 18 291 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_4.ext
new file mode 100644
index 0000000..5aa6242
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor2_4.ext
@@ -0,0 +1,56 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 828 48 m1
+port "B" 2 424 215 697 255 li
+port "A" 1 28 215 360 255 li
+port "Y" 7 107 51 173 145 li
+port "Y" 7 107 145 811 181 li
+port "Y" 7 275 51 341 145 li
+port "Y" 7 443 51 509 145 li
+port "Y" 7 459 289 811 349 li
+port "Y" 7 459 349 493 425 li
+port "Y" 7 611 51 677 145 li
+port "Y" 7 627 349 661 425 li
+port "Y" 7 731 181 811 289 li
+port "VPWR" 6 0 496 828 592 m1
+port "VPB" 5 -38 261 866 582 nw
+port "VNB" 4 30 -17 64 17 pw
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 424 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 28 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 731 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_18_291#" 0 0 18 291 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor3_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor3_2.ext
new file mode 100644
index 0000000..220a589
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor3_2.ext
@@ -0,0 +1,53 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 736 48 m1
+port "B" 2 227 215 437 257 li
+port "A" 1 27 215 193 257 li
+port "C" 3 475 215 593 257 li
+port "C" 3 475 257 528 325 li
+port "Y" 8 107 51 173 145 li
+port "Y" 8 107 145 719 181 li
+port "Y" 8 275 51 341 145 li
+port "Y" 8 551 51 617 145 li
+port "Y" 8 567 291 719 325 li
+port "Y" 8 567 325 609 425 li
+port "Y" 8 627 181 719 291 li
+port "VPWR" 7 0 496 736 592 m1
+port "VPB" 6 -38 261 774 582 nw
+port "VNB" 5 30 -17 64 17 pw
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 227 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 27 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 475 257 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "C" "C"
+node "Y" 0 0 627 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_283_359#" 0 0 283 359 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_30_291#" 0 0 30 291 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor4_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor4_2.ext
new file mode 100644
index 0000000..cf81f07
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__nor4_2.ext
@@ -0,0 +1,61 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 5 0 -48 920 48 m1
+port "D" 4 668 215 785 257 li
+port "C" 3 442 215 621 257 li
+port "B" 2 227 215 388 257 li
+port "A" 1 40 215 193 257 li
+port "Y" 9 107 51 173 145 li
+port "Y" 9 107 145 903 181 li
+port "Y" 9 275 51 341 145 li
+port "Y" 9 555 51 621 145 li
+port "Y" 9 723 51 789 145 li
+port "Y" 9 731 291 903 325 li
+port "Y" 9 731 325 781 425 li
+port "Y" 9 836 181 903 291 li
+port "VPWR" 8 0 496 920 592 m1
+port "VPB" 7 -38 261 958 582 nw
+port "VNB" 6 30 -17 64 17 pw
+node "li_891_n17#" 0 0 891 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "D" 0 0 668 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 442 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 227 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 40 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "Y" 0 0 836 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+equiv "Y" "Y"
+node "li_479_291#" 0 0 479 291 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_283_359#" 0 0 283 359 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_30_291#" 0 0 30 291 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_527#" 0 0 891 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o21a_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o21a_4.ext
new file mode 100644
index 0000000..73f2b28
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o21a_4.ext
@@ -0,0 +1,59 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 1104 48 m1
+port "A2" 2 828 199 938 265 li
+port "A1" 1 696 198 757 299 li
+port "A1" 1 696 299 1080 341 li
+port "A1" 1 1006 199 1080 299 li
+port "B1" 3 497 215 631 323 li
+port "X" 8 18 127 343 161 li
+port "X" 8 18 161 64 306 li
+port "X" 8 18 306 391 340 li
+port "X" 8 119 123 343 127 li
+port "X" 8 183 340 221 493 li
+port "X" 8 355 340 391 493 li
+port "VPWR" 7 0 496 1104 592 m1
+port "VPB" 6 -38 261 1142 582 nw
+port "VNB" 5 30 -17 64 17 pw
+node "li_1075_n17#" 0 0 1075 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_n17#" 0 0 891 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_467_51#" 0 0 467 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A2" 0 0 828 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A1" 0 0 1006 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A1" "A1"
+equiv "A1" "A1"
+node "B1" 0 0 497 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_98_199#" 0 0 98 199 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 355 340 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_983_527#" 0 0 983 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_527#" 0 0 891 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_603_455#" 0 0 603 455 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_427_451#" 0 0 427 451 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o22a_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o22a_4.ext
new file mode 100644
index 0000000..503bbeb
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__o22a_4.ext
@@ -0,0 +1,78 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 5 0 -48 1288 48 m1
+port "A2" 2 980 215 1079 255 li
+port "A1" 1 870 215 936 289 li
+port "A1" 1 870 289 1147 323 li
+port "A1" 1 1113 215 1271 255 li
+port "A1" 1 1113 255 1147 289 li
+port "B2" 4 625 215 736 255 li
+port "B1" 3 484 215 591 289 li
+port "B1" 3 484 289 836 323 li
+port "B1" 3 770 215 836 289 li
+port "X" 9 17 145 354 181 li
+port "X" 9 17 181 74 289 li
+port "X" 9 17 289 346 323 li
+port "X" 9 120 53 186 145 li
+port "X" 9 128 323 178 493 li
+port "X" 9 288 51 354 145 li
+port "X" 9 296 323 346 493 li
+port "VPWR" 8 0 496 1288 592 m1
+port "VPB" 7 -38 261 1326 582 nw
+port "VNB" 6 29 -17 63 17 pw
+node "li_1259_n17#" 0 0 1259 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_n17#" 0 0 1167 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_n17#" 0 0 983 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_n17#" 0 0 1075 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_n17#" 0 0 891 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_476_51#" 0 0 476 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_220_17#" 0 0 220 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_52_17#" 0 0 52 17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A2" 0 0 980 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A1" 0 0 1113 255 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A1" "A1"
+equiv "A1" "A1"
+equiv "A1" "A1"
+node "B2" 0 0 625 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B1" 0 0 770 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "B1" "B1"
+equiv "B1" "B1"
+node "li_108_215#" 0 0 108 215 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_920_425#" 0 0 920 425 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_568_425#" 0 0 568 425 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 296 323 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_1259_527#" 0 0 1259 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1167_527#" 0 0 1167 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1075_527#" 0 0 1075 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_983_527#" 0 0 983 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_891_527#" 0 0 891 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_44_365#" 0 0 44 365 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_2.ext
new file mode 100644
index 0000000..f15f4d1
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_2.ext
@@ -0,0 +1,39 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 460 48 m1
+port "A" 1 173 153 255 265 li
+port "B" 2 30 153 69 265 li
+port "X" 7 288 367 443 401 li
+port "X" 7 288 401 354 493 li
+port "X" 7 304 77 338 131 li
+port "X" 7 304 131 443 165 li
+port "X" 7 357 165 443 367 li
+port "VPWR" 6 0 496 460 592 m1
+port "VPB" 5 -38 261 498 582 nw
+port "VNB" 4 30 -17 64 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 173 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 30 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 357 165 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_31_299#" 0 0 31 299 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_220_367#" 0 0 220 367 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_4.ext
new file mode 100644
index 0000000..072e96f
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or2_4.ext
@@ -0,0 +1,53 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 3 0 -48 644 48 m1
+port "A" 1 173 199 248 265 li
+port "B" 2 18 153 69 265 li
+port "X" 7 288 53 354 147 li
+port "X" 7 288 147 627 181 li
+port "X" 7 288 367 522 401 li
+port "X" 7 288 401 354 493 li
+port "X" 7 456 53 522 147 li
+port "X" 7 456 299 627 333 li
+port "X" 7 456 333 522 367 li
+port "X" 7 456 401 522 493 li
+port "X" 7 558 181 627 299 li
+port "VPWR" 6 0 496 644 592 m1
+port "VPB" 5 -38 261 682 582 nw
+port "VNB" 4 30 -17 64 17 pw
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_n17#" 0 0 339 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "A" 0 0 173 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 18 153 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 558 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "li_31_299#" 0 0 31 299 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_339_527#" 0 0 339 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_2.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_2.ext
new file mode 100644
index 0000000..9f320ed
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_2.ext
@@ -0,0 +1,39 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 552 48 m1
+port "C" 3 17 199 87 265 li
+port "X" 8 388 83 443 152 li
+port "X" 8 388 299 443 493 li
+port "X" 8 409 152 443 299 li
+port "A" 1 121 199 286 265 li
+port "A" 1 121 265 166 323 li
+port "B" 2 17 425 256 483 li
+port "VPWR" 7 0 496 552 592 m1
+port "VPB" 6 -38 261 590 582 nw
+port "VNB" 5 29 -17 63 17 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 17 199 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 409 152 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+node "A" 0 0 121 265 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "A" "A"
+node "li_21_61#" 0 0 21 61 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 17 425 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 29 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_4.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_4.ext
new file mode 100644
index 0000000..b0240c0
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__or3_4.ext
@@ -0,0 +1,56 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 4 0 -48 828 48 m1
+port "X" 8 461 53 527 147 li
+port "X" 8 461 147 811 181 li
+port "X" 8 469 291 811 325 li
+port "X" 8 469 325 519 493 li
+port "X" 8 629 53 695 147 li
+port "X" 8 637 325 687 493 li
+port "X" 8 753 181 811 291 li
+port "A" 1 245 215 340 265 li
+port "C" 3 17 215 85 265 li
+port "B" 2 119 215 211 265 li
+port "B" 2 119 265 166 410 li
+port "VPWR" 7 0 496 828 592 m1
+port "VPB" 6 -38 261 866 582 nw
+port "VNB" 5 30 -17 64 17 pw
+node "li_799_n17#" 0 0 799 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_n17#" 0 0 615 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_n17#" 0 0 431 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_n17#" 0 0 155 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_n17#" 0 0 707 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_n17#" 0 0 523 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_n17#" 0 0 247 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_n17#" 0 0 63 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "X" 0 0 753 181 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+equiv "X" "X"
+node "A" 0 0 245 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "C" 0 0 17 215 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "B" 0 0 119 265 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+equiv "B" "B"
+node "li_17_51#" 0 0 17 51 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_799_527#" 0 0 799 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_707_527#" 0 0 707 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_615_527#" 0 0 615 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_523_527#" 0 0 523 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_431_527#" 0 0 431 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_247_527#" 0 0 247 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_155_527#" 0 0 155 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_63_527#" 0 0 63 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPB" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VNB" 0 0 30 -17 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext
new file mode 100644
index 0000000..e4fbc12
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/sky130_fd_sc_hd__tapvpwrvgnd_1.ext
@@ -0,0 +1,17 @@
+timestamp 1606940983
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+abstract
+port "VGND" 1 0 -48 92 48 m1
+port "VPWR" 2 0 496 92 592 m1
+port "VPWR" 2 -38 261 130 582 nw
+port "VGND" 1 29 64 63 169 pw
+node "VGND" 0 0 0 -48 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_n17#" 0 0 0 -17 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 0 496 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_0_527#" 0 0 0 527 obsli 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 -38 261 nw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VGND" 0 0 29 64 pw 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.drc.mag b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.drc.mag
new file mode 100644
index 0000000..7798263
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.drc.mag
@@ -0,0 +1,11742 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606941357
+<< checkpaint >>
+rect -3932 -3932 17430 19574
+<< viali >>
+rect 1593 12937 1627 12971
+rect 7481 12801 7515 12835
+rect 8033 12801 8067 12835
+rect 10517 12801 10551 12835
+rect 1409 12733 1443 12767
+rect 3065 12733 3099 12767
+rect 4077 12733 4111 12767
+rect 4353 12733 4387 12767
+rect 7573 12733 7607 12767
+rect 7941 12733 7975 12767
+rect 9781 12733 9815 12767
+rect 10425 12733 10459 12767
+rect 10747 12733 10781 12767
+rect 10885 12733 10919 12767
+rect 3157 12665 3191 12699
+rect 6929 12665 6963 12699
+rect 5457 12597 5491 12631
+rect 5825 12325 5859 12359
+rect 6653 12325 6687 12359
+rect 3065 12257 3099 12291
+rect 4169 12257 4203 12291
+rect 7113 12257 7147 12291
+rect 7297 12257 7331 12291
+rect 7481 12257 7515 12291
+rect 8125 12257 8159 12291
+rect 9689 12257 9723 12291
+rect 9781 12257 9815 12291
+rect 4445 12189 4479 12223
+rect 7757 12189 7791 12223
+rect 2881 12053 2915 12087
+rect 3801 11713 3835 11747
+rect 2881 11645 2915 11679
+rect 4077 11645 4111 11679
+rect 7849 11645 7883 11679
+rect 8033 11645 8067 11679
+rect 8217 11645 8251 11679
+rect 8585 11645 8619 11679
+rect 8769 11645 8803 11679
+rect 9597 11645 9631 11679
+rect 9873 11645 9907 11679
+rect 10149 11645 10183 11679
+rect 10333 11645 10367 11679
+rect 2973 11577 3007 11611
+rect 7297 11577 7331 11611
+rect 5181 11509 5215 11543
+rect 9689 11509 9723 11543
+rect 4077 11237 4111 11271
+rect 4261 11237 4295 11271
+rect 2421 11169 2455 11203
+rect 2513 11169 2547 11203
+rect 2651 11169 2685 11203
+rect 4537 11169 4571 11203
+rect 5365 11169 5399 11203
+rect 5641 11169 5675 11203
+rect 5917 11169 5951 11203
+rect 6009 11169 6043 11203
+rect 7665 11169 7699 11203
+rect 8033 11169 8067 11203
+rect 8217 11169 8251 11203
+rect 10333 11169 10367 11203
+rect 10701 11169 10735 11203
+rect 10793 11169 10827 11203
+rect 3157 11101 3191 11135
+rect 6193 11101 6227 11135
+rect 7573 11101 7607 11135
+rect 10425 11101 10459 11135
+rect 7113 11033 7147 11067
+rect 9965 11033 9999 11067
+rect 4261 10965 4295 10999
+rect 2513 10761 2547 10795
+rect 2237 10557 2271 10591
+rect 2422 10557 2456 10591
+rect 3709 10557 3743 10591
+rect 4169 10557 4203 10591
+rect 4353 10557 4387 10591
+rect 5825 10557 5859 10591
+rect 7665 10557 7699 10591
+rect 7941 10557 7975 10591
+rect 8125 10557 8159 10591
+rect 9597 10557 9631 10591
+rect 10609 10557 10643 10591
+rect 7113 10489 7147 10523
+rect 8953 10489 8987 10523
+rect 10977 10489 11011 10523
+rect 5457 10421 5491 10455
+rect 8217 10217 8251 10251
+rect 2697 10149 2731 10183
+rect 2881 10149 2915 10183
+rect 5181 10149 5215 10183
+rect 1409 10081 1443 10115
+rect 4813 10081 4847 10115
+rect 6285 10081 6319 10115
+rect 7113 10081 7147 10115
+rect 7297 10081 7331 10115
+rect 8125 10081 8159 10115
+rect 8401 10081 8435 10115
+rect 9873 10081 9907 10115
+rect 1501 10013 1535 10047
+rect 6837 10013 6871 10047
+rect 8585 10013 8619 10047
+rect 9689 10013 9723 10047
+rect 1501 9877 1535 9911
+rect 1777 9877 1811 9911
+rect 2881 9877 2915 9911
+rect 3065 9877 3099 9911
+rect 1777 9537 1811 9571
+rect 2605 9537 2639 9571
+rect 1501 9469 1535 9503
+rect 1685 9469 1719 9503
+rect 2789 9469 2823 9503
+rect 3893 9469 3927 9503
+rect 4077 9469 4111 9503
+rect 5641 9469 5675 9503
+rect 6929 9469 6963 9503
+rect 8953 9469 8987 9503
+rect 10149 9469 10183 9503
+rect 4261 9401 4295 9435
+rect 10701 9401 10735 9435
+rect 2973 9333 3007 9367
+rect 5641 9333 5675 9367
+rect 7113 9333 7147 9367
+rect 8861 9333 8895 9367
+rect 3065 9129 3099 9163
+rect 1961 9061 1995 9095
+rect 4077 9061 4111 9095
+rect 4261 9061 4295 9095
+rect 4445 9061 4479 9095
+rect 5825 9061 5859 9095
+rect 7297 9061 7331 9095
+rect 8217 9061 8251 9095
+rect 1685 8993 1719 9027
+rect 1869 8993 1903 9027
+rect 2881 8993 2915 9027
+rect 3065 8993 3099 9027
+rect 5273 8993 5307 9027
+rect 5457 8993 5491 9027
+rect 6745 8993 6779 9027
+rect 8401 8993 8435 9027
+rect 8769 8993 8803 9027
+rect 9689 8993 9723 9027
+rect 9873 8993 9907 9027
+rect 10333 8993 10367 9027
+rect 9781 8789 9815 8823
+rect 4353 8585 4387 8619
+rect 4537 8585 4571 8619
+rect 5641 8585 5675 8619
+rect 7021 8517 7055 8551
+rect 4261 8449 4295 8483
+rect 9045 8449 9079 8483
+rect 10517 8449 10551 8483
+rect 1869 8381 1903 8415
+rect 1961 8381 1995 8415
+rect 2973 8381 3007 8415
+rect 3157 8381 3191 8415
+rect 4169 8381 4203 8415
+rect 5917 8381 5951 8415
+rect 6929 8381 6963 8415
+rect 7205 8381 7239 8415
+rect 8493 8381 8527 8415
+rect 8953 8381 8987 8415
+rect 10057 8381 10091 8415
+rect 10425 8381 10459 8415
+rect 2145 8313 2179 8347
+rect 3341 8313 3375 8347
+rect 5457 8313 5491 8347
+rect 7665 8313 7699 8347
+rect 5641 8245 5675 8279
+rect 10149 8245 10183 8279
+rect 5181 8041 5215 8075
+rect 8125 7973 8159 8007
+rect 9689 7973 9723 8007
+rect 10241 7973 10275 8007
+rect 1685 7905 1719 7939
+rect 1869 7905 1903 7939
+rect 2881 7905 2915 7939
+rect 3065 7905 3099 7939
+rect 4997 7905 5031 7939
+rect 6285 7905 6319 7939
+rect 7573 7905 7607 7939
+rect 7665 7905 7699 7939
+rect 9873 7905 9907 7939
+rect 11069 7905 11103 7939
+rect 1961 7837 1995 7871
+rect 4813 7837 4847 7871
+rect 6101 7837 6135 7871
+rect 3065 7769 3099 7803
+rect 6469 7769 6503 7803
+rect 7389 7701 7423 7735
+rect 11253 7701 11287 7735
+rect 9229 7497 9263 7531
+rect 5917 7429 5951 7463
+rect 4721 7361 4755 7395
+rect 7849 7361 7883 7395
+rect 10149 7361 10183 7395
+rect 1961 7293 1995 7327
+rect 2237 7293 2271 7327
+rect 3249 7293 3283 7327
+rect 3433 7293 3467 7327
+rect 4445 7293 4479 7327
+rect 4629 7293 4663 7327
+rect 5733 7293 5767 7327
+rect 7389 7293 7423 7327
+rect 7757 7293 7791 7327
+rect 8861 7293 8895 7327
+rect 9045 7293 9079 7327
+rect 10333 7293 10367 7327
+rect 2329 7225 2363 7259
+rect 5549 7225 5583 7259
+rect 8033 7225 8067 7259
+rect 3433 7157 3467 7191
+rect 10517 7157 10551 7191
+rect 3065 6953 3099 6987
+rect 10977 6953 11011 6987
+rect 4537 6885 4571 6919
+rect 5365 6885 5399 6919
+rect 6929 6885 6963 6919
+rect 1685 6817 1719 6851
+rect 1869 6817 1903 6851
+rect 1961 6817 1995 6851
+rect 2881 6817 2915 6851
+rect 3065 6817 3099 6851
+rect 4169 6817 4203 6851
+rect 4353 6817 4387 6851
+rect 5549 6817 5583 6851
+rect 6653 6817 6687 6851
+rect 6745 6817 6779 6851
+rect 7757 6817 7791 6851
+rect 7941 6817 7975 6851
+rect 9781 6817 9815 6851
+rect 9965 6817 9999 6851
+rect 10885 6817 10919 6851
+rect 11069 6817 11103 6851
+rect 8125 6681 8159 6715
+rect 5641 6613 5675 6647
+rect 7757 6613 7791 6647
+rect 9781 6613 9815 6647
+rect 3433 6409 3467 6443
+rect 5825 6409 5859 6443
+rect 7481 6409 7515 6443
+rect 9689 6409 9723 6443
+rect 4629 6341 4663 6375
+rect 8769 6341 8803 6375
+rect 10885 6341 10919 6375
+rect 2421 6273 2455 6307
+rect 1777 6205 1811 6239
+rect 3249 6205 3283 6239
+rect 4445 6205 4479 6239
+rect 4629 6205 4663 6239
+rect 5549 6205 5583 6239
+rect 7113 6205 7147 6239
+rect 7297 6205 7331 6239
+rect 8401 6205 8435 6239
+rect 8585 6205 8619 6239
+rect 9597 6205 9631 6239
+rect 9781 6205 9815 6239
+rect 10793 6205 10827 6239
+rect 10977 6205 11011 6239
+rect 5733 6137 5767 6171
+rect 9781 5865 9815 5899
+rect 5825 5797 5859 5831
+rect 7021 5797 7055 5831
+rect 8493 5797 8527 5831
+rect 2881 5729 2915 5763
+rect 2973 5729 3007 5763
+rect 4353 5729 4387 5763
+rect 4537 5729 4571 5763
+rect 5457 5729 5491 5763
+rect 5641 5729 5675 5763
+rect 6653 5729 6687 5763
+rect 6837 5729 6871 5763
+rect 8125 5729 8159 5763
+rect 8401 5729 8435 5763
+rect 9781 5729 9815 5763
+rect 9873 5729 9907 5763
+rect 10885 5729 10919 5763
+rect 11069 5729 11103 5763
+rect 3157 5661 3191 5695
+rect 4537 5593 4571 5627
+rect 10977 5593 11011 5627
+rect 7665 5321 7699 5355
+rect 10241 5321 10275 5355
+rect 4629 5253 4663 5287
+rect 9045 5253 9079 5287
+rect 5917 5185 5951 5219
+rect 3249 5117 3283 5151
+rect 3433 5117 3467 5151
+rect 4445 5117 4479 5151
+rect 4629 5117 4663 5151
+rect 5641 5117 5675 5151
+rect 5825 5117 5859 5151
+rect 7573 5117 7607 5151
+rect 7849 5117 7883 5151
+rect 8769 5117 8803 5151
+rect 8953 5117 8987 5151
+rect 10149 5117 10183 5151
+rect 3525 5049 3559 5083
+rect 9965 5049 9999 5083
+rect 6285 4777 6319 4811
+rect 7665 4777 7699 4811
+rect 9873 4709 9907 4743
+rect 10057 4709 10091 4743
+rect 5089 4641 5123 4675
+rect 5273 4641 5307 4675
+rect 6193 4641 6227 4675
+rect 6377 4641 6411 4675
+rect 7389 4641 7423 4675
+rect 7573 4641 7607 4675
+rect 9689 4641 9723 4675
+rect 5273 4505 5307 4539
+rect 5549 4233 5583 4267
+rect 5549 4029 5583 4063
+rect 5733 4029 5767 4063
+rect 7297 4029 7331 4063
+rect 7573 4029 7607 4063
+rect 8585 4029 8619 4063
+rect 8769 4029 8803 4063
+rect 8861 3961 8895 3995
+rect 7389 3893 7423 3927
+rect 6929 3689 6963 3723
+rect 8401 3621 8435 3655
+rect 5641 3553 5675 3587
+rect 5825 3553 5859 3587
+rect 6837 3553 6871 3587
+rect 7021 3553 7055 3587
+rect 8033 3553 8067 3587
+rect 8217 3553 8251 3587
+rect 5917 3417 5951 3451
+rect 7113 3077 7147 3111
+rect 8309 3077 8343 3111
+rect 6837 2941 6871 2975
+rect 7021 2941 7055 2975
+rect 8033 2941 8067 2975
+rect 8217 2941 8251 2975
+<< metal1 >>
+rect 4522 14696 4528 14748
+rect 4580 14736 4586 14748
+rect 4614 14736 4620 14748
+rect 4580 14708 4620 14736
+rect 4580 14696 4586 14708
+rect 4614 14696 4620 14708
+rect 4672 14696 4678 14748
+rect 1578 13132 1584 13184
+rect 1636 13172 1642 13184
+rect 7466 13172 7472 13184
+rect 1636 13144 7472 13172
+rect 1636 13132 1642 13144
+rect 7466 13132 7472 13144
+rect 7524 13132 7530 13184
+rect 1104 13082 12328 13104
+rect 1104 13030 2852 13082
+rect 2904 13030 2916 13082
+rect 2968 13030 2980 13082
+rect 3032 13030 3044 13082
+rect 3096 13030 6594 13082
+rect 6646 13030 6658 13082
+rect 6710 13030 6722 13082
+rect 6774 13030 6786 13082
+rect 6838 13030 10335 13082
+rect 10387 13030 10399 13082
+rect 10451 13030 10463 13082
+rect 10515 13030 10527 13082
+rect 10579 13030 12328 13082
+rect 1104 13008 12328 13030
+rect 1581 12971 1639 12977
+rect 1581 12937 1593 12971
+rect 1627 12968 1639 12971
+rect 8754 12968 8760 12980
+rect 1627 12940 8760 12968
+rect 1627 12937 1639 12940
+rect 1581 12931 1639 12937
+rect 8754 12928 8760 12940
+rect 8812 12968 8818 12980
+rect 9490 12968 9496 12980
+rect 8812 12940 9496 12968
+rect 8812 12928 8818 12940
+rect 9490 12928 9496 12940
+rect 9548 12928 9554 12980
+rect 10686 12928 10692 12980
+rect 10744 12968 10750 12980
+rect 11882 12968 11888 12980
+rect 10744 12940 11888 12968
+rect 10744 12928 10750 12940
+rect 11882 12928 11888 12940
+rect 11940 12928 11946 12980
+rect 5074 12860 5080 12912
+rect 5132 12900 5138 12912
+rect 10870 12900 10876 12912
+rect 5132 12872 8064 12900
+rect 5132 12860 5138 12872
+rect 7466 12832 7472 12844
+rect 7427 12804 7472 12832
+rect 7466 12792 7472 12804
+rect 7524 12792 7530 12844
+rect 8036 12841 8064 12872
+rect 10520 12872 10876 12900
+rect 8021 12835 8079 12841
+rect 8021 12801 8033 12835
+rect 8067 12801 8079 12835
+rect 8021 12795 8079 12801
+rect 9858 12792 9864 12844
+rect 9916 12832 9922 12844
+rect 10520 12841 10548 12872
+rect 10870 12860 10876 12872
+rect 10928 12860 10934 12912
+rect 10505 12835 10563 12841
+rect 9916 12804 10456 12832
+rect 9916 12792 9922 12804
+rect 1394 12764 1400 12776
+rect 1355 12736 1400 12764
+rect 1394 12724 1400 12736
+rect 1452 12724 1458 12776
+rect 3053 12767 3111 12773
+rect 3053 12733 3065 12767
+rect 3099 12764 3111 12767
+rect 3510 12764 3516 12776
+rect 3099 12736 3516 12764
+rect 3099 12733 3111 12736
+rect 3053 12727 3111 12733
+rect 3510 12724 3516 12736
+rect 3568 12724 3574 12776
+rect 4062 12764 4068 12776
+rect 4023 12736 4068 12764
+rect 4062 12724 4068 12736
+rect 4120 12724 4126 12776
+rect 4154 12724 4160 12776
+rect 4212 12764 4218 12776
+rect 4341 12767 4399 12773
+rect 4341 12764 4353 12767
+rect 4212 12736 4353 12764
+rect 4212 12724 4218 12736
+rect 4341 12733 4353 12736
+rect 4387 12733 4399 12767
+rect 4341 12727 4399 12733
+rect 7561 12767 7619 12773
+rect 7561 12733 7573 12767
+rect 7607 12733 7619 12767
+rect 7561 12727 7619 12733
+rect 3145 12699 3203 12705
+rect 3145 12665 3157 12699
+rect 3191 12696 3203 12699
+rect 3786 12696 3792 12708
+rect 3191 12668 3792 12696
+rect 3191 12665 3203 12668
+rect 3145 12659 3203 12665
+rect 3786 12656 3792 12668
+rect 3844 12656 3850 12708
+rect 6917 12699 6975 12705
+rect 6917 12696 6929 12699
+rect 5000 12668 6929 12696
+rect 3694 12588 3700 12640
+rect 3752 12628 3758 12640
+rect 5000 12628 5028 12668
+rect 6917 12665 6929 12668
+rect 6963 12665 6975 12699
+rect 7576 12696 7604 12727
+rect 7926 12724 7932 12776
+rect 7984 12764 7990 12776
+rect 7984 12736 8029 12764
+rect 7984 12724 7990 12736
+rect 9582 12724 9588 12776
+rect 9640 12764 9646 12776
+rect 10428 12773 10456 12804
+rect 10505 12801 10517 12835
+rect 10551 12801 10563 12835
+rect 10505 12795 10563 12801
+rect 9769 12767 9827 12773
+rect 9769 12764 9781 12767
+rect 9640 12736 9781 12764
+rect 9640 12724 9646 12736
+rect 9769 12733 9781 12736
+rect 9815 12733 9827 12767
+rect 9769 12727 9827 12733
+rect 10413 12767 10471 12773
+rect 10413 12733 10425 12767
+rect 10459 12733 10471 12767
+rect 10413 12727 10471 12733
+rect 10686 12724 10692 12776
+rect 10744 12773 10750 12776
+rect 10744 12767 10793 12773
+rect 10744 12733 10747 12767
+rect 10781 12733 10793 12767
+rect 10870 12764 10876 12776
+rect 10831 12736 10876 12764
+rect 10744 12727 10793 12733
+rect 10744 12724 10750 12727
+rect 10870 12724 10876 12736
+rect 10928 12724 10934 12776
+rect 9674 12696 9680 12708
+rect 7576 12668 9680 12696
+rect 6917 12659 6975 12665
+rect 9674 12656 9680 12668
+rect 9732 12656 9738 12708
+rect 5442 12628 5448 12640
+rect 3752 12600 5028 12628
+rect 5403 12600 5448 12628
+rect 3752 12588 3758 12600
+rect 5442 12588 5448 12600
+rect 5500 12588 5506 12640
+rect 1104 12538 12328 12560
+rect 1104 12486 4723 12538
+rect 4775 12486 4787 12538
+rect 4839 12486 4851 12538
+rect 4903 12486 4915 12538
+rect 4967 12486 8464 12538
+rect 8516 12486 8528 12538
+rect 8580 12486 8592 12538
+rect 8644 12486 8656 12538
+rect 8708 12486 12328 12538
+rect 1104 12464 12328 12486
+rect 474 12384 480 12436
+rect 532 12424 538 12436
+rect 1578 12424 1584 12436
+rect 532 12396 1584 12424
+rect 532 12384 538 12396
+rect 1578 12384 1584 12396
+rect 1636 12384 1642 12436
+rect 2498 12384 2504 12436
+rect 2556 12424 2562 12436
+rect 4154 12424 4160 12436
+rect 2556 12396 4160 12424
+rect 2556 12384 2562 12396
+rect 4154 12384 4160 12396
+rect 4212 12384 4218 12436
+rect 9582 12424 9588 12436
+rect 4264 12396 9588 12424
+rect 4264 12356 4292 12396
+rect 9582 12384 9588 12396
+rect 9640 12384 9646 12436
+rect 3068 12328 4292 12356
+rect 5813 12359 5871 12365
+rect 3068 12297 3096 12328
+rect 5813 12325 5825 12359
+rect 5859 12356 5871 12359
+rect 6270 12356 6276 12368
+rect 5859 12328 6276 12356
+rect 5859 12325 5871 12328
+rect 5813 12319 5871 12325
+rect 6270 12316 6276 12328
+rect 6328 12316 6334 12368
+rect 6641 12359 6699 12365
+rect 6641 12325 6653 12359
+rect 6687 12356 6699 12359
+rect 10686 12356 10692 12368
+rect 6687 12328 10692 12356
+rect 6687 12325 6699 12328
+rect 6641 12319 6699 12325
+rect 10686 12316 10692 12328
+rect 10744 12316 10750 12368
+rect 3053 12291 3111 12297
+rect 3053 12257 3065 12291
+rect 3099 12288 3111 12291
+rect 3142 12288 3148 12300
+rect 3099 12260 3148 12288
+rect 3099 12257 3111 12260
+rect 3053 12251 3111 12257
+rect 3142 12248 3148 12260
+rect 3200 12248 3206 12300
+rect 4062 12248 4068 12300
+rect 4120 12288 4126 12300
+rect 4157 12291 4215 12297
+rect 4157 12288 4169 12291
+rect 4120 12260 4169 12288
+rect 4120 12248 4126 12260
+rect 4157 12257 4169 12260
+rect 4203 12257 4215 12291
+rect 4157 12251 4215 12257
+rect 5258 12248 5264 12300
+rect 5316 12288 5322 12300
+rect 7098 12288 7104 12300
+rect 5316 12260 6684 12288
+rect 7059 12260 7104 12288
+rect 5316 12248 5322 12260
+rect 1486 12180 1492 12232
+rect 1544 12220 1550 12232
+rect 4080 12220 4108 12248
+rect 4433 12223 4491 12229
+rect 4433 12220 4445 12223
+rect 1544 12192 4108 12220
+rect 4172 12192 4445 12220
+rect 1544 12180 1550 12192
+rect 3510 12112 3516 12164
+rect 3568 12152 3574 12164
+rect 4172 12152 4200 12192
+rect 4433 12189 4445 12192
+rect 4479 12220 4491 12223
+rect 5442 12220 5448 12232
+rect 4479 12192 5448 12220
+rect 4479 12189 4491 12192
+rect 4433 12183 4491 12189
+rect 5442 12180 5448 12192
+rect 5500 12180 5506 12232
+rect 6656 12220 6684 12260
+rect 7098 12248 7104 12260
+rect 7156 12248 7162 12300
+rect 7282 12288 7288 12300
+rect 7243 12260 7288 12288
+rect 7282 12248 7288 12260
+rect 7340 12248 7346 12300
+rect 7466 12288 7472 12300
+rect 7427 12260 7472 12288
+rect 7466 12248 7472 12260
+rect 7524 12248 7530 12300
+rect 8113 12291 8171 12297
+rect 8113 12257 8125 12291
+rect 8159 12288 8171 12291
+rect 9677 12291 9735 12297
+rect 9677 12288 9689 12291
+rect 8159 12260 9689 12288
+rect 8159 12257 8171 12260
+rect 8113 12251 8171 12257
+rect 9677 12257 9689 12260
+rect 9723 12257 9735 12291
+rect 9677 12251 9735 12257
+rect 9769 12291 9827 12297
+rect 9769 12257 9781 12291
+rect 9815 12257 9827 12291
+rect 9769 12251 9827 12257
+rect 7745 12223 7803 12229
+rect 7745 12220 7757 12223
+rect 6656 12192 7757 12220
+rect 7745 12189 7757 12192
+rect 7791 12189 7803 12223
+rect 7745 12183 7803 12189
+rect 3568 12124 4200 12152
+rect 3568 12112 3574 12124
+rect 2869 12087 2927 12093
+rect 2869 12053 2881 12087
+rect 2915 12084 2927 12087
+rect 3602 12084 3608 12096
+rect 2915 12056 3608 12084
+rect 2915 12053 2927 12056
+rect 2869 12047 2927 12053
+rect 3602 12044 3608 12056
+rect 3660 12044 3666 12096
+rect 7190 12044 7196 12096
+rect 7248 12084 7254 12096
+rect 8128 12084 8156 12251
+rect 8202 12180 8208 12232
+rect 8260 12220 8266 12232
+rect 9784 12220 9812 12251
+rect 8260 12192 9812 12220
+rect 8260 12180 8266 12192
+rect 7248 12056 8156 12084
+rect 7248 12044 7254 12056
+rect 1104 11994 12328 12016
+rect 1104 11942 2852 11994
+rect 2904 11942 2916 11994
+rect 2968 11942 2980 11994
+rect 3032 11942 3044 11994
+rect 3096 11942 6594 11994
+rect 6646 11942 6658 11994
+rect 6710 11942 6722 11994
+rect 6774 11942 6786 11994
+rect 6838 11942 10335 11994
+rect 10387 11942 10399 11994
+rect 10451 11942 10463 11994
+rect 10515 11942 10527 11994
+rect 10579 11942 12328 11994
+rect 1104 11920 12328 11942
+rect 4246 11840 4252 11892
+rect 4304 11880 4310 11892
+rect 7466 11880 7472 11892
+rect 4304 11852 7472 11880
+rect 4304 11840 4310 11852
+rect 7466 11840 7472 11852
+rect 7524 11840 7530 11892
+rect 8938 11880 8944 11892
+rect 7944 11852 8944 11880
+rect 3789 11747 3847 11753
+rect 3789 11713 3801 11747
+rect 3835 11744 3847 11747
+rect 3970 11744 3976 11756
+rect 3835 11716 3976 11744
+rect 3835 11713 3847 11716
+rect 3789 11707 3847 11713
+rect 3970 11704 3976 11716
+rect 4028 11704 4034 11756
+rect 7466 11704 7472 11756
+rect 7524 11744 7530 11756
+rect 7742 11744 7748 11756
+rect 7524 11716 7748 11744
+rect 7524 11704 7530 11716
+rect 7742 11704 7748 11716
+rect 7800 11704 7806 11756
+rect 7944 11744 7972 11852
+rect 8938 11840 8944 11852
+rect 8996 11840 9002 11892
+rect 9582 11840 9588 11892
+rect 9640 11880 9646 11892
+rect 9640 11852 10180 11880
+rect 9640 11840 9646 11852
+rect 10042 11812 10048 11824
+rect 7852 11716 7972 11744
+rect 8588 11784 10048 11812
+rect 2682 11636 2688 11688
+rect 2740 11676 2746 11688
+rect 2869 11679 2927 11685
+rect 2869 11676 2881 11679
+rect 2740 11648 2881 11676
+rect 2740 11636 2746 11648
+rect 2869 11645 2881 11648
+rect 2915 11676 2927 11679
+rect 3510 11676 3516 11688
+rect 2915 11648 3516 11676
+rect 2915 11645 2927 11648
+rect 2869 11639 2927 11645
+rect 3510 11636 3516 11648
+rect 3568 11636 3574 11688
+rect 3878 11636 3884 11688
+rect 3936 11676 3942 11688
+rect 4065 11679 4123 11685
+rect 4065 11676 4077 11679
+rect 3936 11648 4077 11676
+rect 3936 11636 3942 11648
+rect 4065 11645 4077 11648
+rect 4111 11676 4123 11679
+rect 6270 11676 6276 11688
+rect 4111 11648 6276 11676
+rect 4111 11645 4123 11648
+rect 4065 11639 4123 11645
+rect 6270 11636 6276 11648
+rect 6328 11636 6334 11688
+rect 7852 11685 7880 11716
+rect 7837 11679 7895 11685
+rect 7837 11645 7849 11679
+rect 7883 11645 7895 11679
+rect 8018 11676 8024 11688
+rect 7979 11648 8024 11676
+rect 7837 11639 7895 11645
+rect 8018 11636 8024 11648
+rect 8076 11636 8082 11688
+rect 8205 11679 8263 11685
+rect 8205 11645 8217 11679
+rect 8251 11676 8263 11679
+rect 8294 11676 8300 11688
+rect 8251 11648 8300 11676
+rect 8251 11645 8263 11648
+rect 8205 11639 8263 11645
+rect 8294 11636 8300 11648
+rect 8352 11636 8358 11688
+rect 8588 11685 8616 11784
+rect 8573 11679 8631 11685
+rect 8573 11645 8585 11679
+rect 8619 11645 8631 11679
+rect 8573 11639 8631 11645
+rect 8757 11679 8815 11685
+rect 8757 11645 8769 11679
+rect 8803 11676 8815 11679
+rect 8846 11676 8852 11688
+rect 8803 11648 8852 11676
+rect 8803 11645 8815 11648
+rect 8757 11639 8815 11645
+rect 8846 11636 8852 11648
+rect 8904 11636 8910 11688
+rect 9508 11676 9536 11784
+rect 10042 11772 10048 11784
+rect 10100 11772 10106 11824
+rect 9585 11679 9643 11685
+rect 9585 11676 9597 11679
+rect 9508 11648 9597 11676
+rect 9585 11645 9597 11648
+rect 9631 11645 9643 11679
+rect 9585 11639 9643 11645
+rect 9861 11679 9919 11685
+rect 9861 11645 9873 11679
+rect 9907 11676 9919 11679
+rect 9950 11676 9956 11688
+rect 9907 11648 9956 11676
+rect 9907 11645 9919 11648
+rect 9861 11639 9919 11645
+rect 9950 11636 9956 11648
+rect 10008 11636 10014 11688
+rect 10152 11685 10180 11852
+rect 10137 11679 10195 11685
+rect 10137 11645 10149 11679
+rect 10183 11645 10195 11679
+rect 10137 11639 10195 11645
+rect 10321 11679 10379 11685
+rect 10321 11645 10333 11679
+rect 10367 11676 10379 11679
+rect 10778 11676 10784 11688
+rect 10367 11648 10784 11676
+rect 10367 11645 10379 11648
+rect 10321 11639 10379 11645
+rect 10778 11636 10784 11648
+rect 10836 11636 10842 11688
+rect 2961 11611 3019 11617
+rect 2961 11577 2973 11611
+rect 3007 11608 3019 11611
+rect 3234 11608 3240 11620
+rect 3007 11580 3240 11608
+rect 3007 11577 3019 11580
+rect 2961 11571 3019 11577
+rect 3234 11568 3240 11580
+rect 3292 11568 3298 11620
+rect 7098 11608 7104 11620
+rect 5000 11580 7104 11608
+rect 2498 11500 2504 11552
+rect 2556 11540 2562 11552
+rect 5000 11540 5028 11580
+rect 7098 11568 7104 11580
+rect 7156 11568 7162 11620
+rect 7285 11611 7343 11617
+rect 7285 11577 7297 11611
+rect 7331 11608 7343 11611
+rect 9766 11608 9772 11620
+rect 7331 11580 9772 11608
+rect 7331 11577 7343 11580
+rect 7285 11571 7343 11577
+rect 9766 11568 9772 11580
+rect 9824 11608 9830 11620
+rect 10686 11608 10692 11620
+rect 9824 11580 10692 11608
+rect 9824 11568 9830 11580
+rect 10686 11568 10692 11580
+rect 10744 11568 10750 11620
+rect 5166 11540 5172 11552
+rect 2556 11512 5028 11540
+rect 5127 11512 5172 11540
+rect 2556 11500 2562 11512
+rect 5166 11500 5172 11512
+rect 5224 11500 5230 11552
+rect 5718 11500 5724 11552
+rect 5776 11540 5782 11552
+rect 7926 11540 7932 11552
+rect 5776 11512 7932 11540
+rect 5776 11500 5782 11512
+rect 7926 11500 7932 11512
+rect 7984 11500 7990 11552
+rect 9674 11540 9680 11552
+rect 9635 11512 9680 11540
+rect 9674 11500 9680 11512
+rect 9732 11500 9738 11552
+rect 1104 11450 12328 11472
+rect 1104 11398 4723 11450
+rect 4775 11398 4787 11450
+rect 4839 11398 4851 11450
+rect 4903 11398 4915 11450
+rect 4967 11398 8464 11450
+rect 8516 11398 8528 11450
+rect 8580 11398 8592 11450
+rect 8644 11398 8656 11450
+rect 8708 11398 12328 11450
+rect 1104 11376 12328 11398
+rect 2406 11296 2412 11348
+rect 2464 11336 2470 11348
+rect 7466 11336 7472 11348
+rect 2464 11308 7472 11336
+rect 2464 11296 2470 11308
+rect 7466 11296 7472 11308
+rect 7524 11296 7530 11348
+rect 9766 11296 9772 11348
+rect 9824 11336 9830 11348
+rect 9950 11336 9956 11348
+rect 9824 11308 9956 11336
+rect 9824 11296 9830 11308
+rect 9950 11296 9956 11308
+rect 10008 11296 10014 11348
+rect 3142 11268 3148 11280
+rect 2516 11240 3148 11268
+rect 2406 11200 2412 11212
+rect 2367 11172 2412 11200
+rect 2406 11160 2412 11172
+rect 2464 11160 2470 11212
+rect 2516 11209 2544 11240
+rect 3142 11228 3148 11240
+rect 3200 11228 3206 11280
+rect 4062 11268 4068 11280
+rect 4023 11240 4068 11268
+rect 4062 11228 4068 11240
+rect 4120 11228 4126 11280
+rect 4249 11271 4307 11277
+rect 4249 11237 4261 11271
+rect 4295 11268 4307 11271
+rect 5718 11268 5724 11280
+rect 4295 11240 5724 11268
+rect 4295 11237 4307 11240
+rect 4249 11231 4307 11237
+rect 5718 11228 5724 11240
+rect 5776 11228 5782 11280
+rect 6454 11228 6460 11280
+rect 6512 11268 6518 11280
+rect 9122 11268 9128 11280
+rect 6512 11240 9128 11268
+rect 6512 11228 6518 11240
+rect 9122 11228 9128 11240
+rect 9180 11228 9186 11280
+rect 9398 11228 9404 11280
+rect 9456 11268 9462 11280
+rect 9456 11240 10824 11268
+rect 9456 11228 9462 11240
+rect 2501 11203 2559 11209
+rect 2501 11169 2513 11203
+rect 2547 11169 2559 11203
+rect 2501 11163 2559 11169
+rect 2590 11160 2596 11212
+rect 2648 11209 2654 11212
+rect 2648 11203 2697 11209
+rect 2648 11169 2651 11203
+rect 2685 11169 2697 11203
+rect 4522 11200 4528 11212
+rect 4483 11172 4528 11200
+rect 2648 11163 2697 11169
+rect 2648 11160 2654 11163
+rect 4522 11160 4528 11172
+rect 4580 11160 4586 11212
+rect 4614 11160 4620 11212
+rect 4672 11200 4678 11212
+rect 5258 11200 5264 11212
+rect 4672 11172 5264 11200
+rect 4672 11160 4678 11172
+rect 5258 11160 5264 11172
+rect 5316 11200 5322 11212
+rect 5353 11203 5411 11209
+rect 5353 11200 5365 11203
+rect 5316 11172 5365 11200
+rect 5316 11160 5322 11172
+rect 5353 11169 5365 11172
+rect 5399 11169 5411 11203
+rect 5353 11163 5411 11169
+rect 5534 11160 5540 11212
+rect 5592 11200 5598 11212
+rect 5629 11203 5687 11209
+rect 5629 11200 5641 11203
+rect 5592 11172 5641 11200
+rect 5592 11160 5598 11172
+rect 5629 11169 5641 11172
+rect 5675 11169 5687 11203
+rect 5902 11200 5908 11212
+rect 5863 11172 5908 11200
+rect 5629 11163 5687 11169
+rect 5902 11160 5908 11172
+rect 5960 11160 5966 11212
+rect 5997 11203 6055 11209
+rect 5997 11169 6009 11203
+rect 6043 11169 6055 11203
+rect 5997 11163 6055 11169
+rect 3145 11135 3203 11141
+rect 3145 11101 3157 11135
+rect 3191 11132 3203 11135
+rect 3510 11132 3516 11144
+rect 3191 11104 3516 11132
+rect 3191 11101 3203 11104
+rect 3145 11095 3203 11101
+rect 3510 11092 3516 11104
+rect 3568 11092 3574 11144
+rect 4430 11092 4436 11144
+rect 4488 11132 4494 11144
+rect 6012 11132 6040 11163
+rect 7374 11160 7380 11212
+rect 7432 11200 7438 11212
+rect 7653 11203 7711 11209
+rect 7653 11200 7665 11203
+rect 7432 11172 7665 11200
+rect 7432 11160 7438 11172
+rect 7653 11169 7665 11172
+rect 7699 11169 7711 11203
+rect 7653 11163 7711 11169
+rect 8021 11203 8079 11209
+rect 8021 11169 8033 11203
+rect 8067 11169 8079 11203
+rect 8021 11163 8079 11169
+rect 8205 11203 8263 11209
+rect 8205 11169 8217 11203
+rect 8251 11200 8263 11203
+rect 9306 11200 9312 11212
+rect 8251 11172 9312 11200
+rect 8251 11169 8263 11172
+rect 8205 11163 8263 11169
+rect 4488 11104 6040 11132
+rect 6181 11135 6239 11141
+rect 4488 11092 4494 11104
+rect 6181 11101 6193 11135
+rect 6227 11101 6239 11135
+rect 6181 11095 6239 11101
+rect 1394 11024 1400 11076
+rect 1452 11064 1458 11076
+rect 6196 11064 6224 11095
+rect 7006 11092 7012 11144
+rect 7064 11132 7070 11144
+rect 7561 11135 7619 11141
+rect 7561 11132 7573 11135
+rect 7064 11104 7573 11132
+rect 7064 11092 7070 11104
+rect 7561 11101 7573 11104
+rect 7607 11101 7619 11135
+rect 7561 11095 7619 11101
+rect 1452 11036 6224 11064
+rect 7101 11067 7159 11073
+rect 1452 11024 1458 11036
+rect 7101 11033 7113 11067
+rect 7147 11064 7159 11067
+rect 7650 11064 7656 11076
+rect 7147 11036 7656 11064
+rect 7147 11033 7159 11036
+rect 7101 11027 7159 11033
+rect 7650 11024 7656 11036
+rect 7708 11024 7714 11076
+rect 8036 11064 8064 11163
+rect 9306 11160 9312 11172
+rect 9364 11160 9370 11212
+rect 10321 11203 10379 11209
+rect 10321 11169 10333 11203
+rect 10367 11200 10379 11203
+rect 10686 11200 10692 11212
+rect 10367 11172 10548 11200
+rect 10647 11172 10692 11200
+rect 10367 11169 10379 11172
+rect 10321 11163 10379 11169
+rect 9490 11092 9496 11144
+rect 9548 11132 9554 11144
+rect 9674 11132 9680 11144
+rect 9548 11104 9680 11132
+rect 9548 11092 9554 11104
+rect 9674 11092 9680 11104
+rect 9732 11092 9738 11144
+rect 10413 11135 10471 11141
+rect 10413 11101 10425 11135
+rect 10459 11101 10471 11135
+rect 10520 11132 10548 11172
+rect 10686 11160 10692 11172
+rect 10744 11160 10750 11212
+rect 10796 11209 10824 11240
+rect 10781 11203 10839 11209
+rect 10781 11169 10793 11203
+rect 10827 11169 10839 11203
+rect 10781 11163 10839 11169
+rect 11146 11132 11152 11144
+rect 10520 11104 11152 11132
+rect 10413 11095 10471 11101
+rect 9950 11064 9956 11076
+rect 8036 11036 9536 11064
+rect 9911 11036 9956 11064
+rect 9508 11008 9536 11036
+rect 9950 11024 9956 11036
+rect 10008 11024 10014 11076
+rect 10428 11064 10456 11095
+rect 11146 11092 11152 11104
+rect 11204 11092 11210 11144
+rect 10686 11064 10692 11076
+rect 10428 11036 10692 11064
+rect 10686 11024 10692 11036
+rect 10744 11024 10750 11076
+rect 10962 11024 10968 11076
+rect 11020 11064 11026 11076
+rect 12894 11064 12900 11076
+rect 11020 11036 12900 11064
+rect 11020 11024 11026 11036
+rect 12894 11024 12900 11036
+rect 12952 11024 12958 11076
+rect 3234 10956 3240 11008
+rect 3292 10996 3298 11008
+rect 4249 10999 4307 11005
+rect 4249 10996 4261 10999
+rect 3292 10968 4261 10996
+rect 3292 10956 3298 10968
+rect 4249 10965 4261 10968
+rect 4295 10996 4307 10999
+rect 4338 10996 4344 11008
+rect 4295 10968 4344 10996
+rect 4295 10965 4307 10968
+rect 4249 10959 4307 10965
+rect 4338 10956 4344 10968
+rect 4396 10996 4402 11008
+rect 5074 10996 5080 11008
+rect 4396 10968 5080 10996
+rect 4396 10956 4402 10968
+rect 5074 10956 5080 10968
+rect 5132 10956 5138 11008
+rect 9490 10956 9496 11008
+rect 9548 10956 9554 11008
+rect 1104 10906 12328 10928
+rect 1104 10854 2852 10906
+rect 2904 10854 2916 10906
+rect 2968 10854 2980 10906
+rect 3032 10854 3044 10906
+rect 3096 10854 6594 10906
+rect 6646 10854 6658 10906
+rect 6710 10854 6722 10906
+rect 6774 10854 6786 10906
+rect 6838 10854 10335 10906
+rect 10387 10854 10399 10906
+rect 10451 10854 10463 10906
+rect 10515 10854 10527 10906
+rect 10579 10854 12328 10906
+rect 1104 10832 12328 10854
+rect 2501 10795 2559 10801
+rect 2501 10761 2513 10795
+rect 2547 10792 2559 10795
+rect 5718 10792 5724 10804
+rect 2547 10764 5724 10792
+rect 2547 10761 2559 10764
+rect 2501 10755 2559 10761
+rect 5718 10752 5724 10764
+rect 5776 10752 5782 10804
+rect 1486 10684 1492 10736
+rect 1544 10724 1550 10736
+rect 4706 10724 4712 10736
+rect 1544 10696 4712 10724
+rect 1544 10684 1550 10696
+rect 4706 10684 4712 10696
+rect 4764 10724 4770 10736
+rect 9030 10724 9036 10736
+rect 4764 10696 9036 10724
+rect 4764 10684 4770 10696
+rect 9030 10684 9036 10696
+rect 9088 10684 9094 10736
+rect 3970 10656 3976 10668
+rect 2240 10628 3976 10656
+rect 2240 10597 2268 10628
+rect 3970 10616 3976 10628
+rect 4028 10616 4034 10668
+rect 8754 10656 8760 10668
+rect 4172 10628 8760 10656
+rect 2225 10591 2283 10597
+rect 2225 10557 2237 10591
+rect 2271 10557 2283 10591
+rect 2225 10551 2283 10557
+rect 2410 10591 2468 10597
+rect 2410 10557 2422 10591
+rect 2456 10588 2468 10591
+rect 3694 10588 3700 10600
+rect 2456 10560 2544 10588
+rect 3655 10560 3700 10588
+rect 2456 10557 2468 10560
+rect 2410 10551 2468 10557
+rect 1302 10412 1308 10464
+rect 1360 10452 1366 10464
+rect 2516 10452 2544 10560
+rect 3694 10548 3700 10560
+rect 3752 10548 3758 10600
+rect 4172 10597 4200 10628
+rect 8754 10616 8760 10628
+rect 8812 10616 8818 10668
+rect 11146 10656 11152 10668
+rect 9600 10628 11152 10656
+rect 4157 10591 4215 10597
+rect 4157 10557 4169 10591
+rect 4203 10557 4215 10591
+rect 4157 10551 4215 10557
+rect 4341 10591 4399 10597
+rect 4341 10557 4353 10591
+rect 4387 10588 4399 10591
+rect 4706 10588 4712 10600
+rect 4387 10560 4712 10588
+rect 4387 10557 4399 10560
+rect 4341 10551 4399 10557
+rect 4706 10548 4712 10560
+rect 4764 10588 4770 10600
+rect 5813 10591 5871 10597
+rect 4764 10560 5764 10588
+rect 4764 10548 4770 10560
+rect 5736 10520 5764 10560
+rect 5813 10557 5825 10591
+rect 5859 10588 5871 10591
+rect 6270 10588 6276 10600
+rect 5859 10560 6276 10588
+rect 5859 10557 5871 10560
+rect 5813 10551 5871 10557
+rect 6270 10548 6276 10560
+rect 6328 10548 6334 10600
+rect 7653 10591 7711 10597
+rect 7653 10557 7665 10591
+rect 7699 10588 7711 10591
+rect 7834 10588 7840 10600
+rect 7699 10560 7840 10588
+rect 7699 10557 7711 10560
+rect 7653 10551 7711 10557
+rect 7834 10548 7840 10560
+rect 7892 10548 7898 10600
+rect 7929 10591 7987 10597
+rect 7929 10557 7941 10591
+rect 7975 10588 7987 10591
+rect 8018 10588 8024 10600
+rect 7975 10560 8024 10588
+rect 7975 10557 7987 10560
+rect 7929 10551 7987 10557
+rect 8018 10548 8024 10560
+rect 8076 10548 8082 10600
+rect 8110 10548 8116 10600
+rect 8168 10588 8174 10600
+rect 9600 10597 9628 10628
+rect 11146 10616 11152 10628
+rect 11204 10616 11210 10668
+rect 9585 10591 9643 10597
+rect 8168 10560 8213 10588
+rect 8168 10548 8174 10560
+rect 9585 10557 9597 10591
+rect 9631 10557 9643 10591
+rect 9585 10551 9643 10557
+rect 10226 10548 10232 10600
+rect 10284 10588 10290 10600
+rect 10597 10591 10655 10597
+rect 10597 10588 10609 10591
+rect 10284 10560 10609 10588
+rect 10284 10548 10290 10560
+rect 10597 10557 10609 10560
+rect 10643 10557 10655 10591
+rect 10597 10551 10655 10557
+rect 7101 10523 7159 10529
+rect 5736 10492 6040 10520
+rect 3142 10452 3148 10464
+rect 1360 10424 3148 10452
+rect 1360 10412 1366 10424
+rect 3142 10412 3148 10424
+rect 3200 10412 3206 10464
+rect 4062 10412 4068 10464
+rect 4120 10452 4126 10464
+rect 5442 10452 5448 10464
+rect 4120 10424 5448 10452
+rect 4120 10412 4126 10424
+rect 5442 10412 5448 10424
+rect 5500 10412 5506 10464
+rect 6012 10452 6040 10492
+rect 7101 10489 7113 10523
+rect 7147 10520 7159 10523
+rect 7742 10520 7748 10532
+rect 7147 10492 7748 10520
+rect 7147 10489 7159 10492
+rect 7101 10483 7159 10489
+rect 7742 10480 7748 10492
+rect 7800 10480 7806 10532
+rect 8202 10480 8208 10532
+rect 8260 10520 8266 10532
+rect 8941 10523 8999 10529
+rect 8941 10520 8953 10523
+rect 8260 10492 8953 10520
+rect 8260 10480 8266 10492
+rect 8941 10489 8953 10492
+rect 8987 10520 8999 10523
+rect 9398 10520 9404 10532
+rect 8987 10492 9404 10520
+rect 8987 10489 8999 10492
+rect 8941 10483 8999 10489
+rect 9398 10480 9404 10492
+rect 9456 10480 9462 10532
+rect 9858 10480 9864 10532
+rect 9916 10520 9922 10532
+rect 10134 10520 10140 10532
+rect 9916 10492 10140 10520
+rect 9916 10480 9922 10492
+rect 10134 10480 10140 10492
+rect 10192 10520 10198 10532
+rect 10965 10523 11023 10529
+rect 10965 10520 10977 10523
+rect 10192 10492 10977 10520
+rect 10192 10480 10198 10492
+rect 10965 10489 10977 10492
+rect 11011 10489 11023 10523
+rect 10965 10483 11023 10489
+rect 8294 10452 8300 10464
+rect 6012 10424 8300 10452
+rect 8294 10412 8300 10424
+rect 8352 10412 8358 10464
+rect 1104 10362 12328 10384
+rect 1104 10310 4723 10362
+rect 4775 10310 4787 10362
+rect 4839 10310 4851 10362
+rect 4903 10310 4915 10362
+rect 4967 10310 8464 10362
+rect 8516 10310 8528 10362
+rect 8580 10310 8592 10362
+rect 8644 10310 8656 10362
+rect 8708 10310 12328 10362
+rect 1104 10288 12328 10310
+rect 3234 10248 3240 10260
+rect 2700 10220 3240 10248
+rect 2700 10189 2728 10220
+rect 3234 10208 3240 10220
+rect 3292 10248 3298 10260
+rect 4062 10248 4068 10260
+rect 3292 10220 4068 10248
+rect 3292 10208 3298 10220
+rect 4062 10208 4068 10220
+rect 4120 10208 4126 10260
+rect 5258 10248 5264 10260
+rect 5184 10220 5264 10248
+rect 2685 10183 2743 10189
+rect 2685 10149 2697 10183
+rect 2731 10149 2743 10183
+rect 2685 10143 2743 10149
+rect 2869 10183 2927 10189
+rect 2869 10149 2881 10183
+rect 2915 10180 2927 10183
+rect 3418 10180 3424 10192
+rect 2915 10152 3424 10180
+rect 2915 10149 2927 10152
+rect 2869 10143 2927 10149
+rect 3418 10140 3424 10152
+rect 3476 10140 3482 10192
+rect 5184 10189 5212 10220
+rect 5258 10208 5264 10220
+rect 5316 10208 5322 10260
+rect 7558 10208 7564 10260
+rect 7616 10248 7622 10260
+rect 8205 10251 8263 10257
+rect 8205 10248 8217 10251
+rect 7616 10220 8217 10248
+rect 7616 10208 7622 10220
+rect 8205 10217 8217 10220
+rect 8251 10217 8263 10251
+rect 8205 10211 8263 10217
+rect 5169 10183 5227 10189
+rect 5169 10149 5181 10183
+rect 5215 10149 5227 10183
+rect 5169 10143 5227 10149
+rect 7116 10152 8432 10180
+rect 7116 10124 7144 10152
+rect 1394 10112 1400 10124
+rect 1355 10084 1400 10112
+rect 1394 10072 1400 10084
+rect 1452 10072 1458 10124
+rect 3142 10072 3148 10124
+rect 3200 10112 3206 10124
+rect 4801 10115 4859 10121
+rect 4801 10112 4813 10115
+rect 3200 10084 4813 10112
+rect 3200 10072 3206 10084
+rect 4801 10081 4813 10084
+rect 4847 10112 4859 10115
+rect 5074 10112 5080 10124
+rect 4847 10084 5080 10112
+rect 4847 10081 4859 10084
+rect 4801 10075 4859 10081
+rect 5074 10072 5080 10084
+rect 5132 10072 5138 10124
+rect 5994 10072 6000 10124
+rect 6052 10112 6058 10124
+rect 6273 10115 6331 10121
+rect 6273 10112 6285 10115
+rect 6052 10084 6285 10112
+rect 6052 10072 6058 10084
+rect 6273 10081 6285 10084
+rect 6319 10081 6331 10115
+rect 7098 10112 7104 10124
+rect 7011 10084 7104 10112
+rect 6273 10075 6331 10081
+rect 7098 10072 7104 10084
+rect 7156 10072 7162 10124
+rect 7282 10112 7288 10124
+rect 7243 10084 7288 10112
+rect 7282 10072 7288 10084
+rect 7340 10112 7346 10124
+rect 8404 10121 8432 10152
+rect 8113 10115 8171 10121
+rect 8113 10112 8125 10115
+rect 7340 10084 8125 10112
+rect 7340 10072 7346 10084
+rect 8113 10081 8125 10084
+rect 8159 10081 8171 10115
+rect 8113 10075 8171 10081
+rect 8389 10115 8447 10121
+rect 8389 10081 8401 10115
+rect 8435 10081 8447 10115
+rect 9858 10112 9864 10124
+rect 9819 10084 9864 10112
+rect 8389 10075 8447 10081
+rect 9858 10072 9864 10084
+rect 9916 10072 9922 10124
+rect 1489 10047 1547 10053
+rect 1489 10013 1501 10047
+rect 1535 10044 1547 10047
+rect 1578 10044 1584 10056
+rect 1535 10016 1584 10044
+rect 1535 10013 1547 10016
+rect 1489 10007 1547 10013
+rect 1578 10004 1584 10016
+rect 1636 10004 1642 10056
+rect 6825 10047 6883 10053
+rect 5368 10016 6224 10044
+rect 4154 9936 4160 9988
+rect 4212 9976 4218 9988
+rect 5368 9976 5396 10016
+rect 4212 9948 5396 9976
+rect 6196 9976 6224 10016
+rect 6825 10013 6837 10047
+rect 6871 10013 6883 10047
+rect 6825 10007 6883 10013
+rect 6840 9976 6868 10007
+rect 7834 10004 7840 10056
+rect 7892 10044 7898 10056
+rect 8018 10044 8024 10056
+rect 7892 10016 8024 10044
+rect 7892 10004 7898 10016
+rect 8018 10004 8024 10016
+rect 8076 10004 8082 10056
+rect 8570 10044 8576 10056
+rect 8531 10016 8576 10044
+rect 8570 10004 8576 10016
+rect 8628 10004 8634 10056
+rect 9214 10004 9220 10056
+rect 9272 10044 9278 10056
+rect 9677 10047 9735 10053
+rect 9677 10044 9689 10047
+rect 9272 10016 9689 10044
+rect 9272 10004 9278 10016
+rect 9677 10013 9689 10016
+rect 9723 10013 9735 10047
+rect 9677 10007 9735 10013
+rect 6196 9948 6868 9976
+rect 4212 9936 4218 9948
+rect 7190 9936 7196 9988
+rect 7248 9976 7254 9988
+rect 8110 9976 8116 9988
+rect 7248 9948 8116 9976
+rect 7248 9936 7254 9948
+rect 8110 9936 8116 9948
+rect 8168 9936 8174 9988
+rect 1486 9908 1492 9920
+rect 1447 9880 1492 9908
+rect 1486 9868 1492 9880
+rect 1544 9868 1550 9920
+rect 1762 9908 1768 9920
+rect 1723 9880 1768 9908
+rect 1762 9868 1768 9880
+rect 1820 9868 1826 9920
+rect 2682 9868 2688 9920
+rect 2740 9908 2746 9920
+rect 2869 9911 2927 9917
+rect 2869 9908 2881 9911
+rect 2740 9880 2881 9908
+rect 2740 9868 2746 9880
+rect 2869 9877 2881 9880
+rect 2915 9877 2927 9911
+rect 2869 9871 2927 9877
+rect 3053 9911 3111 9917
+rect 3053 9877 3065 9911
+rect 3099 9908 3111 9911
+rect 5074 9908 5080 9920
+rect 3099 9880 5080 9908
+rect 3099 9877 3111 9880
+rect 3053 9871 3111 9877
+rect 5074 9868 5080 9880
+rect 5132 9868 5138 9920
+rect 7466 9868 7472 9920
+rect 7524 9908 7530 9920
+rect 8570 9908 8576 9920
+rect 7524 9880 8576 9908
+rect 7524 9868 7530 9880
+rect 8570 9868 8576 9880
+rect 8628 9868 8634 9920
+rect 1104 9818 12328 9840
+rect 1104 9766 2852 9818
+rect 2904 9766 2916 9818
+rect 2968 9766 2980 9818
+rect 3032 9766 3044 9818
+rect 3096 9766 6594 9818
+rect 6646 9766 6658 9818
+rect 6710 9766 6722 9818
+rect 6774 9766 6786 9818
+rect 6838 9766 10335 9818
+rect 10387 9766 10399 9818
+rect 10451 9766 10463 9818
+rect 10515 9766 10527 9818
+rect 10579 9766 12328 9818
+rect 1104 9744 12328 9766
+rect 3602 9664 3608 9716
+rect 3660 9704 3666 9716
+rect 3660 9676 6224 9704
+rect 3660 9664 3666 9676
+rect 1946 9636 1952 9648
+rect 1688 9608 1952 9636
+rect 1486 9500 1492 9512
+rect 1447 9472 1492 9500
+rect 1486 9460 1492 9472
+rect 1544 9460 1550 9512
+rect 1688 9509 1716 9608
+rect 1946 9596 1952 9608
+rect 2004 9636 2010 9648
+rect 4338 9636 4344 9648
+rect 2004 9608 4344 9636
+rect 2004 9596 2010 9608
+rect 4338 9596 4344 9608
+rect 4396 9596 4402 9648
+rect 6196 9580 6224 9676
+rect 6914 9664 6920 9716
+rect 6972 9704 6978 9716
+rect 7374 9704 7380 9716
+rect 6972 9676 7380 9704
+rect 6972 9664 6978 9676
+rect 7374 9664 7380 9676
+rect 7432 9664 7438 9716
+rect 1765 9571 1823 9577
+rect 1765 9537 1777 9571
+rect 1811 9568 1823 9571
+rect 2498 9568 2504 9580
+rect 1811 9540 2504 9568
+rect 1811 9537 1823 9540
+rect 1765 9531 1823 9537
+rect 2498 9528 2504 9540
+rect 2556 9528 2562 9580
+rect 2593 9571 2651 9577
+rect 2593 9537 2605 9571
+rect 2639 9568 2651 9571
+rect 3142 9568 3148 9580
+rect 2639 9540 3148 9568
+rect 2639 9537 2651 9540
+rect 2593 9531 2651 9537
+rect 3142 9528 3148 9540
+rect 3200 9528 3206 9580
+rect 6086 9568 6092 9580
+rect 3252 9540 6092 9568
+rect 1673 9503 1731 9509
+rect 1673 9469 1685 9503
+rect 1719 9469 1731 9503
+rect 1673 9463 1731 9469
+rect 2777 9503 2835 9509
+rect 2777 9469 2789 9503
+rect 2823 9469 2835 9503
+rect 2777 9463 2835 9469
+rect 2590 9392 2596 9444
+rect 2648 9432 2654 9444
+rect 2792 9432 2820 9463
+rect 2866 9460 2872 9512
+rect 2924 9500 2930 9512
+rect 3252 9500 3280 9540
+rect 6086 9528 6092 9540
+rect 6144 9528 6150 9580
+rect 6178 9528 6184 9580
+rect 6236 9528 6242 9580
+rect 6362 9528 6368 9580
+rect 6420 9568 6426 9580
+rect 7558 9568 7564 9580
+rect 6420 9540 7564 9568
+rect 6420 9528 6426 9540
+rect 7558 9528 7564 9540
+rect 7616 9528 7622 9580
+rect 10778 9528 10784 9580
+rect 10836 9568 10842 9580
+rect 11238 9568 11244 9580
+rect 10836 9540 11244 9568
+rect 10836 9528 10842 9540
+rect 11238 9528 11244 9540
+rect 11296 9528 11302 9580
+rect 2924 9472 3280 9500
+rect 2924 9460 2930 9472
+rect 3786 9460 3792 9512
+rect 3844 9500 3850 9512
+rect 3881 9503 3939 9509
+rect 3881 9500 3893 9503
+rect 3844 9472 3893 9500
+rect 3844 9460 3850 9472
+rect 3881 9469 3893 9472
+rect 3927 9469 3939 9503
+rect 4062 9500 4068 9512
+rect 4023 9472 4068 9500
+rect 3881 9463 3939 9469
+rect 4062 9460 4068 9472
+rect 4120 9460 4126 9512
+rect 5626 9500 5632 9512
+rect 5587 9472 5632 9500
+rect 5626 9460 5632 9472
+rect 5684 9460 5690 9512
+rect 6822 9460 6828 9512
+rect 6880 9500 6886 9512
+rect 6917 9503 6975 9509
+rect 6917 9500 6929 9503
+rect 6880 9472 6929 9500
+rect 6880 9460 6886 9472
+rect 6917 9469 6929 9472
+rect 6963 9469 6975 9503
+rect 8938 9500 8944 9512
+rect 8899 9472 8944 9500
+rect 6917 9463 6975 9469
+rect 8938 9460 8944 9472
+rect 8996 9460 9002 9512
+rect 10134 9500 10140 9512
+rect 10095 9472 10140 9500
+rect 10134 9460 10140 9472
+rect 10192 9460 10198 9512
+rect 2648 9404 2820 9432
+rect 4249 9435 4307 9441
+rect 2648 9392 2654 9404
+rect 4249 9401 4261 9435
+rect 4295 9432 4307 9435
+rect 7466 9432 7472 9444
+rect 4295 9404 7472 9432
+rect 4295 9401 4307 9404
+rect 4249 9395 4307 9401
+rect 7466 9392 7472 9404
+rect 7524 9392 7530 9444
+rect 10686 9432 10692 9444
+rect 10647 9404 10692 9432
+rect 10686 9392 10692 9404
+rect 10744 9392 10750 9444
+rect 2961 9367 3019 9373
+rect 2961 9333 2973 9367
+rect 3007 9364 3019 9367
+rect 4430 9364 4436 9376
+rect 3007 9336 4436 9364
+rect 3007 9333 3019 9336
+rect 2961 9327 3019 9333
+rect 4430 9324 4436 9336
+rect 4488 9364 4494 9376
+rect 5074 9364 5080 9376
+rect 4488 9336 5080 9364
+rect 4488 9324 4494 9336
+rect 5074 9324 5080 9336
+rect 5132 9324 5138 9376
+rect 5626 9364 5632 9376
+rect 5587 9336 5632 9364
+rect 5626 9324 5632 9336
+rect 5684 9324 5690 9376
+rect 5810 9324 5816 9376
+rect 5868 9364 5874 9376
+rect 7101 9367 7159 9373
+rect 7101 9364 7113 9367
+rect 5868 9336 7113 9364
+rect 5868 9324 5874 9336
+rect 7101 9333 7113 9336
+rect 7147 9364 7159 9367
+rect 7190 9364 7196 9376
+rect 7147 9336 7196 9364
+rect 7147 9333 7159 9336
+rect 7101 9327 7159 9333
+rect 7190 9324 7196 9336
+rect 7248 9324 7254 9376
+rect 8846 9364 8852 9376
+rect 8807 9336 8852 9364
+rect 8846 9324 8852 9336
+rect 8904 9324 8910 9376
+rect 1104 9274 12328 9296
+rect 1104 9222 4723 9274
+rect 4775 9222 4787 9274
+rect 4839 9222 4851 9274
+rect 4903 9222 4915 9274
+rect 4967 9222 8464 9274
+rect 8516 9222 8528 9274
+rect 8580 9222 8592 9274
+rect 8644 9222 8656 9274
+rect 8708 9222 12328 9274
+rect 1104 9200 12328 9222
+rect 2866 9160 2872 9172
+rect 1688 9132 2872 9160
+rect 1688 9033 1716 9132
+rect 2866 9120 2872 9132
+rect 2924 9120 2930 9172
+rect 3050 9160 3056 9172
+rect 2963 9132 3056 9160
+rect 3050 9120 3056 9132
+rect 3108 9160 3114 9172
+rect 7006 9160 7012 9172
+rect 3108 9132 7012 9160
+rect 3108 9120 3114 9132
+rect 7006 9120 7012 9132
+rect 7064 9120 7070 9172
+rect 8938 9160 8944 9172
+rect 7300 9132 8944 9160
+rect 1949 9095 2007 9101
+rect 1949 9061 1961 9095
+rect 1995 9092 2007 9095
+rect 2038 9092 2044 9104
+rect 1995 9064 2044 9092
+rect 1995 9061 2007 9064
+rect 1949 9055 2007 9061
+rect 2038 9052 2044 9064
+rect 2096 9052 2102 9104
+rect 3234 9092 3240 9104
+rect 2884 9064 3240 9092
+rect 1673 9027 1731 9033
+rect 1673 8993 1685 9027
+rect 1719 8993 1731 9027
+rect 1854 9024 1860 9036
+rect 1815 8996 1860 9024
+rect 1673 8987 1731 8993
+rect 1854 8984 1860 8996
+rect 1912 8984 1918 9036
+rect 2884 9033 2912 9064
+rect 3234 9052 3240 9064
+rect 3292 9052 3298 9104
+rect 4065 9095 4123 9101
+rect 4065 9061 4077 9095
+rect 4111 9092 4123 9095
+rect 4154 9092 4160 9104
+rect 4111 9064 4160 9092
+rect 4111 9061 4123 9064
+rect 4065 9055 4123 9061
+rect 4154 9052 4160 9064
+rect 4212 9052 4218 9104
+rect 4246 9052 4252 9104
+rect 4304 9092 4310 9104
+rect 4433 9095 4491 9101
+rect 4304 9064 4349 9092
+rect 4304 9052 4310 9064
+rect 4433 9061 4445 9095
+rect 4479 9092 4491 9095
+rect 5534 9092 5540 9104
+rect 4479 9064 5540 9092
+rect 4479 9061 4491 9064
+rect 4433 9055 4491 9061
+rect 5534 9052 5540 9064
+rect 5592 9052 5598 9104
+rect 5810 9092 5816 9104
+rect 5771 9064 5816 9092
+rect 5810 9052 5816 9064
+rect 5868 9092 5874 9104
+rect 7190 9092 7196 9104
+rect 5868 9064 7196 9092
+rect 5868 9052 5874 9064
+rect 7190 9052 7196 9064
+rect 7248 9052 7254 9104
+rect 7300 9101 7328 9132
+rect 8938 9120 8944 9132
+rect 8996 9120 9002 9172
+rect 7285 9095 7343 9101
+rect 7285 9061 7297 9095
+rect 7331 9061 7343 9095
+rect 7285 9055 7343 9061
+rect 8205 9095 8263 9101
+rect 8205 9061 8217 9095
+rect 8251 9092 8263 9095
+rect 8846 9092 8852 9104
+rect 8251 9064 8852 9092
+rect 8251 9061 8263 9064
+rect 8205 9055 8263 9061
+rect 8846 9052 8852 9064
+rect 8904 9052 8910 9104
+rect 2869 9027 2927 9033
+rect 2869 8993 2881 9027
+rect 2915 8993 2927 9027
+rect 2869 8987 2927 8993
+rect 3053 9027 3111 9033
+rect 3053 8993 3065 9027
+rect 3099 9024 3111 9027
+rect 3418 9024 3424 9036
+rect 3099 8996 3424 9024
+rect 3099 8993 3111 8996
+rect 3053 8987 3111 8993
+rect 3418 8984 3424 8996
+rect 3476 9024 3482 9036
+rect 3476 8996 4016 9024
+rect 3476 8984 3482 8996
+rect 1486 8848 1492 8900
+rect 1544 8888 1550 8900
+rect 3050 8888 3056 8900
+rect 1544 8860 3056 8888
+rect 1544 8848 1550 8860
+rect 3050 8848 3056 8860
+rect 3108 8848 3114 8900
+rect 3878 8888 3884 8900
+rect 3160 8860 3884 8888
+rect 2038 8780 2044 8832
+rect 2096 8820 2102 8832
+rect 3160 8820 3188 8860
+rect 3878 8848 3884 8860
+rect 3936 8848 3942 8900
+rect 3988 8888 4016 8996
+rect 4338 8984 4344 9036
+rect 4396 9024 4402 9036
+rect 5261 9027 5319 9033
+rect 5261 9024 5273 9027
+rect 4396 8996 5273 9024
+rect 4396 8984 4402 8996
+rect 5261 8993 5273 8996
+rect 5307 8993 5319 9027
+rect 5442 9024 5448 9036
+rect 5403 8996 5448 9024
+rect 5261 8987 5319 8993
+rect 5442 8984 5448 8996
+rect 5500 8984 5506 9036
+rect 5718 8984 5724 9036
+rect 5776 9024 5782 9036
+rect 6733 9027 6791 9033
+rect 6733 9024 6745 9027
+rect 5776 8996 6745 9024
+rect 5776 8984 5782 8996
+rect 6733 8993 6745 8996
+rect 6779 8993 6791 9027
+rect 8389 9027 8447 9033
+rect 8389 9024 8401 9027
+rect 6733 8987 6791 8993
+rect 6932 8996 8401 9024
+rect 4430 8916 4436 8968
+rect 4488 8956 4494 8968
+rect 4614 8956 4620 8968
+rect 4488 8928 4620 8956
+rect 4488 8916 4494 8928
+rect 4614 8916 4620 8928
+rect 4672 8916 4678 8968
+rect 4706 8916 4712 8968
+rect 4764 8956 4770 8968
+rect 5994 8956 6000 8968
+rect 4764 8928 6000 8956
+rect 4764 8916 4770 8928
+rect 5994 8916 6000 8928
+rect 6052 8916 6058 8968
+rect 5258 8888 5264 8900
+rect 3988 8860 5264 8888
+rect 5258 8848 5264 8860
+rect 5316 8888 5322 8900
+rect 6822 8888 6828 8900
+rect 5316 8860 6828 8888
+rect 5316 8848 5322 8860
+rect 6822 8848 6828 8860
+rect 6880 8848 6886 8900
+rect 2096 8792 3188 8820
+rect 2096 8780 2102 8792
+rect 3234 8780 3240 8832
+rect 3292 8820 3298 8832
+rect 4522 8820 4528 8832
+rect 3292 8792 4528 8820
+rect 3292 8780 3298 8792
+rect 4522 8780 4528 8792
+rect 4580 8820 4586 8832
+rect 6932 8820 6960 8996
+rect 8389 8993 8401 8996
+rect 8435 8993 8447 9027
+rect 8389 8987 8447 8993
+rect 8757 9027 8815 9033
+rect 8757 8993 8769 9027
+rect 8803 9024 8815 9027
+rect 9030 9024 9036 9036
+rect 8803 8996 9036 9024
+rect 8803 8993 8815 8996
+rect 8757 8987 8815 8993
+rect 9030 8984 9036 8996
+rect 9088 8984 9094 9036
+rect 9398 8984 9404 9036
+rect 9456 9024 9462 9036
+rect 9677 9027 9735 9033
+rect 9677 9024 9689 9027
+rect 9456 8996 9689 9024
+rect 9456 8984 9462 8996
+rect 9677 8993 9689 8996
+rect 9723 8993 9735 9027
+rect 9677 8987 9735 8993
+rect 9861 9027 9919 9033
+rect 9861 8993 9873 9027
+rect 9907 8993 9919 9027
+rect 9861 8987 9919 8993
+rect 7374 8916 7380 8968
+rect 7432 8956 7438 8968
+rect 9876 8956 9904 8987
+rect 9950 8984 9956 9036
+rect 10008 9024 10014 9036
+rect 10321 9027 10379 9033
+rect 10321 9024 10333 9027
+rect 10008 8996 10333 9024
+rect 10008 8984 10014 8996
+rect 10321 8993 10333 8996
+rect 10367 9024 10379 9027
+rect 10778 9024 10784 9036
+rect 10367 8996 10784 9024
+rect 10367 8993 10379 8996
+rect 10321 8987 10379 8993
+rect 10778 8984 10784 8996
+rect 10836 8984 10842 9036
+rect 7432 8928 9904 8956
+rect 7432 8916 7438 8928
+rect 4580 8792 6960 8820
+rect 4580 8780 4586 8792
+rect 7190 8780 7196 8832
+rect 7248 8820 7254 8832
+rect 9398 8820 9404 8832
+rect 7248 8792 9404 8820
+rect 7248 8780 7254 8792
+rect 9398 8780 9404 8792
+rect 9456 8780 9462 8832
+rect 9766 8820 9772 8832
+rect 9727 8792 9772 8820
+rect 9766 8780 9772 8792
+rect 9824 8780 9830 8832
+rect 1104 8730 12328 8752
+rect 1104 8678 2852 8730
+rect 2904 8678 2916 8730
+rect 2968 8678 2980 8730
+rect 3032 8678 3044 8730
+rect 3096 8678 6594 8730
+rect 6646 8678 6658 8730
+rect 6710 8678 6722 8730
+rect 6774 8678 6786 8730
+rect 6838 8678 10335 8730
+rect 10387 8678 10399 8730
+rect 10451 8678 10463 8730
+rect 10515 8678 10527 8730
+rect 10579 8678 12328 8730
+rect 1104 8656 12328 8678
+rect 4338 8616 4344 8628
+rect 4299 8588 4344 8616
+rect 4338 8576 4344 8588
+rect 4396 8576 4402 8628
+rect 4525 8619 4583 8625
+rect 4525 8585 4537 8619
+rect 4571 8616 4583 8619
+rect 4571 8588 4660 8616
+rect 4571 8585 4583 8588
+rect 4525 8579 4583 8585
+rect 4632 8548 4660 8588
+rect 5442 8576 5448 8628
+rect 5500 8616 5506 8628
+rect 5629 8619 5687 8625
+rect 5629 8616 5641 8619
+rect 5500 8588 5641 8616
+rect 5500 8576 5506 8588
+rect 5629 8585 5641 8588
+rect 5675 8616 5687 8619
+rect 9766 8616 9772 8628
+rect 5675 8588 9772 8616
+rect 5675 8585 5687 8588
+rect 5629 8579 5687 8585
+rect 9766 8576 9772 8588
+rect 9824 8576 9830 8628
+rect 7006 8548 7012 8560
+rect 4264 8520 4568 8548
+rect 4632 8520 6408 8548
+rect 6967 8520 7012 8548
+rect 2038 8480 2044 8492
+rect 1872 8452 2044 8480
+rect 1872 8421 1900 8452
+rect 2038 8440 2044 8452
+rect 2096 8440 2102 8492
+rect 3234 8480 3240 8492
+rect 2976 8452 3240 8480
+rect 1857 8415 1915 8421
+rect 1857 8381 1869 8415
+rect 1903 8381 1915 8415
+rect 1857 8375 1915 8381
+rect 1946 8372 1952 8424
+rect 2004 8412 2010 8424
+rect 2976 8421 3004 8452
+rect 3234 8440 3240 8452
+rect 3292 8440 3298 8492
+rect 4264 8489 4292 8520
+rect 4249 8483 4307 8489
+rect 4249 8480 4261 8483
+rect 3896 8452 4261 8480
+rect 2961 8415 3019 8421
+rect 2004 8384 2049 8412
+rect 2004 8372 2010 8384
+rect 2961 8381 2973 8415
+rect 3007 8381 3019 8415
+rect 2961 8375 3019 8381
+rect 3145 8415 3203 8421
+rect 3145 8381 3157 8415
+rect 3191 8412 3203 8415
+rect 3896 8412 3924 8452
+rect 4249 8449 4261 8452
+rect 4295 8449 4307 8483
+rect 4249 8443 4307 8449
+rect 3191 8384 3924 8412
+rect 4157 8415 4215 8421
+rect 3191 8381 3203 8384
+rect 3145 8375 3203 8381
+rect 4157 8381 4169 8415
+rect 4203 8381 4215 8415
+rect 4540 8412 4568 8520
+rect 4982 8440 4988 8492
+rect 5040 8480 5046 8492
+rect 6380 8480 6408 8520
+rect 7006 8508 7012 8520
+rect 7064 8508 7070 8560
+rect 9858 8548 9864 8560
+rect 9048 8520 9864 8548
+rect 7282 8480 7288 8492
+rect 5040 8452 5948 8480
+rect 6380 8452 7288 8480
+rect 5040 8440 5046 8452
+rect 5166 8412 5172 8424
+rect 4540 8384 5172 8412
+rect 4157 8375 4215 8381
+rect 2130 8344 2136 8356
+rect 2091 8316 2136 8344
+rect 2130 8304 2136 8316
+rect 2188 8304 2194 8356
+rect 3329 8347 3387 8353
+rect 3329 8313 3341 8347
+rect 3375 8344 3387 8347
+rect 3786 8344 3792 8356
+rect 3375 8316 3792 8344
+rect 3375 8313 3387 8316
+rect 3329 8307 3387 8313
+rect 3786 8304 3792 8316
+rect 3844 8304 3850 8356
+rect 4172 8344 4200 8375
+rect 5166 8372 5172 8384
+rect 5224 8372 5230 8424
+rect 5920 8421 5948 8452
+rect 7282 8440 7288 8452
+rect 7340 8440 7346 8492
+rect 8018 8440 8024 8492
+rect 8076 8480 8082 8492
+rect 9048 8489 9076 8520
+rect 9858 8508 9864 8520
+rect 9916 8508 9922 8560
+rect 9033 8483 9091 8489
+rect 9033 8480 9045 8483
+rect 8076 8452 9045 8480
+rect 8076 8440 8082 8452
+rect 9033 8449 9045 8452
+rect 9079 8449 9091 8483
+rect 9033 8443 9091 8449
+rect 9766 8440 9772 8492
+rect 9824 8480 9830 8492
+rect 10505 8483 10563 8489
+rect 10505 8480 10517 8483
+rect 9824 8452 10517 8480
+rect 9824 8440 9830 8452
+rect 10505 8449 10517 8452
+rect 10551 8449 10563 8483
+rect 10505 8443 10563 8449
+rect 5905 8415 5963 8421
+rect 5905 8381 5917 8415
+rect 5951 8381 5963 8415
+rect 5905 8375 5963 8381
+rect 6178 8372 6184 8424
+rect 6236 8412 6242 8424
+rect 6917 8415 6975 8421
+rect 6917 8412 6929 8415
+rect 6236 8384 6929 8412
+rect 6236 8372 6242 8384
+rect 6917 8381 6929 8384
+rect 6963 8381 6975 8415
+rect 6917 8375 6975 8381
+rect 7193 8415 7251 8421
+rect 7193 8381 7205 8415
+rect 7239 8381 7251 8415
+rect 7193 8375 7251 8381
+rect 4706 8344 4712 8356
+rect 4172 8316 4712 8344
+rect 4706 8304 4712 8316
+rect 4764 8304 4770 8356
+rect 5445 8347 5503 8353
+rect 5445 8313 5457 8347
+rect 5491 8344 5503 8347
+rect 5534 8344 5540 8356
+rect 5491 8316 5540 8344
+rect 5491 8313 5503 8316
+rect 5445 8307 5503 8313
+rect 5534 8304 5540 8316
+rect 5592 8304 5598 8356
+rect 5718 8304 5724 8356
+rect 5776 8344 5782 8356
+rect 7208 8344 7236 8375
+rect 8294 8372 8300 8424
+rect 8352 8412 8358 8424
+rect 8481 8415 8539 8421
+rect 8481 8412 8493 8415
+rect 8352 8384 8493 8412
+rect 8352 8372 8358 8384
+rect 8481 8381 8493 8384
+rect 8527 8381 8539 8415
+rect 8481 8375 8539 8381
+rect 8941 8415 8999 8421
+rect 8941 8381 8953 8415
+rect 8987 8381 8999 8415
+rect 10045 8415 10103 8421
+rect 10045 8412 10057 8415
+rect 8941 8375 8999 8381
+rect 9968 8384 10057 8412
+rect 5776 8316 7236 8344
+rect 5776 8304 5782 8316
+rect 7558 8304 7564 8356
+rect 7616 8344 7622 8356
+rect 7653 8347 7711 8353
+rect 7653 8344 7665 8347
+rect 7616 8316 7665 8344
+rect 7616 8304 7622 8316
+rect 7653 8313 7665 8316
+rect 7699 8313 7711 8347
+rect 7653 8307 7711 8313
+rect 8110 8304 8116 8356
+rect 8168 8344 8174 8356
+rect 8956 8344 8984 8375
+rect 8168 8316 8984 8344
+rect 8168 8304 8174 8316
+rect 9968 8288 9996 8384
+rect 10045 8381 10057 8384
+rect 10091 8381 10103 8415
+rect 10045 8375 10103 8381
+rect 10413 8415 10471 8421
+rect 10413 8381 10425 8415
+rect 10459 8381 10471 8415
+rect 10413 8375 10471 8381
+rect 10428 8344 10456 8375
+rect 10778 8344 10784 8356
+rect 10428 8316 10784 8344
+rect 10778 8304 10784 8316
+rect 10836 8344 10842 8356
+rect 12066 8344 12072 8356
+rect 10836 8316 12072 8344
+rect 10836 8304 10842 8316
+rect 12066 8304 12072 8316
+rect 12124 8304 12130 8356
+rect 1854 8236 1860 8288
+rect 1912 8276 1918 8288
+rect 5629 8279 5687 8285
+rect 5629 8276 5641 8279
+rect 1912 8248 5641 8276
+rect 1912 8236 1918 8248
+rect 5629 8245 5641 8248
+rect 5675 8276 5687 8279
+rect 7098 8276 7104 8288
+rect 5675 8248 7104 8276
+rect 5675 8245 5687 8248
+rect 5629 8239 5687 8245
+rect 7098 8236 7104 8248
+rect 7156 8236 7162 8288
+rect 9950 8236 9956 8288
+rect 10008 8236 10014 8288
+rect 10042 8236 10048 8288
+rect 10100 8276 10106 8288
+rect 10137 8279 10195 8285
+rect 10137 8276 10149 8279
+rect 10100 8248 10149 8276
+rect 10100 8236 10106 8248
+rect 10137 8245 10149 8248
+rect 10183 8245 10195 8279
+rect 10137 8239 10195 8245
+rect 1104 8186 12328 8208
+rect 1104 8134 4723 8186
+rect 4775 8134 4787 8186
+rect 4839 8134 4851 8186
+rect 4903 8134 4915 8186
+rect 4967 8134 8464 8186
+rect 8516 8134 8528 8186
+rect 8580 8134 8592 8186
+rect 8644 8134 8656 8186
+rect 8708 8134 12328 8186
+rect 1104 8112 12328 8134
+rect 4338 8032 4344 8084
+rect 4396 8072 4402 8084
+rect 5169 8075 5227 8081
+rect 5169 8072 5181 8075
+rect 4396 8044 5181 8072
+rect 4396 8032 4402 8044
+rect 5169 8041 5181 8044
+rect 5215 8041 5227 8075
+rect 9950 8072 9956 8084
+rect 5169 8035 5227 8041
+rect 7484 8044 9956 8072
+rect 3234 8004 3240 8016
+rect 2884 7976 3240 8004
+rect 1670 7936 1676 7948
+rect 1631 7908 1676 7936
+rect 1670 7896 1676 7908
+rect 1728 7896 1734 7948
+rect 1854 7936 1860 7948
+rect 1815 7908 1860 7936
+rect 1854 7896 1860 7908
+rect 1912 7896 1918 7948
+rect 2884 7945 2912 7976
+rect 3234 7964 3240 7976
+rect 3292 8004 3298 8016
+rect 3694 8004 3700 8016
+rect 3292 7976 3700 8004
+rect 3292 7964 3298 7976
+rect 3694 7964 3700 7976
+rect 3752 7964 3758 8016
+rect 3786 7964 3792 8016
+rect 3844 8004 3850 8016
+rect 5350 8004 5356 8016
+rect 3844 7976 5356 8004
+rect 3844 7964 3850 7976
+rect 5350 7964 5356 7976
+rect 5408 7964 5414 8016
+rect 7006 8004 7012 8016
+rect 5828 7976 7012 8004
+rect 2869 7939 2927 7945
+rect 2869 7905 2881 7939
+rect 2915 7905 2927 7939
+rect 2869 7899 2927 7905
+rect 3053 7939 3111 7945
+rect 3053 7905 3065 7939
+rect 3099 7936 3111 7939
+rect 3418 7936 3424 7948
+rect 3099 7908 3424 7936
+rect 3099 7905 3111 7908
+rect 3053 7899 3111 7905
+rect 3418 7896 3424 7908
+rect 3476 7896 3482 7948
+rect 4246 7896 4252 7948
+rect 4304 7936 4310 7948
+rect 4982 7936 4988 7948
+rect 4304 7908 4844 7936
+rect 4895 7908 4988 7936
+rect 4304 7896 4310 7908
+rect 1949 7871 2007 7877
+rect 1949 7837 1961 7871
+rect 1995 7868 2007 7871
+rect 4522 7868 4528 7880
+rect 1995 7840 4528 7868
+rect 1995 7837 2007 7840
+rect 1949 7831 2007 7837
+rect 4522 7828 4528 7840
+rect 4580 7828 4586 7880
+rect 4816 7877 4844 7908
+rect 4982 7896 4988 7908
+rect 5040 7936 5046 7948
+rect 5828 7936 5856 7976
+rect 7006 7964 7012 7976
+rect 7064 7964 7070 8016
+rect 5040 7908 5856 7936
+rect 6273 7939 6331 7945
+rect 5040 7896 5046 7908
+rect 6273 7905 6285 7939
+rect 6319 7936 6331 7939
+rect 6822 7936 6828 7948
+rect 6319 7908 6828 7936
+rect 6319 7905 6331 7908
+rect 6273 7899 6331 7905
+rect 6822 7896 6828 7908
+rect 6880 7936 6886 7948
+rect 7484 7936 7512 8044
+rect 9950 8032 9956 8044
+rect 10008 8032 10014 8084
+rect 8110 8004 8116 8016
+rect 8071 7976 8116 8004
+rect 8110 7964 8116 7976
+rect 8168 7964 8174 8016
+rect 9030 7964 9036 8016
+rect 9088 8004 9094 8016
+rect 9677 8007 9735 8013
+rect 9677 8004 9689 8007
+rect 9088 7976 9689 8004
+rect 9088 7964 9094 7976
+rect 9677 7973 9689 7976
+rect 9723 7973 9735 8007
+rect 10226 8004 10232 8016
+rect 10187 7976 10232 8004
+rect 9677 7967 9735 7973
+rect 10226 7964 10232 7976
+rect 10284 7964 10290 8016
+rect 6880 7908 7512 7936
+rect 7561 7939 7619 7945
+rect 6880 7896 6886 7908
+rect 7561 7905 7573 7939
+rect 7607 7905 7619 7939
+rect 7561 7899 7619 7905
+rect 4801 7871 4859 7877
+rect 4801 7837 4813 7871
+rect 4847 7868 4859 7871
+rect 5442 7868 5448 7880
+rect 4847 7840 5448 7868
+rect 4847 7837 4859 7840
+rect 4801 7831 4859 7837
+rect 5442 7828 5448 7840
+rect 5500 7828 5506 7880
+rect 6089 7871 6147 7877
+rect 6089 7837 6101 7871
+rect 6135 7868 6147 7871
+rect 6362 7868 6368 7880
+rect 6135 7840 6368 7868
+rect 6135 7837 6147 7840
+rect 6089 7831 6147 7837
+rect 6362 7828 6368 7840
+rect 6420 7828 6426 7880
+rect 7576 7868 7604 7899
+rect 7650 7896 7656 7948
+rect 7708 7936 7714 7948
+rect 9861 7939 9919 7945
+rect 9861 7936 9873 7939
+rect 7708 7908 7753 7936
+rect 9784 7908 9873 7936
+rect 7708 7896 7714 7908
+rect 7834 7868 7840 7880
+rect 7576 7840 7840 7868
+rect 7834 7828 7840 7840
+rect 7892 7828 7898 7880
+rect 9490 7828 9496 7880
+rect 9548 7868 9554 7880
+rect 9784 7868 9812 7908
+rect 9861 7905 9873 7908
+rect 9907 7905 9919 7939
+rect 11054 7936 11060 7948
+rect 11015 7908 11060 7936
+rect 9861 7899 9919 7905
+rect 11054 7896 11060 7908
+rect 11112 7896 11118 7948
+rect 9548 7840 9812 7868
+rect 9548 7828 9554 7840
+rect 3053 7803 3111 7809
+rect 3053 7769 3065 7803
+rect 3099 7800 3111 7803
+rect 3970 7800 3976 7812
+rect 3099 7772 3976 7800
+rect 3099 7769 3111 7772
+rect 3053 7763 3111 7769
+rect 3970 7760 3976 7772
+rect 4028 7760 4034 7812
+rect 4338 7760 4344 7812
+rect 4396 7800 4402 7812
+rect 4982 7800 4988 7812
+rect 4396 7772 4988 7800
+rect 4396 7760 4402 7772
+rect 4982 7760 4988 7772
+rect 5040 7760 5046 7812
+rect 6457 7803 6515 7809
+rect 6457 7769 6469 7803
+rect 6503 7800 6515 7803
+rect 9582 7800 9588 7812
+rect 6503 7772 9588 7800
+rect 6503 7769 6515 7772
+rect 6457 7763 6515 7769
+rect 9582 7760 9588 7772
+rect 9640 7760 9646 7812
+rect 2222 7692 2228 7744
+rect 2280 7732 2286 7744
+rect 5534 7732 5540 7744
+rect 2280 7704 5540 7732
+rect 2280 7692 2286 7704
+rect 5534 7692 5540 7704
+rect 5592 7692 5598 7744
+rect 5626 7692 5632 7744
+rect 5684 7732 5690 7744
+rect 5810 7732 5816 7744
+rect 5684 7704 5816 7732
+rect 5684 7692 5690 7704
+rect 5810 7692 5816 7704
+rect 5868 7692 5874 7744
+rect 5902 7692 5908 7744
+rect 5960 7732 5966 7744
+rect 6178 7732 6184 7744
+rect 5960 7704 6184 7732
+rect 5960 7692 5966 7704
+rect 6178 7692 6184 7704
+rect 6236 7692 6242 7744
+rect 7377 7735 7435 7741
+rect 7377 7701 7389 7735
+rect 7423 7732 7435 7735
+rect 7558 7732 7564 7744
+rect 7423 7704 7564 7732
+rect 7423 7701 7435 7704
+rect 7377 7695 7435 7701
+rect 7558 7692 7564 7704
+rect 7616 7692 7622 7744
+rect 9950 7692 9956 7744
+rect 10008 7732 10014 7744
+rect 10134 7732 10140 7744
+rect 10008 7704 10140 7732
+rect 10008 7692 10014 7704
+rect 10134 7692 10140 7704
+rect 10192 7692 10198 7744
+rect 10778 7692 10784 7744
+rect 10836 7732 10842 7744
+rect 11241 7735 11299 7741
+rect 11241 7732 11253 7735
+rect 10836 7704 11253 7732
+rect 10836 7692 10842 7704
+rect 11241 7701 11253 7704
+rect 11287 7701 11299 7735
+rect 11241 7695 11299 7701
+rect 1104 7642 12328 7664
+rect 1104 7590 2852 7642
+rect 2904 7590 2916 7642
+rect 2968 7590 2980 7642
+rect 3032 7590 3044 7642
+rect 3096 7590 6594 7642
+rect 6646 7590 6658 7642
+rect 6710 7590 6722 7642
+rect 6774 7590 6786 7642
+rect 6838 7590 10335 7642
+rect 10387 7590 10399 7642
+rect 10451 7590 10463 7642
+rect 10515 7590 10527 7642
+rect 10579 7590 12328 7642
+rect 1104 7568 12328 7590
+rect 2130 7488 2136 7540
+rect 2188 7528 2194 7540
+rect 4246 7528 4252 7540
+rect 2188 7500 4252 7528
+rect 2188 7488 2194 7500
+rect 4246 7488 4252 7500
+rect 4304 7488 4310 7540
+rect 4614 7488 4620 7540
+rect 4672 7528 4678 7540
+rect 5534 7528 5540 7540
+rect 4672 7500 5540 7528
+rect 4672 7488 4678 7500
+rect 5534 7488 5540 7500
+rect 5592 7488 5598 7540
+rect 5994 7488 6000 7540
+rect 6052 7528 6058 7540
+rect 6270 7528 6276 7540
+rect 6052 7500 6276 7528
+rect 6052 7488 6058 7500
+rect 6270 7488 6276 7500
+rect 6328 7488 6334 7540
+rect 9217 7531 9275 7537
+rect 9217 7497 9229 7531
+rect 9263 7528 9275 7531
+rect 11054 7528 11060 7540
+rect 9263 7500 11060 7528
+rect 9263 7497 9275 7500
+rect 9217 7491 9275 7497
+rect 11054 7488 11060 7500
+rect 11112 7488 11118 7540
+rect 5810 7460 5816 7472
+rect 3436 7432 5816 7460
+rect 1670 7284 1676 7336
+rect 1728 7324 1734 7336
+rect 1949 7327 2007 7333
+rect 1949 7324 1961 7327
+rect 1728 7296 1961 7324
+rect 1728 7284 1734 7296
+rect 1949 7293 1961 7296
+rect 1995 7293 2007 7327
+rect 2222 7324 2228 7336
+rect 2183 7296 2228 7324
+rect 1949 7287 2007 7293
+rect 2222 7284 2228 7296
+rect 2280 7284 2286 7336
+rect 3234 7324 3240 7336
+rect 3195 7296 3240 7324
+rect 3234 7284 3240 7296
+rect 3292 7284 3298 7336
+rect 3436 7333 3464 7432
+rect 5810 7420 5816 7432
+rect 5868 7420 5874 7472
+rect 5905 7463 5963 7469
+rect 5905 7429 5917 7463
+rect 5951 7460 5963 7463
+rect 7374 7460 7380 7472
+rect 5951 7432 7380 7460
+rect 5951 7429 5963 7432
+rect 5905 7423 5963 7429
+rect 7374 7420 7380 7432
+rect 7432 7420 7438 7472
+rect 8202 7460 8208 7472
+rect 7668 7432 8208 7460
+rect 4709 7395 4767 7401
+rect 4709 7361 4721 7395
+rect 4755 7392 4767 7395
+rect 7190 7392 7196 7404
+rect 4755 7364 7196 7392
+rect 4755 7361 4767 7364
+rect 4709 7355 4767 7361
+rect 7190 7352 7196 7364
+rect 7248 7352 7254 7404
+rect 7668 7392 7696 7432
+rect 8202 7420 8208 7432
+rect 8260 7420 8266 7472
+rect 7834 7392 7840 7404
+rect 7392 7364 7696 7392
+rect 7747 7364 7840 7392
+rect 7392 7336 7420 7364
+rect 7834 7352 7840 7364
+rect 7892 7392 7898 7404
+rect 9950 7392 9956 7404
+rect 7892 7364 9956 7392
+rect 7892 7352 7898 7364
+rect 9950 7352 9956 7364
+rect 10008 7352 10014 7404
+rect 10134 7392 10140 7404
+rect 10095 7364 10140 7392
+rect 10134 7352 10140 7364
+rect 10192 7352 10198 7404
+rect 3421 7327 3479 7333
+rect 3421 7293 3433 7327
+rect 3467 7293 3479 7327
+rect 3421 7287 3479 7293
+rect 4433 7327 4491 7333
+rect 4433 7293 4445 7327
+rect 4479 7293 4491 7327
+rect 4433 7287 4491 7293
+rect 4617 7327 4675 7333
+rect 4617 7293 4629 7327
+rect 4663 7324 4675 7327
+rect 4982 7324 4988 7336
+rect 4663 7296 4988 7324
+rect 4663 7293 4675 7296
+rect 4617 7287 4675 7293
+rect 2314 7256 2320 7268
+rect 2275 7228 2320 7256
+rect 2314 7216 2320 7228
+rect 2372 7216 2378 7268
+rect 3421 7191 3479 7197
+rect 3421 7157 3433 7191
+rect 3467 7188 3479 7191
+rect 4062 7188 4068 7200
+rect 3467 7160 4068 7188
+rect 3467 7157 3479 7160
+rect 3421 7151 3479 7157
+rect 4062 7148 4068 7160
+rect 4120 7148 4126 7200
+rect 4448 7188 4476 7287
+rect 4982 7284 4988 7296
+rect 5040 7284 5046 7336
+rect 5258 7284 5264 7336
+rect 5316 7324 5322 7336
+rect 5721 7327 5779 7333
+rect 5721 7324 5733 7327
+rect 5316 7296 5733 7324
+rect 5316 7284 5322 7296
+rect 5721 7293 5733 7296
+rect 5767 7293 5779 7327
+rect 7374 7324 7380 7336
+rect 7335 7296 7380 7324
+rect 5721 7287 5779 7293
+rect 7374 7284 7380 7296
+rect 7432 7284 7438 7336
+rect 7745 7327 7803 7333
+rect 7745 7293 7757 7327
+rect 7791 7293 7803 7327
+rect 7745 7287 7803 7293
+rect 5537 7259 5595 7265
+rect 5537 7225 5549 7259
+rect 5583 7256 5595 7259
+rect 6546 7256 6552 7268
+rect 5583 7228 6552 7256
+rect 5583 7225 5595 7228
+rect 5537 7219 5595 7225
+rect 6546 7216 6552 7228
+rect 6604 7216 6610 7268
+rect 7760 7256 7788 7287
+rect 8754 7284 8760 7336
+rect 8812 7324 8818 7336
+rect 8849 7327 8907 7333
+rect 8849 7324 8861 7327
+rect 8812 7296 8861 7324
+rect 8812 7284 8818 7296
+rect 8849 7293 8861 7296
+rect 8895 7293 8907 7327
+rect 9030 7324 9036 7336
+rect 8991 7296 9036 7324
+rect 8849 7287 8907 7293
+rect 9030 7284 9036 7296
+rect 9088 7284 9094 7336
+rect 10321 7327 10379 7333
+rect 10321 7293 10333 7327
+rect 10367 7324 10379 7327
+rect 10870 7324 10876 7336
+rect 10367 7296 10876 7324
+rect 10367 7293 10379 7296
+rect 10321 7287 10379 7293
+rect 10870 7284 10876 7296
+rect 10928 7284 10934 7336
+rect 7834 7256 7840 7268
+rect 7760 7228 7840 7256
+rect 7834 7216 7840 7228
+rect 7892 7216 7898 7268
+rect 8021 7259 8079 7265
+rect 8021 7225 8033 7259
+rect 8067 7256 8079 7259
+rect 8202 7256 8208 7268
+rect 8067 7228 8208 7256
+rect 8067 7225 8079 7228
+rect 8021 7219 8079 7225
+rect 8202 7216 8208 7228
+rect 8260 7216 8266 7268
+rect 5810 7188 5816 7200
+rect 4448 7160 5816 7188
+rect 5810 7148 5816 7160
+rect 5868 7148 5874 7200
+rect 10134 7148 10140 7200
+rect 10192 7188 10198 7200
+rect 10505 7191 10563 7197
+rect 10505 7188 10517 7191
+rect 10192 7160 10517 7188
+rect 10192 7148 10198 7160
+rect 10505 7157 10517 7160
+rect 10551 7157 10563 7191
+rect 10505 7151 10563 7157
+rect 1104 7098 12328 7120
+rect 1104 7046 4723 7098
+rect 4775 7046 4787 7098
+rect 4839 7046 4851 7098
+rect 4903 7046 4915 7098
+rect 4967 7046 8464 7098
+rect 8516 7046 8528 7098
+rect 8580 7046 8592 7098
+rect 8644 7046 8656 7098
+rect 8708 7046 12328 7098
+rect 1104 7024 12328 7046
+rect 3053 6987 3111 6993
+rect 3053 6953 3065 6987
+rect 3099 6984 3111 6987
+rect 3099 6956 3372 6984
+rect 3099 6953 3111 6956
+rect 3053 6947 3111 6953
+rect 3234 6916 3240 6928
+rect 2884 6888 3240 6916
+rect 1673 6851 1731 6857
+rect 1673 6817 1685 6851
+rect 1719 6848 1731 6851
+rect 1762 6848 1768 6860
+rect 1719 6820 1768 6848
+rect 1719 6817 1731 6820
+rect 1673 6811 1731 6817
+rect 1762 6808 1768 6820
+rect 1820 6808 1826 6860
+rect 1857 6851 1915 6857
+rect 1857 6817 1869 6851
+rect 1903 6817 1915 6851
+rect 1857 6811 1915 6817
+rect 1780 6712 1808 6808
+rect 1872 6780 1900 6811
+rect 1946 6808 1952 6860
+rect 2004 6848 2010 6860
+rect 2884 6857 2912 6888
+rect 3234 6876 3240 6888
+rect 3292 6876 3298 6928
+rect 3344 6916 3372 6956
+rect 3418 6944 3424 6996
+rect 3476 6984 3482 6996
+rect 10965 6987 11023 6993
+rect 10965 6984 10977 6987
+rect 3476 6956 10977 6984
+rect 3476 6944 3482 6956
+rect 10965 6953 10977 6956
+rect 11011 6953 11023 6987
+rect 10965 6947 11023 6953
+rect 4062 6916 4068 6928
+rect 3344 6888 4068 6916
+rect 4062 6876 4068 6888
+rect 4120 6876 4126 6928
+rect 4525 6919 4583 6925
+rect 4172 6888 4476 6916
+rect 2869 6851 2927 6857
+rect 2004 6820 2049 6848
+rect 2004 6808 2010 6820
+rect 2869 6817 2881 6851
+rect 2915 6817 2927 6851
+rect 2869 6811 2927 6817
+rect 3053 6851 3111 6857
+rect 3053 6817 3065 6851
+rect 3099 6848 3111 6851
+rect 3142 6848 3148 6860
+rect 3099 6820 3148 6848
+rect 3099 6817 3111 6820
+rect 3053 6811 3111 6817
+rect 3142 6808 3148 6820
+rect 3200 6808 3206 6860
+rect 4172 6857 4200 6888
+rect 4157 6851 4215 6857
+rect 4157 6817 4169 6851
+rect 4203 6817 4215 6851
+rect 4157 6811 4215 6817
+rect 4246 6808 4252 6860
+rect 4304 6848 4310 6860
+rect 4341 6851 4399 6857
+rect 4341 6848 4353 6851
+rect 4304 6820 4353 6848
+rect 4304 6808 4310 6820
+rect 4341 6817 4353 6820
+rect 4387 6817 4399 6851
+rect 4448 6848 4476 6888
+rect 4525 6885 4537 6919
+rect 4571 6916 4583 6919
+rect 4614 6916 4620 6928
+rect 4571 6888 4620 6916
+rect 4571 6885 4583 6888
+rect 4525 6879 4583 6885
+rect 4614 6876 4620 6888
+rect 4672 6876 4678 6928
+rect 5350 6916 5356 6928
+rect 5311 6888 5356 6916
+rect 5350 6876 5356 6888
+rect 5408 6876 5414 6928
+rect 6086 6876 6092 6928
+rect 6144 6916 6150 6928
+rect 6917 6919 6975 6925
+rect 6917 6916 6929 6919
+rect 6144 6888 6929 6916
+rect 6144 6876 6150 6888
+rect 6917 6885 6929 6888
+rect 6963 6885 6975 6919
+rect 10778 6916 10784 6928
+rect 6917 6879 6975 6885
+rect 9784 6888 10784 6916
+rect 5166 6848 5172 6860
+rect 4448 6820 5172 6848
+rect 4341 6811 4399 6817
+rect 5166 6808 5172 6820
+rect 5224 6808 5230 6860
+rect 5537 6851 5595 6857
+rect 5537 6817 5549 6851
+rect 5583 6848 5595 6851
+rect 5583 6820 5672 6848
+rect 5583 6817 5595 6820
+rect 5537 6811 5595 6817
+rect 5644 6792 5672 6820
+rect 6454 6808 6460 6860
+rect 6512 6808 6518 6860
+rect 6638 6848 6644 6860
+rect 6599 6820 6644 6848
+rect 6638 6808 6644 6820
+rect 6696 6808 6702 6860
+rect 6733 6851 6791 6857
+rect 6733 6817 6745 6851
+rect 6779 6817 6791 6851
+rect 7742 6848 7748 6860
+rect 7703 6820 7748 6848
+rect 6733 6811 6791 6817
+rect 4614 6780 4620 6792
+rect 1872 6752 4620 6780
+rect 4614 6740 4620 6752
+rect 4672 6740 4678 6792
+rect 5626 6740 5632 6792
+rect 5684 6740 5690 6792
+rect 6472 6780 6500 6808
+rect 6748 6780 6776 6811
+rect 7742 6808 7748 6820
+rect 7800 6808 7806 6860
+rect 7929 6851 7987 6857
+rect 7929 6817 7941 6851
+rect 7975 6848 7987 6851
+rect 8846 6848 8852 6860
+rect 7975 6820 8852 6848
+rect 7975 6817 7987 6820
+rect 7929 6811 7987 6817
+rect 8846 6808 8852 6820
+rect 8904 6808 8910 6860
+rect 9582 6808 9588 6860
+rect 9640 6808 9646 6860
+rect 9674 6808 9680 6860
+rect 9732 6808 9738 6860
+rect 9784 6857 9812 6888
+rect 10778 6876 10784 6888
+rect 10836 6876 10842 6928
+rect 9769 6851 9827 6857
+rect 9769 6817 9781 6851
+rect 9815 6817 9827 6851
+rect 9769 6811 9827 6817
+rect 9953 6851 10011 6857
+rect 9953 6817 9965 6851
+rect 9999 6817 10011 6851
+rect 10870 6848 10876 6860
+rect 10831 6820 10876 6848
+rect 9953 6811 10011 6817
+rect 6472 6752 6776 6780
+rect 8386 6740 8392 6792
+rect 8444 6780 8450 6792
+rect 9600 6780 9628 6808
+rect 9692 6780 9720 6808
+rect 8444 6752 9720 6780
+rect 9968 6780 9996 6811
+rect 10870 6808 10876 6820
+rect 10928 6808 10934 6860
+rect 11054 6848 11060 6860
+rect 11015 6820 11060 6848
+rect 11054 6808 11060 6820
+rect 11112 6808 11118 6860
+rect 11146 6780 11152 6792
+rect 9968 6752 11152 6780
+rect 8444 6740 8450 6752
+rect 3970 6712 3976 6724
+rect 1780 6684 3976 6712
+rect 3970 6672 3976 6684
+rect 4028 6672 4034 6724
+rect 8113 6715 8171 6721
+rect 8113 6681 8125 6715
+rect 8159 6712 8171 6715
+rect 9030 6712 9036 6724
+rect 8159 6684 9036 6712
+rect 8159 6681 8171 6684
+rect 8113 6675 8171 6681
+rect 9030 6672 9036 6684
+rect 9088 6672 9094 6724
+rect 9968 6712 9996 6752
+rect 11146 6740 11152 6752
+rect 11204 6740 11210 6792
+rect 9600 6684 9996 6712
+rect 3234 6604 3240 6656
+rect 3292 6644 3298 6656
+rect 5629 6647 5687 6653
+rect 5629 6644 5641 6647
+rect 3292 6616 5641 6644
+rect 3292 6604 3298 6616
+rect 5629 6613 5641 6616
+rect 5675 6613 5687 6647
+rect 5629 6607 5687 6613
+rect 7466 6604 7472 6656
+rect 7524 6644 7530 6656
+rect 7745 6647 7803 6653
+rect 7745 6644 7757 6647
+rect 7524 6616 7757 6644
+rect 7524 6604 7530 6616
+rect 7745 6613 7757 6616
+rect 7791 6613 7803 6647
+rect 7745 6607 7803 6613
+rect 8570 6604 8576 6656
+rect 8628 6644 8634 6656
+rect 9600 6644 9628 6684
+rect 9766 6644 9772 6656
+rect 8628 6616 9628 6644
+rect 9727 6616 9772 6644
+rect 8628 6604 8634 6616
+rect 9766 6604 9772 6616
+rect 9824 6604 9830 6656
+rect 1104 6554 12328 6576
+rect 1104 6502 2852 6554
+rect 2904 6502 2916 6554
+rect 2968 6502 2980 6554
+rect 3032 6502 3044 6554
+rect 3096 6502 6594 6554
+rect 6646 6502 6658 6554
+rect 6710 6502 6722 6554
+rect 6774 6502 6786 6554
+rect 6838 6502 10335 6554
+rect 10387 6502 10399 6554
+rect 10451 6502 10463 6554
+rect 10515 6502 10527 6554
+rect 10579 6502 12328 6554
+rect 1104 6480 12328 6502
+rect 3421 6443 3479 6449
+rect 3421 6409 3433 6443
+rect 3467 6440 3479 6443
+rect 5810 6440 5816 6452
+rect 3467 6412 5672 6440
+rect 5771 6412 5816 6440
+rect 3467 6409 3479 6412
+rect 3421 6403 3479 6409
+rect 3142 6332 3148 6384
+rect 3200 6372 3206 6384
+rect 4617 6375 4675 6381
+rect 4617 6372 4629 6375
+rect 3200 6344 4629 6372
+rect 3200 6332 3206 6344
+rect 4617 6341 4629 6344
+rect 4663 6341 4675 6375
+rect 5644 6372 5672 6412
+rect 5810 6400 5816 6412
+rect 5868 6400 5874 6452
+rect 7466 6440 7472 6452
+rect 7427 6412 7472 6440
+rect 7466 6400 7472 6412
+rect 7524 6400 7530 6452
+rect 9582 6400 9588 6452
+rect 9640 6440 9646 6452
+rect 9677 6443 9735 6449
+rect 9677 6440 9689 6443
+rect 9640 6412 9689 6440
+rect 9640 6400 9646 6412
+rect 9677 6409 9689 6412
+rect 9723 6409 9735 6443
+rect 9677 6403 9735 6409
+rect 8570 6372 8576 6384
+rect 5644 6344 8576 6372
+rect 4617 6335 4675 6341
+rect 8570 6332 8576 6344
+rect 8628 6332 8634 6384
+rect 8754 6372 8760 6384
+rect 8715 6344 8760 6372
+rect 8754 6332 8760 6344
+rect 8812 6332 8818 6384
+rect 9030 6332 9036 6384
+rect 9088 6372 9094 6384
+rect 9398 6372 9404 6384
+rect 9088 6344 9404 6372
+rect 9088 6332 9094 6344
+rect 9398 6332 9404 6344
+rect 9456 6372 9462 6384
+rect 10873 6375 10931 6381
+rect 10873 6372 10885 6375
+rect 9456 6344 10885 6372
+rect 9456 6332 9462 6344
+rect 10873 6341 10885 6344
+rect 10919 6341 10931 6375
+rect 10873 6335 10931 6341
+rect 2409 6307 2467 6313
+rect 2409 6273 2421 6307
+rect 2455 6304 2467 6307
+rect 2590 6304 2596 6316
+rect 2455 6276 2596 6304
+rect 2455 6273 2467 6276
+rect 2409 6267 2467 6273
+rect 2590 6264 2596 6276
+rect 2648 6264 2654 6316
+rect 4062 6264 4068 6316
+rect 4120 6304 4126 6316
+rect 7742 6304 7748 6316
+rect 4120 6276 7748 6304
+rect 4120 6264 4126 6276
+rect 1762 6236 1768 6248
+rect 1723 6208 1768 6236
+rect 1762 6196 1768 6208
+rect 1820 6196 1826 6248
+rect 3234 6236 3240 6248
+rect 3195 6208 3240 6236
+rect 3234 6196 3240 6208
+rect 3292 6196 3298 6248
+rect 3970 6196 3976 6248
+rect 4028 6236 4034 6248
+rect 4338 6236 4344 6248
+rect 4028 6208 4344 6236
+rect 4028 6196 4034 6208
+rect 4338 6196 4344 6208
+rect 4396 6196 4402 6248
+rect 4448 6245 4476 6276
+rect 7742 6264 7748 6276
+rect 7800 6304 7806 6316
+rect 7926 6304 7932 6316
+rect 7800 6276 7932 6304
+rect 7800 6264 7806 6276
+rect 7926 6264 7932 6276
+rect 7984 6264 7990 6316
+rect 10226 6264 10232 6316
+rect 10284 6304 10290 6316
+rect 10284 6276 11008 6304
+rect 10284 6264 10290 6276
+rect 4433 6239 4491 6245
+rect 4433 6205 4445 6239
+rect 4479 6205 4491 6239
+rect 4433 6199 4491 6205
+rect 4617 6239 4675 6245
+rect 4617 6205 4629 6239
+rect 4663 6205 4675 6239
+rect 5534 6236 5540 6248
+rect 5495 6208 5540 6236
+rect 4617 6199 4675 6205
+rect 4632 6100 4660 6199
+rect 5534 6196 5540 6208
+rect 5592 6196 5598 6248
+rect 5810 6196 5816 6248
+rect 5868 6236 5874 6248
+rect 7101 6239 7159 6245
+rect 7101 6236 7113 6239
+rect 5868 6208 7113 6236
+rect 5868 6196 5874 6208
+rect 7101 6205 7113 6208
+rect 7147 6205 7159 6239
+rect 7282 6236 7288 6248
+rect 7243 6208 7288 6236
+rect 7101 6199 7159 6205
+rect 7282 6196 7288 6208
+rect 7340 6196 7346 6248
+rect 8386 6236 8392 6248
+rect 8347 6208 8392 6236
+rect 8386 6196 8392 6208
+rect 8444 6196 8450 6248
+rect 8573 6239 8631 6245
+rect 8573 6205 8585 6239
+rect 8619 6236 8631 6239
+rect 8938 6236 8944 6248
+rect 8619 6208 8944 6236
+rect 8619 6205 8631 6208
+rect 8573 6199 8631 6205
+rect 8938 6196 8944 6208
+rect 8996 6196 9002 6248
+rect 9582 6236 9588 6248
+rect 9543 6208 9588 6236
+rect 9582 6196 9588 6208
+rect 9640 6196 9646 6248
+rect 9766 6236 9772 6248
+rect 9727 6208 9772 6236
+rect 9766 6196 9772 6208
+rect 9824 6196 9830 6248
+rect 10318 6196 10324 6248
+rect 10376 6236 10382 6248
+rect 10980 6245 11008 6276
+rect 10781 6239 10839 6245
+rect 10781 6236 10793 6239
+rect 10376 6208 10793 6236
+rect 10376 6196 10382 6208
+rect 10781 6205 10793 6208
+rect 10827 6205 10839 6239
+rect 10781 6199 10839 6205
+rect 10965 6239 11023 6245
+rect 10965 6205 10977 6239
+rect 11011 6205 11023 6239
+rect 10965 6199 11023 6205
+rect 5721 6171 5779 6177
+rect 5721 6137 5733 6171
+rect 5767 6168 5779 6171
+rect 6086 6168 6092 6180
+rect 5767 6140 6092 6168
+rect 5767 6137 5779 6140
+rect 5721 6131 5779 6137
+rect 6086 6128 6092 6140
+rect 6144 6128 6150 6180
+rect 7466 6128 7472 6180
+rect 7524 6168 7530 6180
+rect 10870 6168 10876 6180
+rect 7524 6140 10876 6168
+rect 7524 6128 7530 6140
+rect 10870 6128 10876 6140
+rect 10928 6128 10934 6180
+rect 7374 6100 7380 6112
+rect 4632 6072 7380 6100
+rect 7374 6060 7380 6072
+rect 7432 6060 7438 6112
+rect 1104 6010 12328 6032
+rect 1104 5958 4723 6010
+rect 4775 5958 4787 6010
+rect 4839 5958 4851 6010
+rect 4903 5958 4915 6010
+rect 4967 5958 8464 6010
+rect 8516 5958 8528 6010
+rect 8580 5958 8592 6010
+rect 8644 5958 8656 6010
+rect 8708 5958 12328 6010
+rect 1104 5936 12328 5958
+rect 5718 5856 5724 5908
+rect 5776 5896 5782 5908
+rect 9769 5899 9827 5905
+rect 9769 5896 9781 5899
+rect 5776 5868 5948 5896
+rect 5776 5856 5782 5868
+rect 3326 5828 3332 5840
+rect 2884 5800 3332 5828
+rect 2884 5769 2912 5800
+rect 3326 5788 3332 5800
+rect 3384 5788 3390 5840
+rect 5534 5828 5540 5840
+rect 4540 5800 5540 5828
+rect 2869 5763 2927 5769
+rect 2869 5729 2881 5763
+rect 2915 5729 2927 5763
+rect 2869 5723 2927 5729
+rect 2961 5763 3019 5769
+rect 2961 5729 2973 5763
+rect 3007 5729 3019 5763
+rect 4338 5760 4344 5772
+rect 4299 5732 4344 5760
+rect 2961 5723 3019 5729
+rect 2590 5652 2596 5704
+rect 2648 5692 2654 5704
+rect 2976 5692 3004 5723
+rect 4338 5720 4344 5732
+rect 4396 5720 4402 5772
+rect 4540 5769 4568 5800
+rect 5534 5788 5540 5800
+rect 5592 5788 5598 5840
+rect 5810 5828 5816 5840
+rect 5771 5800 5816 5828
+rect 5810 5788 5816 5800
+rect 5868 5788 5874 5840
+rect 4525 5763 4583 5769
+rect 4525 5729 4537 5763
+rect 4571 5729 4583 5763
+rect 4525 5723 4583 5729
+rect 5074 5720 5080 5772
+rect 5132 5760 5138 5772
+rect 5442 5760 5448 5772
+rect 5132 5732 5448 5760
+rect 5132 5720 5138 5732
+rect 5442 5720 5448 5732
+rect 5500 5720 5506 5772
+rect 5629 5763 5687 5769
+rect 5629 5729 5641 5763
+rect 5675 5760 5687 5763
+rect 5920 5760 5948 5868
+rect 7116 5868 9781 5896
+rect 5994 5788 6000 5840
+rect 6052 5828 6058 5840
+rect 7009 5831 7067 5837
+rect 7009 5828 7021 5831
+rect 6052 5800 7021 5828
+rect 6052 5788 6058 5800
+rect 7009 5797 7021 5800
+rect 7055 5797 7067 5831
+rect 7009 5791 7067 5797
+rect 6641 5763 6699 5769
+rect 6641 5760 6653 5763
+rect 5675 5732 5856 5760
+rect 5920 5732 6653 5760
+rect 5675 5729 5687 5732
+rect 5629 5723 5687 5729
+rect 2648 5664 3004 5692
+rect 3145 5695 3203 5701
+rect 2648 5652 2654 5664
+rect 3145 5661 3157 5695
+rect 3191 5692 3203 5695
+rect 5718 5692 5724 5704
+rect 3191 5664 5724 5692
+rect 3191 5661 3203 5664
+rect 3145 5655 3203 5661
+rect 5718 5652 5724 5664
+rect 5776 5652 5782 5704
+rect 5828 5692 5856 5732
+rect 6641 5729 6653 5732
+rect 6687 5729 6699 5763
+rect 6822 5760 6828 5772
+rect 6783 5732 6828 5760
+rect 6641 5723 6699 5729
+rect 6822 5720 6828 5732
+rect 6880 5720 6886 5772
+rect 6730 5692 6736 5704
+rect 5828 5664 6736 5692
+rect 6730 5652 6736 5664
+rect 6788 5652 6794 5704
+rect 4154 5584 4160 5636
+rect 4212 5624 4218 5636
+rect 4525 5627 4583 5633
+rect 4525 5624 4537 5627
+rect 4212 5596 4537 5624
+rect 4212 5584 4218 5596
+rect 4525 5593 4537 5596
+rect 4571 5624 4583 5627
+rect 5810 5624 5816 5636
+rect 4571 5596 5816 5624
+rect 4571 5593 4583 5596
+rect 4525 5587 4583 5593
+rect 5810 5584 5816 5596
+rect 5868 5584 5874 5636
+rect 5902 5584 5908 5636
+rect 5960 5624 5966 5636
+rect 7116 5624 7144 5868
+rect 9769 5865 9781 5868
+rect 9815 5865 9827 5899
+rect 9769 5859 9827 5865
+rect 8481 5831 8539 5837
+rect 8481 5797 8493 5831
+rect 8527 5828 8539 5831
+rect 9582 5828 9588 5840
+rect 8527 5800 9588 5828
+rect 8527 5797 8539 5800
+rect 8481 5791 8539 5797
+rect 9582 5788 9588 5800
+rect 9640 5788 9646 5840
+rect 8110 5760 8116 5772
+rect 8071 5732 8116 5760
+rect 8110 5720 8116 5732
+rect 8168 5720 8174 5772
+rect 8389 5763 8447 5769
+rect 8389 5729 8401 5763
+rect 8435 5760 8447 5763
+rect 9122 5760 9128 5772
+rect 8435 5732 9128 5760
+rect 8435 5729 8447 5732
+rect 8389 5723 8447 5729
+rect 9122 5720 9128 5732
+rect 9180 5720 9186 5772
+rect 9766 5760 9772 5772
+rect 9727 5732 9772 5760
+rect 9766 5720 9772 5732
+rect 9824 5720 9830 5772
+rect 9861 5763 9919 5769
+rect 9861 5729 9873 5763
+rect 9907 5729 9919 5763
+rect 9861 5723 9919 5729
+rect 7834 5652 7840 5704
+rect 7892 5692 7898 5704
+rect 9876 5692 9904 5723
+rect 10686 5720 10692 5772
+rect 10744 5760 10750 5772
+rect 10873 5763 10931 5769
+rect 10873 5760 10885 5763
+rect 10744 5732 10885 5760
+rect 10744 5720 10750 5732
+rect 10873 5729 10885 5732
+rect 10919 5729 10931 5763
+rect 10873 5723 10931 5729
+rect 10962 5720 10968 5772
+rect 11020 5760 11026 5772
+rect 11057 5763 11115 5769
+rect 11057 5760 11069 5763
+rect 11020 5732 11069 5760
+rect 11020 5720 11026 5732
+rect 11057 5729 11069 5732
+rect 11103 5729 11115 5763
+rect 11057 5723 11115 5729
+rect 7892 5664 9904 5692
+rect 7892 5652 7898 5664
+rect 8128 5636 8156 5664
+rect 5960 5596 7144 5624
+rect 5960 5584 5966 5596
+rect 8110 5584 8116 5636
+rect 8168 5584 8174 5636
+rect 9306 5584 9312 5636
+rect 9364 5624 9370 5636
+rect 10965 5627 11023 5633
+rect 10965 5624 10977 5627
+rect 9364 5596 10977 5624
+rect 9364 5584 9370 5596
+rect 10965 5593 10977 5596
+rect 11011 5593 11023 5627
+rect 10965 5587 11023 5593
+rect 1104 5466 12328 5488
+rect 1104 5414 2852 5466
+rect 2904 5414 2916 5466
+rect 2968 5414 2980 5466
+rect 3032 5414 3044 5466
+rect 3096 5414 6594 5466
+rect 6646 5414 6658 5466
+rect 6710 5414 6722 5466
+rect 6774 5414 6786 5466
+rect 6838 5414 10335 5466
+rect 10387 5414 10399 5466
+rect 10451 5414 10463 5466
+rect 10515 5414 10527 5466
+rect 10579 5414 12328 5466
+rect 1104 5392 12328 5414
+rect 7098 5312 7104 5364
+rect 7156 5352 7162 5364
+rect 7653 5355 7711 5361
+rect 7653 5352 7665 5355
+rect 7156 5324 7665 5352
+rect 7156 5312 7162 5324
+rect 7653 5321 7665 5324
+rect 7699 5321 7711 5355
+rect 7653 5315 7711 5321
+rect 9766 5312 9772 5364
+rect 9824 5352 9830 5364
+rect 10229 5355 10287 5361
+rect 10229 5352 10241 5355
+rect 9824 5324 10241 5352
+rect 9824 5312 9830 5324
+rect 10229 5321 10241 5324
+rect 10275 5321 10287 5355
+rect 10229 5315 10287 5321
+rect 4614 5284 4620 5296
+rect 4575 5256 4620 5284
+rect 4614 5244 4620 5256
+rect 4672 5244 4678 5296
+rect 6086 5284 6092 5296
+rect 5644 5256 6092 5284
+rect 1946 5176 1952 5228
+rect 2004 5216 2010 5228
+rect 2004 5188 4660 5216
+rect 2004 5176 2010 5188
+rect 3252 5157 3280 5188
+rect 3237 5151 3295 5157
+rect 3237 5117 3249 5151
+rect 3283 5117 3295 5151
+rect 3237 5111 3295 5117
+rect 3421 5151 3479 5157
+rect 3421 5117 3433 5151
+rect 3467 5148 3479 5151
+rect 4246 5148 4252 5160
+rect 3467 5120 4252 5148
+rect 3467 5117 3479 5120
+rect 3421 5111 3479 5117
+rect 4246 5108 4252 5120
+rect 4304 5108 4310 5160
+rect 4430 5148 4436 5160
+rect 4391 5120 4436 5148
+rect 4430 5108 4436 5120
+rect 4488 5108 4494 5160
+rect 4632 5157 4660 5188
+rect 4617 5151 4675 5157
+rect 4617 5117 4629 5151
+rect 4663 5148 4675 5151
+rect 4706 5148 4712 5160
+rect 4663 5120 4712 5148
+rect 4663 5117 4675 5120
+rect 4617 5111 4675 5117
+rect 4706 5108 4712 5120
+rect 4764 5108 4770 5160
+rect 5644 5157 5672 5256
+rect 6086 5244 6092 5256
+rect 6144 5244 6150 5296
+rect 8846 5244 8852 5296
+rect 8904 5284 8910 5296
+rect 9033 5287 9091 5293
+rect 9033 5284 9045 5287
+rect 8904 5256 9045 5284
+rect 8904 5244 8910 5256
+rect 9033 5253 9045 5256
+rect 9079 5253 9091 5287
+rect 9033 5247 9091 5253
+rect 5905 5219 5963 5225
+rect 5905 5185 5917 5219
+rect 5951 5216 5963 5219
+rect 6914 5216 6920 5228
+rect 5951 5188 6920 5216
+rect 5951 5185 5963 5188
+rect 5905 5179 5963 5185
+rect 6914 5176 6920 5188
+rect 6972 5176 6978 5228
+rect 9674 5216 9680 5228
+rect 7852 5188 9680 5216
+rect 5629 5151 5687 5157
+rect 5629 5117 5641 5151
+rect 5675 5117 5687 5151
+rect 5629 5111 5687 5117
+rect 5813 5151 5871 5157
+rect 5813 5117 5825 5151
+rect 5859 5148 5871 5151
+rect 6454 5148 6460 5160
+rect 5859 5120 6460 5148
+rect 5859 5117 5871 5120
+rect 5813 5111 5871 5117
+rect 6454 5108 6460 5120
+rect 6512 5108 6518 5160
+rect 7190 5108 7196 5160
+rect 7248 5148 7254 5160
+rect 7852 5157 7880 5188
+rect 9674 5176 9680 5188
+rect 9732 5176 9738 5228
+rect 7561 5151 7619 5157
+rect 7561 5148 7573 5151
+rect 7248 5120 7573 5148
+rect 7248 5108 7254 5120
+rect 7561 5117 7573 5120
+rect 7607 5117 7619 5151
+rect 7561 5111 7619 5117
+rect 7837 5151 7895 5157
+rect 7837 5117 7849 5151
+rect 7883 5117 7895 5151
+rect 7837 5111 7895 5117
+rect 8662 5108 8668 5160
+rect 8720 5148 8726 5160
+rect 8757 5151 8815 5157
+rect 8757 5148 8769 5151
+rect 8720 5120 8769 5148
+rect 8720 5108 8726 5120
+rect 8757 5117 8769 5120
+rect 8803 5117 8815 5151
+rect 8938 5148 8944 5160
+rect 8899 5120 8944 5148
+rect 8757 5111 8815 5117
+rect 3513 5083 3571 5089
+rect 3513 5049 3525 5083
+rect 3559 5080 3571 5083
+rect 6178 5080 6184 5092
+rect 3559 5052 6184 5080
+rect 3559 5049 3571 5052
+rect 3513 5043 3571 5049
+rect 6178 5040 6184 5052
+rect 6236 5040 6242 5092
+rect 8772 5080 8800 5111
+rect 8938 5108 8944 5120
+rect 8996 5108 9002 5160
+rect 10134 5148 10140 5160
+rect 10095 5120 10140 5148
+rect 10134 5108 10140 5120
+rect 10192 5108 10198 5160
+rect 9398 5080 9404 5092
+rect 8772 5052 9404 5080
+rect 9398 5040 9404 5052
+rect 9456 5040 9462 5092
+rect 9953 5083 10011 5089
+rect 9953 5049 9965 5083
+rect 9999 5080 10011 5083
+rect 10042 5080 10048 5092
+rect 9999 5052 10048 5080
+rect 9999 5049 10011 5052
+rect 9953 5043 10011 5049
+rect 10042 5040 10048 5052
+rect 10100 5040 10106 5092
+rect 1104 4922 12328 4944
+rect 1104 4870 4723 4922
+rect 4775 4870 4787 4922
+rect 4839 4870 4851 4922
+rect 4903 4870 4915 4922
+rect 4967 4870 8464 4922
+rect 8516 4870 8528 4922
+rect 8580 4870 8592 4922
+rect 8644 4870 8656 4922
+rect 8708 4870 12328 4922
+rect 1104 4848 12328 4870
+rect 5534 4768 5540 4820
+rect 5592 4808 5598 4820
+rect 6273 4811 6331 4817
+rect 6273 4808 6285 4811
+rect 5592 4780 6285 4808
+rect 5592 4768 5598 4780
+rect 6273 4777 6285 4780
+rect 6319 4777 6331 4811
+rect 6273 4771 6331 4777
+rect 7653 4811 7711 4817
+rect 7653 4777 7665 4811
+rect 7699 4808 7711 4811
+rect 8938 4808 8944 4820
+rect 7699 4780 8944 4808
+rect 7699 4777 7711 4780
+rect 7653 4771 7711 4777
+rect 8938 4768 8944 4780
+rect 8996 4768 9002 4820
+rect 4522 4700 4528 4752
+rect 4580 4740 4586 4752
+rect 9214 4740 9220 4752
+rect 4580 4712 6408 4740
+rect 4580 4700 4586 4712
+rect 4338 4632 4344 4684
+rect 4396 4672 4402 4684
+rect 5074 4672 5080 4684
+rect 4396 4644 5080 4672
+rect 4396 4632 4402 4644
+rect 5074 4632 5080 4644
+rect 5132 4632 5138 4684
+rect 5261 4675 5319 4681
+rect 5261 4641 5273 4675
+rect 5307 4672 5319 4675
+rect 5534 4672 5540 4684
+rect 5307 4644 5540 4672
+rect 5307 4641 5319 4644
+rect 5261 4635 5319 4641
+rect 5534 4632 5540 4644
+rect 5592 4632 5598 4684
+rect 5810 4632 5816 4684
+rect 5868 4672 5874 4684
+rect 6380 4681 6408 4712
+rect 7392 4712 9220 4740
+rect 6181 4675 6239 4681
+rect 6181 4672 6193 4675
+rect 5868 4644 6193 4672
+rect 5868 4632 5874 4644
+rect 6181 4641 6193 4644
+rect 6227 4641 6239 4675
+rect 6181 4635 6239 4641
+rect 6365 4675 6423 4681
+rect 6365 4641 6377 4675
+rect 6411 4641 6423 4675
+rect 6365 4635 6423 4641
+rect 7282 4632 7288 4684
+rect 7340 4672 7346 4684
+rect 7392 4681 7420 4712
+rect 9214 4700 9220 4712
+rect 9272 4700 9278 4752
+rect 9398 4700 9404 4752
+rect 9456 4740 9462 4752
+rect 9861 4743 9919 4749
+rect 9861 4740 9873 4743
+rect 9456 4712 9873 4740
+rect 9456 4700 9462 4712
+rect 9861 4709 9873 4712
+rect 9907 4709 9919 4743
+rect 9861 4703 9919 4709
+rect 9950 4700 9956 4752
+rect 10008 4740 10014 4752
+rect 10045 4743 10103 4749
+rect 10045 4740 10057 4743
+rect 10008 4712 10057 4740
+rect 10008 4700 10014 4712
+rect 10045 4709 10057 4712
+rect 10091 4709 10103 4743
+rect 10045 4703 10103 4709
+rect 7377 4675 7435 4681
+rect 7377 4672 7389 4675
+rect 7340 4644 7389 4672
+rect 7340 4632 7346 4644
+rect 7377 4641 7389 4644
+rect 7423 4641 7435 4675
+rect 7558 4672 7564 4684
+rect 7519 4644 7564 4672
+rect 7377 4635 7435 4641
+rect 7558 4632 7564 4644
+rect 7616 4632 7622 4684
+rect 7742 4632 7748 4684
+rect 7800 4672 7806 4684
+rect 9677 4675 9735 4681
+rect 9677 4672 9689 4675
+rect 7800 4644 9689 4672
+rect 7800 4632 7806 4644
+rect 9677 4641 9689 4644
+rect 9723 4641 9735 4675
+rect 9677 4635 9735 4641
+rect 5261 4539 5319 4545
+rect 5261 4505 5273 4539
+rect 5307 4536 5319 4539
+rect 5350 4536 5356 4548
+rect 5307 4508 5356 4536
+rect 5307 4505 5319 4508
+rect 5261 4499 5319 4505
+rect 5350 4496 5356 4508
+rect 5408 4536 5414 4548
+rect 5902 4536 5908 4548
+rect 5408 4508 5908 4536
+rect 5408 4496 5414 4508
+rect 5902 4496 5908 4508
+rect 5960 4496 5966 4548
+rect 1104 4378 12328 4400
+rect 1104 4326 2852 4378
+rect 2904 4326 2916 4378
+rect 2968 4326 2980 4378
+rect 3032 4326 3044 4378
+rect 3096 4326 6594 4378
+rect 6646 4326 6658 4378
+rect 6710 4326 6722 4378
+rect 6774 4326 6786 4378
+rect 6838 4326 10335 4378
+rect 10387 4326 10399 4378
+rect 10451 4326 10463 4378
+rect 10515 4326 10527 4378
+rect 10579 4326 12328 4378
+rect 1104 4304 12328 4326
+rect 4430 4224 4436 4276
+rect 4488 4264 4494 4276
+rect 5537 4267 5595 4273
+rect 5537 4264 5549 4267
+rect 4488 4236 5549 4264
+rect 4488 4224 4494 4236
+rect 5537 4233 5549 4236
+rect 5583 4233 5595 4267
+rect 5537 4227 5595 4233
+rect 3970 4088 3976 4140
+rect 4028 4128 4034 4140
+rect 5626 4128 5632 4140
+rect 4028 4100 5632 4128
+rect 4028 4088 4034 4100
+rect 5626 4088 5632 4100
+rect 5684 4088 5690 4140
+rect 9306 4128 9312 4140
+rect 8588 4100 9312 4128
+rect 5537 4063 5595 4069
+rect 5537 4029 5549 4063
+rect 5583 4029 5595 4063
+rect 5718 4060 5724 4072
+rect 5679 4032 5724 4060
+rect 5537 4023 5595 4029
+rect 5552 3992 5580 4023
+rect 5718 4020 5724 4032
+rect 5776 4020 5782 4072
+rect 7282 4060 7288 4072
+rect 7243 4032 7288 4060
+rect 7282 4020 7288 4032
+rect 7340 4020 7346 4072
+rect 7561 4063 7619 4069
+rect 7561 4029 7573 4063
+rect 7607 4060 7619 4063
+rect 7650 4060 7656 4072
+rect 7607 4032 7656 4060
+rect 7607 4029 7619 4032
+rect 7561 4023 7619 4029
+rect 7650 4020 7656 4032
+rect 7708 4020 7714 4072
+rect 8588 4069 8616 4100
+rect 9306 4088 9312 4100
+rect 9364 4088 9370 4140
+rect 9398 4088 9404 4140
+rect 9456 4128 9462 4140
+rect 9674 4128 9680 4140
+rect 9456 4100 9680 4128
+rect 9456 4088 9462 4100
+rect 9674 4088 9680 4100
+rect 9732 4088 9738 4140
+rect 8573 4063 8631 4069
+rect 8573 4029 8585 4063
+rect 8619 4029 8631 4063
+rect 8573 4023 8631 4029
+rect 8757 4063 8815 4069
+rect 8757 4029 8769 4063
+rect 8803 4060 8815 4063
+rect 9030 4060 9036 4072
+rect 8803 4032 9036 4060
+rect 8803 4029 8815 4032
+rect 8757 4023 8815 4029
+rect 9030 4020 9036 4032
+rect 9088 4020 9094 4072
+rect 8846 3992 8852 4004
+rect 5552 3964 5672 3992
+rect 8807 3964 8852 3992
+rect 5644 3924 5672 3964
+rect 8846 3952 8852 3964
+rect 8904 3952 8910 4004
+rect 6270 3924 6276 3936
+rect 5644 3896 6276 3924
+rect 6270 3884 6276 3896
+rect 6328 3884 6334 3936
+rect 7374 3924 7380 3936
+rect 7335 3896 7380 3924
+rect 7374 3884 7380 3896
+rect 7432 3884 7438 3936
+rect 1104 3834 12328 3856
+rect 1104 3782 4723 3834
+rect 4775 3782 4787 3834
+rect 4839 3782 4851 3834
+rect 4903 3782 4915 3834
+rect 4967 3782 8464 3834
+rect 8516 3782 8528 3834
+rect 8580 3782 8592 3834
+rect 8644 3782 8656 3834
+rect 8708 3782 12328 3834
+rect 1104 3760 12328 3782
+rect 5534 3680 5540 3732
+rect 5592 3720 5598 3732
+rect 6917 3723 6975 3729
+rect 6917 3720 6929 3723
+rect 5592 3692 6929 3720
+rect 5592 3680 5598 3692
+rect 6917 3689 6929 3692
+rect 6963 3689 6975 3723
+rect 6917 3683 6975 3689
+rect 5442 3612 5448 3664
+rect 5500 3652 5506 3664
+rect 8389 3655 8447 3661
+rect 5500 3624 5856 3652
+rect 5500 3612 5506 3624
+rect 4246 3544 4252 3596
+rect 4304 3584 4310 3596
+rect 5258 3584 5264 3596
+rect 4304 3556 5264 3584
+rect 4304 3544 4310 3556
+rect 5258 3544 5264 3556
+rect 5316 3584 5322 3596
+rect 5828 3593 5856 3624
+rect 8389 3621 8401 3655
+rect 8435 3652 8447 3655
+rect 11238 3652 11244 3664
+rect 8435 3624 11244 3652
+rect 8435 3621 8447 3624
+rect 8389 3615 8447 3621
+rect 11238 3612 11244 3624
+rect 11296 3612 11302 3664
+rect 5629 3587 5687 3593
+rect 5629 3584 5641 3587
+rect 5316 3556 5641 3584
+rect 5316 3544 5322 3556
+rect 5629 3553 5641 3556
+rect 5675 3553 5687 3587
+rect 5629 3547 5687 3553
+rect 5813 3587 5871 3593
+rect 5813 3553 5825 3587
+rect 5859 3553 5871 3587
+rect 5813 3547 5871 3553
+rect 5902 3544 5908 3596
+rect 5960 3584 5966 3596
+rect 6825 3587 6883 3593
+rect 6825 3584 6837 3587
+rect 5960 3556 6837 3584
+rect 5960 3544 5966 3556
+rect 6825 3553 6837 3556
+rect 6871 3553 6883 3587
+rect 7006 3584 7012 3596
+rect 6967 3556 7012 3584
+rect 6825 3547 6883 3553
+rect 7006 3544 7012 3556
+rect 7064 3544 7070 3596
+rect 7466 3544 7472 3596
+rect 7524 3584 7530 3596
+rect 8021 3587 8079 3593
+rect 8021 3584 8033 3587
+rect 7524 3556 8033 3584
+rect 7524 3544 7530 3556
+rect 8021 3553 8033 3556
+rect 8067 3553 8079 3587
+rect 8021 3547 8079 3553
+rect 8110 3544 8116 3596
+rect 8168 3584 8174 3596
+rect 8205 3587 8263 3593
+rect 8205 3584 8217 3587
+rect 8168 3556 8217 3584
+rect 8168 3544 8174 3556
+rect 8205 3553 8217 3556
+rect 8251 3553 8263 3587
+rect 8205 3547 8263 3553
+rect 5905 3451 5963 3457
+rect 5905 3417 5917 3451
+rect 5951 3448 5963 3451
+rect 7006 3448 7012 3460
+rect 5951 3420 7012 3448
+rect 5951 3417 5963 3420
+rect 5905 3411 5963 3417
+rect 7006 3408 7012 3420
+rect 7064 3408 7070 3460
+rect 1104 3290 12328 3312
+rect 1104 3238 2852 3290
+rect 2904 3238 2916 3290
+rect 2968 3238 2980 3290
+rect 3032 3238 3044 3290
+rect 3096 3238 6594 3290
+rect 6646 3238 6658 3290
+rect 6710 3238 6722 3290
+rect 6774 3238 6786 3290
+rect 6838 3238 10335 3290
+rect 10387 3238 10399 3290
+rect 10451 3238 10463 3290
+rect 10515 3238 10527 3290
+rect 10579 3238 12328 3290
+rect 1104 3216 12328 3238
+rect 5258 3068 5264 3120
+rect 5316 3108 5322 3120
+rect 7101 3111 7159 3117
+rect 7101 3108 7113 3111
+rect 5316 3080 7113 3108
+rect 5316 3068 5322 3080
+rect 7101 3077 7113 3080
+rect 7147 3077 7159 3111
+rect 8294 3108 8300 3120
+rect 8255 3080 8300 3108
+rect 7101 3071 7159 3077
+rect 8294 3068 8300 3080
+rect 8352 3068 8358 3120
+rect 5074 2932 5080 2984
+rect 5132 2972 5138 2984
+rect 6825 2975 6883 2981
+rect 6825 2972 6837 2975
+rect 5132 2944 6837 2972
+rect 5132 2932 5138 2944
+rect 6825 2941 6837 2944
+rect 6871 2941 6883 2975
+rect 7006 2972 7012 2984
+rect 6967 2944 7012 2972
+rect 6825 2935 6883 2941
+rect 7006 2932 7012 2944
+rect 7064 2932 7070 2984
+rect 8018 2972 8024 2984
+rect 7979 2944 8024 2972
+rect 8018 2932 8024 2944
+rect 8076 2932 8082 2984
+rect 8202 2972 8208 2984
+rect 8163 2944 8208 2972
+rect 8202 2932 8208 2944
+rect 8260 2932 8266 2984
+rect 9490 2796 9496 2848
+rect 9548 2836 9554 2848
+rect 11146 2836 11152 2848
+rect 9548 2808 11152 2836
+rect 9548 2796 9554 2808
+rect 11146 2796 11152 2808
+rect 11204 2796 11210 2848
+rect 1104 2746 12328 2768
+rect 1104 2694 4723 2746
+rect 4775 2694 4787 2746
+rect 4839 2694 4851 2746
+rect 4903 2694 4915 2746
+rect 4967 2694 8464 2746
+rect 8516 2694 8528 2746
+rect 8580 2694 8592 2746
+rect 8644 2694 8656 2746
+rect 8708 2694 12328 2746
+rect 1104 2672 12328 2694
+rect 1104 2202 12328 2224
+rect 1104 2150 2852 2202
+rect 2904 2150 2916 2202
+rect 2968 2150 2980 2202
+rect 3032 2150 3044 2202
+rect 3096 2150 6594 2202
+rect 6646 2150 6658 2202
+rect 6710 2150 6722 2202
+rect 6774 2150 6786 2202
+rect 6838 2150 10335 2202
+rect 10387 2150 10399 2202
+rect 10451 2150 10463 2202
+rect 10515 2150 10527 2202
+rect 10579 2150 12328 2202
+rect 1104 2128 12328 2150
+<< via1 >>
+rect 4528 14696 4580 14748
+rect 4620 14696 4672 14748
+rect 1584 13132 1636 13184
+rect 7472 13132 7524 13184
+rect 2852 13030 2904 13082
+rect 2916 13030 2968 13082
+rect 2980 13030 3032 13082
+rect 3044 13030 3096 13082
+rect 6594 13030 6646 13082
+rect 6658 13030 6710 13082
+rect 6722 13030 6774 13082
+rect 6786 13030 6838 13082
+rect 10335 13030 10387 13082
+rect 10399 13030 10451 13082
+rect 10463 13030 10515 13082
+rect 10527 13030 10579 13082
+rect 8760 12928 8812 12980
+rect 9496 12928 9548 12980
+rect 10692 12928 10744 12980
+rect 11888 12928 11940 12980
+rect 5080 12860 5132 12912
+rect 7472 12835 7524 12844
+rect 7472 12801 7481 12835
+rect 7481 12801 7515 12835
+rect 7515 12801 7524 12835
+rect 7472 12792 7524 12801
+rect 9864 12792 9916 12844
+rect 10876 12860 10928 12912
+rect 1400 12767 1452 12776
+rect 1400 12733 1409 12767
+rect 1409 12733 1443 12767
+rect 1443 12733 1452 12767
+rect 1400 12724 1452 12733
+rect 3516 12724 3568 12776
+rect 4068 12767 4120 12776
+rect 4068 12733 4077 12767
+rect 4077 12733 4111 12767
+rect 4111 12733 4120 12767
+rect 4068 12724 4120 12733
+rect 4160 12724 4212 12776
+rect 3792 12656 3844 12708
+rect 3700 12588 3752 12640
+rect 7932 12767 7984 12776
+rect 7932 12733 7941 12767
+rect 7941 12733 7975 12767
+rect 7975 12733 7984 12767
+rect 7932 12724 7984 12733
+rect 9588 12724 9640 12776
+rect 10692 12724 10744 12776
+rect 10876 12767 10928 12776
+rect 10876 12733 10885 12767
+rect 10885 12733 10919 12767
+rect 10919 12733 10928 12767
+rect 10876 12724 10928 12733
+rect 9680 12656 9732 12708
+rect 5448 12631 5500 12640
+rect 5448 12597 5457 12631
+rect 5457 12597 5491 12631
+rect 5491 12597 5500 12631
+rect 5448 12588 5500 12597
+rect 4723 12486 4775 12538
+rect 4787 12486 4839 12538
+rect 4851 12486 4903 12538
+rect 4915 12486 4967 12538
+rect 8464 12486 8516 12538
+rect 8528 12486 8580 12538
+rect 8592 12486 8644 12538
+rect 8656 12486 8708 12538
+rect 480 12384 532 12436
+rect 1584 12384 1636 12436
+rect 2504 12384 2556 12436
+rect 4160 12384 4212 12436
+rect 9588 12384 9640 12436
+rect 6276 12316 6328 12368
+rect 10692 12316 10744 12368
+rect 3148 12248 3200 12300
+rect 4068 12248 4120 12300
+rect 5264 12248 5316 12300
+rect 7104 12291 7156 12300
+rect 1492 12180 1544 12232
+rect 3516 12112 3568 12164
+rect 5448 12180 5500 12232
+rect 7104 12257 7113 12291
+rect 7113 12257 7147 12291
+rect 7147 12257 7156 12291
+rect 7104 12248 7156 12257
+rect 7288 12291 7340 12300
+rect 7288 12257 7297 12291
+rect 7297 12257 7331 12291
+rect 7331 12257 7340 12291
+rect 7288 12248 7340 12257
+rect 7472 12291 7524 12300
+rect 7472 12257 7481 12291
+rect 7481 12257 7515 12291
+rect 7515 12257 7524 12291
+rect 7472 12248 7524 12257
+rect 3608 12044 3660 12096
+rect 7196 12044 7248 12096
+rect 8208 12180 8260 12232
+rect 2852 11942 2904 11994
+rect 2916 11942 2968 11994
+rect 2980 11942 3032 11994
+rect 3044 11942 3096 11994
+rect 6594 11942 6646 11994
+rect 6658 11942 6710 11994
+rect 6722 11942 6774 11994
+rect 6786 11942 6838 11994
+rect 10335 11942 10387 11994
+rect 10399 11942 10451 11994
+rect 10463 11942 10515 11994
+rect 10527 11942 10579 11994
+rect 4252 11840 4304 11892
+rect 7472 11840 7524 11892
+rect 3976 11704 4028 11756
+rect 7472 11704 7524 11756
+rect 7748 11704 7800 11756
+rect 8944 11840 8996 11892
+rect 9588 11840 9640 11892
+rect 2688 11636 2740 11688
+rect 3516 11636 3568 11688
+rect 3884 11636 3936 11688
+rect 6276 11636 6328 11688
+rect 8024 11679 8076 11688
+rect 8024 11645 8033 11679
+rect 8033 11645 8067 11679
+rect 8067 11645 8076 11679
+rect 8024 11636 8076 11645
+rect 8300 11636 8352 11688
+rect 8852 11636 8904 11688
+rect 10048 11772 10100 11824
+rect 9956 11636 10008 11688
+rect 10784 11636 10836 11688
+rect 3240 11568 3292 11620
+rect 2504 11500 2556 11552
+rect 7104 11568 7156 11620
+rect 9772 11568 9824 11620
+rect 10692 11568 10744 11620
+rect 5172 11543 5224 11552
+rect 5172 11509 5181 11543
+rect 5181 11509 5215 11543
+rect 5215 11509 5224 11543
+rect 5172 11500 5224 11509
+rect 5724 11500 5776 11552
+rect 7932 11500 7984 11552
+rect 9680 11543 9732 11552
+rect 9680 11509 9689 11543
+rect 9689 11509 9723 11543
+rect 9723 11509 9732 11543
+rect 9680 11500 9732 11509
+rect 4723 11398 4775 11450
+rect 4787 11398 4839 11450
+rect 4851 11398 4903 11450
+rect 4915 11398 4967 11450
+rect 8464 11398 8516 11450
+rect 8528 11398 8580 11450
+rect 8592 11398 8644 11450
+rect 8656 11398 8708 11450
+rect 2412 11296 2464 11348
+rect 7472 11296 7524 11348
+rect 9772 11296 9824 11348
+rect 9956 11296 10008 11348
+rect 2412 11203 2464 11212
+rect 2412 11169 2421 11203
+rect 2421 11169 2455 11203
+rect 2455 11169 2464 11203
+rect 2412 11160 2464 11169
+rect 3148 11228 3200 11280
+rect 4068 11271 4120 11280
+rect 4068 11237 4077 11271
+rect 4077 11237 4111 11271
+rect 4111 11237 4120 11271
+rect 4068 11228 4120 11237
+rect 5724 11228 5776 11280
+rect 6460 11228 6512 11280
+rect 9128 11228 9180 11280
+rect 9404 11228 9456 11280
+rect 2596 11160 2648 11212
+rect 4528 11203 4580 11212
+rect 4528 11169 4537 11203
+rect 4537 11169 4571 11203
+rect 4571 11169 4580 11203
+rect 4528 11160 4580 11169
+rect 4620 11160 4672 11212
+rect 5264 11160 5316 11212
+rect 5540 11160 5592 11212
+rect 5908 11203 5960 11212
+rect 5908 11169 5917 11203
+rect 5917 11169 5951 11203
+rect 5951 11169 5960 11203
+rect 5908 11160 5960 11169
+rect 3516 11092 3568 11144
+rect 4436 11092 4488 11144
+rect 7380 11160 7432 11212
+rect 1400 11024 1452 11076
+rect 7012 11092 7064 11144
+rect 7656 11024 7708 11076
+rect 9312 11160 9364 11212
+rect 10692 11203 10744 11212
+rect 9496 11092 9548 11144
+rect 9680 11092 9732 11144
+rect 10692 11169 10701 11203
+rect 10701 11169 10735 11203
+rect 10735 11169 10744 11203
+rect 10692 11160 10744 11169
+rect 9956 11067 10008 11076
+rect 9956 11033 9965 11067
+rect 9965 11033 9999 11067
+rect 9999 11033 10008 11067
+rect 9956 11024 10008 11033
+rect 11152 11092 11204 11144
+rect 10692 11024 10744 11076
+rect 10968 11024 11020 11076
+rect 12900 11024 12952 11076
+rect 3240 10956 3292 11008
+rect 4344 10956 4396 11008
+rect 5080 10956 5132 11008
+rect 9496 10956 9548 11008
+rect 2852 10854 2904 10906
+rect 2916 10854 2968 10906
+rect 2980 10854 3032 10906
+rect 3044 10854 3096 10906
+rect 6594 10854 6646 10906
+rect 6658 10854 6710 10906
+rect 6722 10854 6774 10906
+rect 6786 10854 6838 10906
+rect 10335 10854 10387 10906
+rect 10399 10854 10451 10906
+rect 10463 10854 10515 10906
+rect 10527 10854 10579 10906
+rect 5724 10752 5776 10804
+rect 1492 10684 1544 10736
+rect 4712 10684 4764 10736
+rect 9036 10684 9088 10736
+rect 3976 10616 4028 10668
+rect 3700 10591 3752 10600
+rect 1308 10412 1360 10464
+rect 3700 10557 3709 10591
+rect 3709 10557 3743 10591
+rect 3743 10557 3752 10591
+rect 3700 10548 3752 10557
+rect 8760 10616 8812 10668
+rect 4712 10548 4764 10600
+rect 6276 10548 6328 10600
+rect 7840 10548 7892 10600
+rect 8024 10548 8076 10600
+rect 8116 10591 8168 10600
+rect 8116 10557 8125 10591
+rect 8125 10557 8159 10591
+rect 8159 10557 8168 10591
+rect 11152 10616 11204 10668
+rect 8116 10548 8168 10557
+rect 10232 10548 10284 10600
+rect 3148 10412 3200 10464
+rect 4068 10412 4120 10464
+rect 5448 10455 5500 10464
+rect 5448 10421 5457 10455
+rect 5457 10421 5491 10455
+rect 5491 10421 5500 10455
+rect 5448 10412 5500 10421
+rect 7748 10480 7800 10532
+rect 8208 10480 8260 10532
+rect 9404 10480 9456 10532
+rect 9864 10480 9916 10532
+rect 10140 10480 10192 10532
+rect 8300 10412 8352 10464
+rect 4723 10310 4775 10362
+rect 4787 10310 4839 10362
+rect 4851 10310 4903 10362
+rect 4915 10310 4967 10362
+rect 8464 10310 8516 10362
+rect 8528 10310 8580 10362
+rect 8592 10310 8644 10362
+rect 8656 10310 8708 10362
+rect 3240 10208 3292 10260
+rect 4068 10208 4120 10260
+rect 3424 10140 3476 10192
+rect 5264 10208 5316 10260
+rect 7564 10208 7616 10260
+rect 1400 10115 1452 10124
+rect 1400 10081 1409 10115
+rect 1409 10081 1443 10115
+rect 1443 10081 1452 10115
+rect 1400 10072 1452 10081
+rect 3148 10072 3200 10124
+rect 5080 10072 5132 10124
+rect 6000 10072 6052 10124
+rect 7104 10115 7156 10124
+rect 7104 10081 7113 10115
+rect 7113 10081 7147 10115
+rect 7147 10081 7156 10115
+rect 7104 10072 7156 10081
+rect 7288 10115 7340 10124
+rect 7288 10081 7297 10115
+rect 7297 10081 7331 10115
+rect 7331 10081 7340 10115
+rect 7288 10072 7340 10081
+rect 9864 10115 9916 10124
+rect 9864 10081 9873 10115
+rect 9873 10081 9907 10115
+rect 9907 10081 9916 10115
+rect 9864 10072 9916 10081
+rect 1584 10004 1636 10056
+rect 4160 9936 4212 9988
+rect 7840 10004 7892 10056
+rect 8024 10004 8076 10056
+rect 8576 10047 8628 10056
+rect 8576 10013 8585 10047
+rect 8585 10013 8619 10047
+rect 8619 10013 8628 10047
+rect 8576 10004 8628 10013
+rect 9220 10004 9272 10056
+rect 7196 9936 7248 9988
+rect 8116 9936 8168 9988
+rect 1492 9911 1544 9920
+rect 1492 9877 1501 9911
+rect 1501 9877 1535 9911
+rect 1535 9877 1544 9911
+rect 1492 9868 1544 9877
+rect 1768 9911 1820 9920
+rect 1768 9877 1777 9911
+rect 1777 9877 1811 9911
+rect 1811 9877 1820 9911
+rect 1768 9868 1820 9877
+rect 2688 9868 2740 9920
+rect 5080 9868 5132 9920
+rect 7472 9868 7524 9920
+rect 8576 9868 8628 9920
+rect 2852 9766 2904 9818
+rect 2916 9766 2968 9818
+rect 2980 9766 3032 9818
+rect 3044 9766 3096 9818
+rect 6594 9766 6646 9818
+rect 6658 9766 6710 9818
+rect 6722 9766 6774 9818
+rect 6786 9766 6838 9818
+rect 10335 9766 10387 9818
+rect 10399 9766 10451 9818
+rect 10463 9766 10515 9818
+rect 10527 9766 10579 9818
+rect 3608 9664 3660 9716
+rect 1492 9503 1544 9512
+rect 1492 9469 1501 9503
+rect 1501 9469 1535 9503
+rect 1535 9469 1544 9503
+rect 1492 9460 1544 9469
+rect 1952 9596 2004 9648
+rect 4344 9596 4396 9648
+rect 6920 9664 6972 9716
+rect 7380 9664 7432 9716
+rect 2504 9528 2556 9580
+rect 3148 9528 3200 9580
+rect 2596 9392 2648 9444
+rect 2872 9460 2924 9512
+rect 6092 9528 6144 9580
+rect 6184 9528 6236 9580
+rect 6368 9528 6420 9580
+rect 7564 9528 7616 9580
+rect 10784 9528 10836 9580
+rect 11244 9528 11296 9580
+rect 3792 9460 3844 9512
+rect 4068 9503 4120 9512
+rect 4068 9469 4077 9503
+rect 4077 9469 4111 9503
+rect 4111 9469 4120 9503
+rect 4068 9460 4120 9469
+rect 5632 9503 5684 9512
+rect 5632 9469 5641 9503
+rect 5641 9469 5675 9503
+rect 5675 9469 5684 9503
+rect 5632 9460 5684 9469
+rect 6828 9460 6880 9512
+rect 8944 9503 8996 9512
+rect 8944 9469 8953 9503
+rect 8953 9469 8987 9503
+rect 8987 9469 8996 9503
+rect 8944 9460 8996 9469
+rect 10140 9503 10192 9512
+rect 10140 9469 10149 9503
+rect 10149 9469 10183 9503
+rect 10183 9469 10192 9503
+rect 10140 9460 10192 9469
+rect 7472 9392 7524 9444
+rect 10692 9435 10744 9444
+rect 10692 9401 10701 9435
+rect 10701 9401 10735 9435
+rect 10735 9401 10744 9435
+rect 10692 9392 10744 9401
+rect 4436 9324 4488 9376
+rect 5080 9324 5132 9376
+rect 5632 9367 5684 9376
+rect 5632 9333 5641 9367
+rect 5641 9333 5675 9367
+rect 5675 9333 5684 9367
+rect 5632 9324 5684 9333
+rect 5816 9324 5868 9376
+rect 7196 9324 7248 9376
+rect 8852 9367 8904 9376
+rect 8852 9333 8861 9367
+rect 8861 9333 8895 9367
+rect 8895 9333 8904 9367
+rect 8852 9324 8904 9333
+rect 4723 9222 4775 9274
+rect 4787 9222 4839 9274
+rect 4851 9222 4903 9274
+rect 4915 9222 4967 9274
+rect 8464 9222 8516 9274
+rect 8528 9222 8580 9274
+rect 8592 9222 8644 9274
+rect 8656 9222 8708 9274
+rect 2872 9120 2924 9172
+rect 3056 9163 3108 9172
+rect 3056 9129 3065 9163
+rect 3065 9129 3099 9163
+rect 3099 9129 3108 9163
+rect 3056 9120 3108 9129
+rect 7012 9120 7064 9172
+rect 2044 9052 2096 9104
+rect 1860 9027 1912 9036
+rect 1860 8993 1869 9027
+rect 1869 8993 1903 9027
+rect 1903 8993 1912 9027
+rect 1860 8984 1912 8993
+rect 3240 9052 3292 9104
+rect 4160 9052 4212 9104
+rect 4252 9095 4304 9104
+rect 4252 9061 4261 9095
+rect 4261 9061 4295 9095
+rect 4295 9061 4304 9095
+rect 4252 9052 4304 9061
+rect 5540 9052 5592 9104
+rect 5816 9095 5868 9104
+rect 5816 9061 5825 9095
+rect 5825 9061 5859 9095
+rect 5859 9061 5868 9095
+rect 5816 9052 5868 9061
+rect 7196 9052 7248 9104
+rect 8944 9120 8996 9172
+rect 8852 9052 8904 9104
+rect 3424 8984 3476 9036
+rect 1492 8848 1544 8900
+rect 3056 8848 3108 8900
+rect 2044 8780 2096 8832
+rect 3884 8848 3936 8900
+rect 4344 8984 4396 9036
+rect 5448 9027 5500 9036
+rect 5448 8993 5457 9027
+rect 5457 8993 5491 9027
+rect 5491 8993 5500 9027
+rect 5448 8984 5500 8993
+rect 5724 8984 5776 9036
+rect 4436 8916 4488 8968
+rect 4620 8916 4672 8968
+rect 4712 8916 4764 8968
+rect 6000 8916 6052 8968
+rect 5264 8848 5316 8900
+rect 6828 8848 6880 8900
+rect 3240 8780 3292 8832
+rect 4528 8780 4580 8832
+rect 9036 8984 9088 9036
+rect 9404 8984 9456 9036
+rect 7380 8916 7432 8968
+rect 9956 8984 10008 9036
+rect 10784 8984 10836 9036
+rect 7196 8780 7248 8832
+rect 9404 8780 9456 8832
+rect 9772 8823 9824 8832
+rect 9772 8789 9781 8823
+rect 9781 8789 9815 8823
+rect 9815 8789 9824 8823
+rect 9772 8780 9824 8789
+rect 2852 8678 2904 8730
+rect 2916 8678 2968 8730
+rect 2980 8678 3032 8730
+rect 3044 8678 3096 8730
+rect 6594 8678 6646 8730
+rect 6658 8678 6710 8730
+rect 6722 8678 6774 8730
+rect 6786 8678 6838 8730
+rect 10335 8678 10387 8730
+rect 10399 8678 10451 8730
+rect 10463 8678 10515 8730
+rect 10527 8678 10579 8730
+rect 4344 8619 4396 8628
+rect 4344 8585 4353 8619
+rect 4353 8585 4387 8619
+rect 4387 8585 4396 8619
+rect 4344 8576 4396 8585
+rect 5448 8576 5500 8628
+rect 9772 8576 9824 8628
+rect 7012 8551 7064 8560
+rect 2044 8440 2096 8492
+rect 1952 8415 2004 8424
+rect 1952 8381 1961 8415
+rect 1961 8381 1995 8415
+rect 1995 8381 2004 8415
+rect 3240 8440 3292 8492
+rect 1952 8372 2004 8381
+rect 4988 8440 5040 8492
+rect 7012 8517 7021 8551
+rect 7021 8517 7055 8551
+rect 7055 8517 7064 8551
+rect 7012 8508 7064 8517
+rect 2136 8347 2188 8356
+rect 2136 8313 2145 8347
+rect 2145 8313 2179 8347
+rect 2179 8313 2188 8347
+rect 2136 8304 2188 8313
+rect 3792 8304 3844 8356
+rect 5172 8372 5224 8424
+rect 7288 8440 7340 8492
+rect 8024 8440 8076 8492
+rect 9864 8508 9916 8560
+rect 9772 8440 9824 8492
+rect 6184 8372 6236 8424
+rect 4712 8304 4764 8356
+rect 5540 8304 5592 8356
+rect 5724 8304 5776 8356
+rect 8300 8372 8352 8424
+rect 7564 8304 7616 8356
+rect 8116 8304 8168 8356
+rect 10784 8304 10836 8356
+rect 12072 8304 12124 8356
+rect 1860 8236 1912 8288
+rect 7104 8236 7156 8288
+rect 9956 8236 10008 8288
+rect 10048 8236 10100 8288
+rect 4723 8134 4775 8186
+rect 4787 8134 4839 8186
+rect 4851 8134 4903 8186
+rect 4915 8134 4967 8186
+rect 8464 8134 8516 8186
+rect 8528 8134 8580 8186
+rect 8592 8134 8644 8186
+rect 8656 8134 8708 8186
+rect 4344 8032 4396 8084
+rect 1676 7939 1728 7948
+rect 1676 7905 1685 7939
+rect 1685 7905 1719 7939
+rect 1719 7905 1728 7939
+rect 1676 7896 1728 7905
+rect 1860 7939 1912 7948
+rect 1860 7905 1869 7939
+rect 1869 7905 1903 7939
+rect 1903 7905 1912 7939
+rect 1860 7896 1912 7905
+rect 3240 7964 3292 8016
+rect 3700 7964 3752 8016
+rect 3792 7964 3844 8016
+rect 5356 7964 5408 8016
+rect 3424 7896 3476 7948
+rect 4252 7896 4304 7948
+rect 4988 7939 5040 7948
+rect 4528 7828 4580 7880
+rect 4988 7905 4997 7939
+rect 4997 7905 5031 7939
+rect 5031 7905 5040 7939
+rect 7012 7964 7064 8016
+rect 4988 7896 5040 7905
+rect 6828 7896 6880 7948
+rect 9956 8032 10008 8084
+rect 8116 8007 8168 8016
+rect 8116 7973 8125 8007
+rect 8125 7973 8159 8007
+rect 8159 7973 8168 8007
+rect 8116 7964 8168 7973
+rect 9036 7964 9088 8016
+rect 10232 8007 10284 8016
+rect 10232 7973 10241 8007
+rect 10241 7973 10275 8007
+rect 10275 7973 10284 8007
+rect 10232 7964 10284 7973
+rect 5448 7828 5500 7880
+rect 6368 7828 6420 7880
+rect 7656 7939 7708 7948
+rect 7656 7905 7665 7939
+rect 7665 7905 7699 7939
+rect 7699 7905 7708 7939
+rect 7656 7896 7708 7905
+rect 7840 7828 7892 7880
+rect 9496 7828 9548 7880
+rect 11060 7939 11112 7948
+rect 11060 7905 11069 7939
+rect 11069 7905 11103 7939
+rect 11103 7905 11112 7939
+rect 11060 7896 11112 7905
+rect 3976 7760 4028 7812
+rect 4344 7760 4396 7812
+rect 4988 7760 5040 7812
+rect 9588 7760 9640 7812
+rect 2228 7692 2280 7744
+rect 5540 7692 5592 7744
+rect 5632 7692 5684 7744
+rect 5816 7692 5868 7744
+rect 5908 7692 5960 7744
+rect 6184 7692 6236 7744
+rect 7564 7692 7616 7744
+rect 9956 7692 10008 7744
+rect 10140 7692 10192 7744
+rect 10784 7692 10836 7744
+rect 2852 7590 2904 7642
+rect 2916 7590 2968 7642
+rect 2980 7590 3032 7642
+rect 3044 7590 3096 7642
+rect 6594 7590 6646 7642
+rect 6658 7590 6710 7642
+rect 6722 7590 6774 7642
+rect 6786 7590 6838 7642
+rect 10335 7590 10387 7642
+rect 10399 7590 10451 7642
+rect 10463 7590 10515 7642
+rect 10527 7590 10579 7642
+rect 2136 7488 2188 7540
+rect 4252 7488 4304 7540
+rect 4620 7488 4672 7540
+rect 5540 7488 5592 7540
+rect 6000 7488 6052 7540
+rect 6276 7488 6328 7540
+rect 11060 7488 11112 7540
+rect 1676 7284 1728 7336
+rect 2228 7327 2280 7336
+rect 2228 7293 2237 7327
+rect 2237 7293 2271 7327
+rect 2271 7293 2280 7327
+rect 2228 7284 2280 7293
+rect 3240 7327 3292 7336
+rect 3240 7293 3249 7327
+rect 3249 7293 3283 7327
+rect 3283 7293 3292 7327
+rect 3240 7284 3292 7293
+rect 5816 7420 5868 7472
+rect 7380 7420 7432 7472
+rect 7196 7352 7248 7404
+rect 8208 7420 8260 7472
+rect 7840 7395 7892 7404
+rect 7840 7361 7849 7395
+rect 7849 7361 7883 7395
+rect 7883 7361 7892 7395
+rect 7840 7352 7892 7361
+rect 9956 7352 10008 7404
+rect 10140 7395 10192 7404
+rect 10140 7361 10149 7395
+rect 10149 7361 10183 7395
+rect 10183 7361 10192 7395
+rect 10140 7352 10192 7361
+rect 2320 7259 2372 7268
+rect 2320 7225 2329 7259
+rect 2329 7225 2363 7259
+rect 2363 7225 2372 7259
+rect 2320 7216 2372 7225
+rect 4068 7148 4120 7200
+rect 4988 7284 5040 7336
+rect 5264 7284 5316 7336
+rect 7380 7327 7432 7336
+rect 7380 7293 7389 7327
+rect 7389 7293 7423 7327
+rect 7423 7293 7432 7327
+rect 7380 7284 7432 7293
+rect 6552 7216 6604 7268
+rect 8760 7284 8812 7336
+rect 9036 7327 9088 7336
+rect 9036 7293 9045 7327
+rect 9045 7293 9079 7327
+rect 9079 7293 9088 7327
+rect 9036 7284 9088 7293
+rect 10876 7284 10928 7336
+rect 7840 7216 7892 7268
+rect 8208 7216 8260 7268
+rect 5816 7148 5868 7200
+rect 10140 7148 10192 7200
+rect 4723 7046 4775 7098
+rect 4787 7046 4839 7098
+rect 4851 7046 4903 7098
+rect 4915 7046 4967 7098
+rect 8464 7046 8516 7098
+rect 8528 7046 8580 7098
+rect 8592 7046 8644 7098
+rect 8656 7046 8708 7098
+rect 1768 6808 1820 6860
+rect 1952 6851 2004 6860
+rect 1952 6817 1961 6851
+rect 1961 6817 1995 6851
+rect 1995 6817 2004 6851
+rect 3240 6876 3292 6928
+rect 3424 6944 3476 6996
+rect 4068 6876 4120 6928
+rect 1952 6808 2004 6817
+rect 3148 6808 3200 6860
+rect 4252 6808 4304 6860
+rect 4620 6876 4672 6928
+rect 5356 6919 5408 6928
+rect 5356 6885 5365 6919
+rect 5365 6885 5399 6919
+rect 5399 6885 5408 6919
+rect 5356 6876 5408 6885
+rect 6092 6876 6144 6928
+rect 5172 6808 5224 6860
+rect 6460 6808 6512 6860
+rect 6644 6851 6696 6860
+rect 6644 6817 6653 6851
+rect 6653 6817 6687 6851
+rect 6687 6817 6696 6851
+rect 6644 6808 6696 6817
+rect 7748 6851 7800 6860
+rect 4620 6740 4672 6792
+rect 5632 6740 5684 6792
+rect 7748 6817 7757 6851
+rect 7757 6817 7791 6851
+rect 7791 6817 7800 6851
+rect 7748 6808 7800 6817
+rect 8852 6808 8904 6860
+rect 9588 6808 9640 6860
+rect 9680 6808 9732 6860
+rect 10784 6876 10836 6928
+rect 10876 6851 10928 6860
+rect 8392 6740 8444 6792
+rect 10876 6817 10885 6851
+rect 10885 6817 10919 6851
+rect 10919 6817 10928 6851
+rect 10876 6808 10928 6817
+rect 11060 6851 11112 6860
+rect 11060 6817 11069 6851
+rect 11069 6817 11103 6851
+rect 11103 6817 11112 6851
+rect 11060 6808 11112 6817
+rect 3976 6672 4028 6724
+rect 9036 6672 9088 6724
+rect 11152 6740 11204 6792
+rect 3240 6604 3292 6656
+rect 7472 6604 7524 6656
+rect 8576 6604 8628 6656
+rect 9772 6647 9824 6656
+rect 9772 6613 9781 6647
+rect 9781 6613 9815 6647
+rect 9815 6613 9824 6647
+rect 9772 6604 9824 6613
+rect 2852 6502 2904 6554
+rect 2916 6502 2968 6554
+rect 2980 6502 3032 6554
+rect 3044 6502 3096 6554
+rect 6594 6502 6646 6554
+rect 6658 6502 6710 6554
+rect 6722 6502 6774 6554
+rect 6786 6502 6838 6554
+rect 10335 6502 10387 6554
+rect 10399 6502 10451 6554
+rect 10463 6502 10515 6554
+rect 10527 6502 10579 6554
+rect 5816 6443 5868 6452
+rect 3148 6332 3200 6384
+rect 5816 6409 5825 6443
+rect 5825 6409 5859 6443
+rect 5859 6409 5868 6443
+rect 5816 6400 5868 6409
+rect 7472 6443 7524 6452
+rect 7472 6409 7481 6443
+rect 7481 6409 7515 6443
+rect 7515 6409 7524 6443
+rect 7472 6400 7524 6409
+rect 9588 6400 9640 6452
+rect 8576 6332 8628 6384
+rect 8760 6375 8812 6384
+rect 8760 6341 8769 6375
+rect 8769 6341 8803 6375
+rect 8803 6341 8812 6375
+rect 8760 6332 8812 6341
+rect 9036 6332 9088 6384
+rect 9404 6332 9456 6384
+rect 2596 6264 2648 6316
+rect 4068 6264 4120 6316
+rect 1768 6239 1820 6248
+rect 1768 6205 1777 6239
+rect 1777 6205 1811 6239
+rect 1811 6205 1820 6239
+rect 1768 6196 1820 6205
+rect 3240 6239 3292 6248
+rect 3240 6205 3249 6239
+rect 3249 6205 3283 6239
+rect 3283 6205 3292 6239
+rect 3240 6196 3292 6205
+rect 3976 6196 4028 6248
+rect 4344 6196 4396 6248
+rect 7748 6264 7800 6316
+rect 7932 6264 7984 6316
+rect 10232 6264 10284 6316
+rect 5540 6239 5592 6248
+rect 5540 6205 5549 6239
+rect 5549 6205 5583 6239
+rect 5583 6205 5592 6239
+rect 5540 6196 5592 6205
+rect 5816 6196 5868 6248
+rect 7288 6239 7340 6248
+rect 7288 6205 7297 6239
+rect 7297 6205 7331 6239
+rect 7331 6205 7340 6239
+rect 7288 6196 7340 6205
+rect 8392 6239 8444 6248
+rect 8392 6205 8401 6239
+rect 8401 6205 8435 6239
+rect 8435 6205 8444 6239
+rect 8392 6196 8444 6205
+rect 8944 6196 8996 6248
+rect 9588 6239 9640 6248
+rect 9588 6205 9597 6239
+rect 9597 6205 9631 6239
+rect 9631 6205 9640 6239
+rect 9588 6196 9640 6205
+rect 9772 6239 9824 6248
+rect 9772 6205 9781 6239
+rect 9781 6205 9815 6239
+rect 9815 6205 9824 6239
+rect 9772 6196 9824 6205
+rect 10324 6196 10376 6248
+rect 6092 6128 6144 6180
+rect 7472 6128 7524 6180
+rect 10876 6128 10928 6180
+rect 7380 6060 7432 6112
+rect 4723 5958 4775 6010
+rect 4787 5958 4839 6010
+rect 4851 5958 4903 6010
+rect 4915 5958 4967 6010
+rect 8464 5958 8516 6010
+rect 8528 5958 8580 6010
+rect 8592 5958 8644 6010
+rect 8656 5958 8708 6010
+rect 5724 5856 5776 5908
+rect 3332 5788 3384 5840
+rect 4344 5763 4396 5772
+rect 2596 5652 2648 5704
+rect 4344 5729 4353 5763
+rect 4353 5729 4387 5763
+rect 4387 5729 4396 5763
+rect 4344 5720 4396 5729
+rect 5540 5788 5592 5840
+rect 5816 5831 5868 5840
+rect 5816 5797 5825 5831
+rect 5825 5797 5859 5831
+rect 5859 5797 5868 5831
+rect 5816 5788 5868 5797
+rect 5080 5720 5132 5772
+rect 5448 5763 5500 5772
+rect 5448 5729 5457 5763
+rect 5457 5729 5491 5763
+rect 5491 5729 5500 5763
+rect 5448 5720 5500 5729
+rect 6000 5788 6052 5840
+rect 5724 5652 5776 5704
+rect 6828 5763 6880 5772
+rect 6828 5729 6837 5763
+rect 6837 5729 6871 5763
+rect 6871 5729 6880 5763
+rect 6828 5720 6880 5729
+rect 6736 5652 6788 5704
+rect 4160 5584 4212 5636
+rect 5816 5584 5868 5636
+rect 5908 5584 5960 5636
+rect 9588 5788 9640 5840
+rect 8116 5763 8168 5772
+rect 8116 5729 8125 5763
+rect 8125 5729 8159 5763
+rect 8159 5729 8168 5763
+rect 8116 5720 8168 5729
+rect 9128 5720 9180 5772
+rect 9772 5763 9824 5772
+rect 9772 5729 9781 5763
+rect 9781 5729 9815 5763
+rect 9815 5729 9824 5763
+rect 9772 5720 9824 5729
+rect 7840 5652 7892 5704
+rect 10692 5720 10744 5772
+rect 10968 5720 11020 5772
+rect 8116 5584 8168 5636
+rect 9312 5584 9364 5636
+rect 2852 5414 2904 5466
+rect 2916 5414 2968 5466
+rect 2980 5414 3032 5466
+rect 3044 5414 3096 5466
+rect 6594 5414 6646 5466
+rect 6658 5414 6710 5466
+rect 6722 5414 6774 5466
+rect 6786 5414 6838 5466
+rect 10335 5414 10387 5466
+rect 10399 5414 10451 5466
+rect 10463 5414 10515 5466
+rect 10527 5414 10579 5466
+rect 7104 5312 7156 5364
+rect 9772 5312 9824 5364
+rect 4620 5287 4672 5296
+rect 4620 5253 4629 5287
+rect 4629 5253 4663 5287
+rect 4663 5253 4672 5287
+rect 4620 5244 4672 5253
+rect 1952 5176 2004 5228
+rect 4252 5108 4304 5160
+rect 4436 5151 4488 5160
+rect 4436 5117 4445 5151
+rect 4445 5117 4479 5151
+rect 4479 5117 4488 5151
+rect 4436 5108 4488 5117
+rect 4712 5108 4764 5160
+rect 6092 5244 6144 5296
+rect 8852 5244 8904 5296
+rect 6920 5176 6972 5228
+rect 6460 5108 6512 5160
+rect 7196 5108 7248 5160
+rect 9680 5176 9732 5228
+rect 8668 5108 8720 5160
+rect 8944 5151 8996 5160
+rect 6184 5040 6236 5092
+rect 8944 5117 8953 5151
+rect 8953 5117 8987 5151
+rect 8987 5117 8996 5151
+rect 8944 5108 8996 5117
+rect 10140 5151 10192 5160
+rect 10140 5117 10149 5151
+rect 10149 5117 10183 5151
+rect 10183 5117 10192 5151
+rect 10140 5108 10192 5117
+rect 9404 5040 9456 5092
+rect 10048 5040 10100 5092
+rect 4723 4870 4775 4922
+rect 4787 4870 4839 4922
+rect 4851 4870 4903 4922
+rect 4915 4870 4967 4922
+rect 8464 4870 8516 4922
+rect 8528 4870 8580 4922
+rect 8592 4870 8644 4922
+rect 8656 4870 8708 4922
+rect 5540 4768 5592 4820
+rect 8944 4768 8996 4820
+rect 4528 4700 4580 4752
+rect 4344 4632 4396 4684
+rect 5080 4675 5132 4684
+rect 5080 4641 5089 4675
+rect 5089 4641 5123 4675
+rect 5123 4641 5132 4675
+rect 5080 4632 5132 4641
+rect 5540 4632 5592 4684
+rect 5816 4632 5868 4684
+rect 7288 4632 7340 4684
+rect 9220 4700 9272 4752
+rect 9404 4700 9456 4752
+rect 9956 4700 10008 4752
+rect 7564 4675 7616 4684
+rect 7564 4641 7573 4675
+rect 7573 4641 7607 4675
+rect 7607 4641 7616 4675
+rect 7564 4632 7616 4641
+rect 7748 4632 7800 4684
+rect 5356 4496 5408 4548
+rect 5908 4496 5960 4548
+rect 2852 4326 2904 4378
+rect 2916 4326 2968 4378
+rect 2980 4326 3032 4378
+rect 3044 4326 3096 4378
+rect 6594 4326 6646 4378
+rect 6658 4326 6710 4378
+rect 6722 4326 6774 4378
+rect 6786 4326 6838 4378
+rect 10335 4326 10387 4378
+rect 10399 4326 10451 4378
+rect 10463 4326 10515 4378
+rect 10527 4326 10579 4378
+rect 4436 4224 4488 4276
+rect 3976 4088 4028 4140
+rect 5632 4088 5684 4140
+rect 5724 4063 5776 4072
+rect 5724 4029 5733 4063
+rect 5733 4029 5767 4063
+rect 5767 4029 5776 4063
+rect 5724 4020 5776 4029
+rect 7288 4063 7340 4072
+rect 7288 4029 7297 4063
+rect 7297 4029 7331 4063
+rect 7331 4029 7340 4063
+rect 7288 4020 7340 4029
+rect 7656 4020 7708 4072
+rect 9312 4088 9364 4140
+rect 9404 4088 9456 4140
+rect 9680 4088 9732 4140
+rect 9036 4020 9088 4072
+rect 8852 3995 8904 4004
+rect 8852 3961 8861 3995
+rect 8861 3961 8895 3995
+rect 8895 3961 8904 3995
+rect 8852 3952 8904 3961
+rect 6276 3884 6328 3936
+rect 7380 3927 7432 3936
+rect 7380 3893 7389 3927
+rect 7389 3893 7423 3927
+rect 7423 3893 7432 3927
+rect 7380 3884 7432 3893
+rect 4723 3782 4775 3834
+rect 4787 3782 4839 3834
+rect 4851 3782 4903 3834
+rect 4915 3782 4967 3834
+rect 8464 3782 8516 3834
+rect 8528 3782 8580 3834
+rect 8592 3782 8644 3834
+rect 8656 3782 8708 3834
+rect 5540 3680 5592 3732
+rect 5448 3612 5500 3664
+rect 4252 3544 4304 3596
+rect 5264 3544 5316 3596
+rect 11244 3612 11296 3664
+rect 5908 3544 5960 3596
+rect 7012 3587 7064 3596
+rect 7012 3553 7021 3587
+rect 7021 3553 7055 3587
+rect 7055 3553 7064 3587
+rect 7012 3544 7064 3553
+rect 7472 3544 7524 3596
+rect 8116 3544 8168 3596
+rect 7012 3408 7064 3460
+rect 2852 3238 2904 3290
+rect 2916 3238 2968 3290
+rect 2980 3238 3032 3290
+rect 3044 3238 3096 3290
+rect 6594 3238 6646 3290
+rect 6658 3238 6710 3290
+rect 6722 3238 6774 3290
+rect 6786 3238 6838 3290
+rect 10335 3238 10387 3290
+rect 10399 3238 10451 3290
+rect 10463 3238 10515 3290
+rect 10527 3238 10579 3290
+rect 5264 3068 5316 3120
+rect 8300 3111 8352 3120
+rect 8300 3077 8309 3111
+rect 8309 3077 8343 3111
+rect 8343 3077 8352 3111
+rect 8300 3068 8352 3077
+rect 5080 2932 5132 2984
+rect 7012 2975 7064 2984
+rect 7012 2941 7021 2975
+rect 7021 2941 7055 2975
+rect 7055 2941 7064 2975
+rect 7012 2932 7064 2941
+rect 8024 2975 8076 2984
+rect 8024 2941 8033 2975
+rect 8033 2941 8067 2975
+rect 8067 2941 8076 2975
+rect 8024 2932 8076 2941
+rect 8208 2975 8260 2984
+rect 8208 2941 8217 2975
+rect 8217 2941 8251 2975
+rect 8251 2941 8260 2975
+rect 8208 2932 8260 2941
+rect 9496 2796 9548 2848
+rect 11152 2796 11204 2848
+rect 4723 2694 4775 2746
+rect 4787 2694 4839 2746
+rect 4851 2694 4903 2746
+rect 4915 2694 4967 2746
+rect 8464 2694 8516 2746
+rect 8528 2694 8580 2746
+rect 8592 2694 8644 2746
+rect 8656 2694 8708 2746
+rect 2852 2150 2904 2202
+rect 2916 2150 2968 2202
+rect 2980 2150 3032 2202
+rect 3044 2150 3096 2202
+rect 6594 2150 6646 2202
+rect 6658 2150 6710 2202
+rect 6722 2150 6774 2202
+rect 6786 2150 6838 2202
+rect 10335 2150 10387 2202
+rect 10399 2150 10451 2202
+rect 10463 2150 10515 2202
+rect 10527 2150 10579 2202
+<< metal2 >>
+rect 478 14842 534 15642
+rect 1490 14842 1546 15642
+rect 2502 14842 2558 15642
+rect 3514 14842 3570 15642
+rect 4618 14842 4674 15642
+rect 5630 14842 5686 15642
+rect 6642 14842 6698 15642
+rect 7746 14842 7802 15642
+rect 8758 14842 8814 15642
+rect 9770 14842 9826 15642
+rect 10874 14842 10930 15642
+rect 11886 14842 11942 15642
+rect 12898 14842 12954 15642
+rect 492 12442 520 14842
+rect 1400 12776 1452 12782
+rect 1398 12744 1400 12753
+rect 1452 12744 1454 12753
+rect 1398 12679 1454 12688
+rect 480 12436 532 12442
+rect 480 12378 532 12384
+rect 1504 12238 1532 14842
+rect 1584 13184 1636 13190
+rect 1584 13126 1636 13132
+rect 1596 12442 1624 13126
+rect 2516 12442 2544 14842
+rect 2826 13084 3122 13104
+rect 2882 13082 2906 13084
+rect 2962 13082 2986 13084
+rect 3042 13082 3066 13084
+rect 2904 13030 2906 13082
+rect 2968 13030 2980 13082
+rect 3042 13030 3044 13082
+rect 2882 13028 2906 13030
+rect 2962 13028 2986 13030
+rect 3042 13028 3066 13030
+rect 2826 13008 3122 13028
+rect 3330 12880 3386 12889
+rect 3330 12815 3386 12824
+rect 1584 12436 1636 12442
+rect 1584 12378 1636 12384
+rect 2504 12436 2556 12442
+rect 2504 12378 2556 12384
+rect 1492 12232 1544 12238
+rect 1492 12174 1544 12180
+rect 1400 11076 1452 11082
+rect 1400 11018 1452 11024
+rect 1308 10464 1360 10470
+rect 1308 10406 1360 10412
+rect 1320 800 1348 10406
+rect 1412 10130 1440 11018
+rect 1492 10736 1544 10742
+rect 1492 10678 1544 10684
+rect 1400 10124 1452 10130
+rect 1400 10066 1452 10072
+rect 1504 9926 1532 10678
+rect 1596 10062 1624 12378
+rect 3148 12300 3200 12306
+rect 3148 12242 3200 12248
+rect 2826 11996 3122 12016
+rect 2882 11994 2906 11996
+rect 2962 11994 2986 11996
+rect 3042 11994 3066 11996
+rect 2904 11942 2906 11994
+rect 2968 11942 2980 11994
+rect 3042 11942 3044 11994
+rect 2882 11940 2906 11942
+rect 2962 11940 2986 11942
+rect 3042 11940 3066 11942
+rect 2826 11920 3122 11940
+rect 2688 11688 2740 11694
+rect 2688 11630 2740 11636
+rect 2504 11552 2556 11558
+rect 2504 11494 2556 11500
+rect 2412 11348 2464 11354
+rect 2412 11290 2464 11296
+rect 2424 11218 2452 11290
+rect 2412 11212 2464 11218
+rect 2412 11154 2464 11160
+rect 1584 10056 1636 10062
+rect 1584 9998 1636 10004
+rect 1492 9920 1544 9926
+rect 1492 9862 1544 9868
+rect 1768 9920 1820 9926
+rect 1768 9862 1820 9868
+rect 1492 9512 1544 9518
+rect 1492 9454 1544 9460
+rect 1504 8906 1532 9454
+rect 1492 8900 1544 8906
+rect 1492 8842 1544 8848
+rect 1674 7984 1730 7993
+rect 1674 7919 1676 7928
+rect 1728 7919 1730 7928
+rect 1676 7890 1728 7896
+rect 1688 7342 1716 7890
+rect 1676 7336 1728 7342
+rect 1676 7278 1728 7284
+rect 1780 6866 1808 9862
+rect 1952 9648 2004 9654
+rect 1952 9590 2004 9596
+rect 1860 9036 1912 9042
+rect 1860 8978 1912 8984
+rect 1872 8401 1900 8978
+rect 1964 8430 1992 9590
+rect 2516 9586 2544 11494
+rect 2596 11212 2648 11218
+rect 2596 11154 2648 11160
+rect 2504 9580 2556 9586
+rect 2504 9522 2556 9528
+rect 2042 9480 2098 9489
+rect 2608 9450 2636 11154
+rect 2700 9926 2728 11630
+rect 3160 11286 3188 12242
+rect 3240 11620 3292 11626
+rect 3240 11562 3292 11568
+rect 3148 11280 3200 11286
+rect 3148 11222 3200 11228
+rect 3252 11014 3280 11562
+rect 3240 11008 3292 11014
+rect 3240 10950 3292 10956
+rect 2826 10908 3122 10928
+rect 2882 10906 2906 10908
+rect 2962 10906 2986 10908
+rect 3042 10906 3066 10908
+rect 2904 10854 2906 10906
+rect 2968 10854 2980 10906
+rect 3042 10854 3044 10906
+rect 2882 10852 2906 10854
+rect 2962 10852 2986 10854
+rect 3042 10852 3066 10854
+rect 2826 10832 3122 10852
+rect 3148 10464 3200 10470
+rect 3148 10406 3200 10412
+rect 3160 10130 3188 10406
+rect 3240 10260 3292 10266
+rect 3240 10202 3292 10208
+rect 3148 10124 3200 10130
+rect 3148 10066 3200 10072
+rect 2688 9920 2740 9926
+rect 2688 9862 2740 9868
+rect 2826 9820 3122 9840
+rect 2882 9818 2906 9820
+rect 2962 9818 2986 9820
+rect 3042 9818 3066 9820
+rect 2904 9766 2906 9818
+rect 2968 9766 2980 9818
+rect 3042 9766 3044 9818
+rect 2882 9764 2906 9766
+rect 2962 9764 2986 9766
+rect 3042 9764 3066 9766
+rect 2826 9744 3122 9764
+rect 3148 9580 3200 9586
+rect 3148 9522 3200 9528
+rect 2872 9512 2924 9518
+rect 2872 9454 2924 9460
+rect 2042 9415 2098 9424
+rect 2596 9444 2648 9450
+rect 2056 9110 2084 9415
+rect 2596 9386 2648 9392
+rect 2044 9104 2096 9110
+rect 2044 9046 2096 9052
+rect 2044 8832 2096 8838
+rect 2044 8774 2096 8780
+rect 2056 8498 2084 8774
+rect 2044 8492 2096 8498
+rect 2044 8434 2096 8440
+rect 1952 8424 2004 8430
+rect 1858 8392 1914 8401
+rect 1952 8366 2004 8372
+rect 1858 8327 1914 8336
+rect 2136 8356 2188 8362
+rect 2136 8298 2188 8304
+rect 1860 8288 1912 8294
+rect 1860 8230 1912 8236
+rect 1872 7954 1900 8230
+rect 1860 7948 1912 7954
+rect 1860 7890 1912 7896
+rect 2148 7546 2176 8298
+rect 2228 7744 2280 7750
+rect 2228 7686 2280 7692
+rect 2136 7540 2188 7546
+rect 2136 7482 2188 7488
+rect 2240 7342 2268 7686
+rect 2228 7336 2280 7342
+rect 2228 7278 2280 7284
+rect 2318 7304 2374 7313
+rect 2318 7239 2320 7248
+rect 2372 7239 2374 7248
+rect 2320 7210 2372 7216
+rect 1768 6860 1820 6866
+rect 1768 6802 1820 6808
+rect 1952 6860 2004 6866
+rect 1952 6802 2004 6808
+rect 1768 6248 1820 6254
+rect 1768 6190 1820 6196
+rect 1780 2689 1808 6190
+rect 1964 5234 1992 6802
+rect 2608 6322 2636 9386
+rect 2884 9178 2912 9454
+rect 2872 9172 2924 9178
+rect 2872 9114 2924 9120
+rect 3056 9172 3108 9178
+rect 3056 9114 3108 9120
+rect 3068 8906 3096 9114
+rect 3056 8900 3108 8906
+rect 3056 8842 3108 8848
+rect 2826 8732 3122 8752
+rect 2882 8730 2906 8732
+rect 2962 8730 2986 8732
+rect 3042 8730 3066 8732
+rect 2904 8678 2906 8730
+rect 2968 8678 2980 8730
+rect 3042 8678 3044 8730
+rect 2882 8676 2906 8678
+rect 2962 8676 2986 8678
+rect 3042 8676 3066 8678
+rect 2826 8656 3122 8676
+rect 3160 7857 3188 9522
+rect 3252 9110 3280 10202
+rect 3240 9104 3292 9110
+rect 3240 9046 3292 9052
+rect 3240 8832 3292 8838
+rect 3240 8774 3292 8780
+rect 3252 8498 3280 8774
+rect 3240 8492 3292 8498
+rect 3240 8434 3292 8440
+rect 3240 8016 3292 8022
+rect 3240 7958 3292 7964
+rect 3146 7848 3202 7857
+rect 3146 7783 3202 7792
+rect 2826 7644 3122 7664
+rect 2882 7642 2906 7644
+rect 2962 7642 2986 7644
+rect 3042 7642 3066 7644
+rect 2904 7590 2906 7642
+rect 2968 7590 2980 7642
+rect 3042 7590 3044 7642
+rect 2882 7588 2906 7590
+rect 2962 7588 2986 7590
+rect 3042 7588 3066 7590
+rect 2826 7568 3122 7588
+rect 3252 7342 3280 7958
+rect 3240 7336 3292 7342
+rect 3240 7278 3292 7284
+rect 3252 6934 3280 7278
+rect 3240 6928 3292 6934
+rect 3240 6870 3292 6876
+rect 3148 6860 3200 6866
+rect 3148 6802 3200 6808
+rect 2826 6556 3122 6576
+rect 2882 6554 2906 6556
+rect 2962 6554 2986 6556
+rect 3042 6554 3066 6556
+rect 2904 6502 2906 6554
+rect 2968 6502 2980 6554
+rect 3042 6502 3044 6554
+rect 2882 6500 2906 6502
+rect 2962 6500 2986 6502
+rect 3042 6500 3066 6502
+rect 2826 6480 3122 6500
+rect 3160 6390 3188 6802
+rect 3240 6656 3292 6662
+rect 3240 6598 3292 6604
+rect 3148 6384 3200 6390
+rect 3148 6326 3200 6332
+rect 2596 6316 2648 6322
+rect 2596 6258 2648 6264
+rect 2608 5710 2636 6258
+rect 3252 6254 3280 6598
+rect 3240 6248 3292 6254
+rect 3240 6190 3292 6196
+rect 3344 5846 3372 12815
+rect 3528 12782 3556 14842
+rect 4632 14754 4660 14842
+rect 4528 14748 4580 14754
+rect 4528 14690 4580 14696
+rect 4620 14748 4672 14754
+rect 4620 14690 4672 14696
+rect 3516 12776 3568 12782
+rect 3516 12718 3568 12724
+rect 4068 12776 4120 12782
+rect 4068 12718 4120 12724
+rect 4160 12776 4212 12782
+rect 4160 12718 4212 12724
+rect 3792 12708 3844 12714
+rect 3792 12650 3844 12656
+rect 3700 12640 3752 12646
+rect 3700 12582 3752 12588
+rect 3516 12164 3568 12170
+rect 3516 12106 3568 12112
+rect 3528 11694 3556 12106
+rect 3608 12096 3660 12102
+rect 3608 12038 3660 12044
+rect 3516 11688 3568 11694
+rect 3516 11630 3568 11636
+rect 3516 11144 3568 11150
+rect 3516 11086 3568 11092
+rect 3424 10192 3476 10198
+rect 3424 10134 3476 10140
+rect 3436 9042 3464 10134
+rect 3424 9036 3476 9042
+rect 3424 8978 3476 8984
+rect 3528 8809 3556 11086
+rect 3620 9722 3648 12038
+rect 3712 10606 3740 12582
+rect 3804 10713 3832 12650
+rect 4080 12306 4108 12718
+rect 4172 12442 4200 12718
+rect 4160 12436 4212 12442
+rect 4160 12378 4212 12384
+rect 4068 12300 4120 12306
+rect 4068 12242 4120 12248
+rect 4080 11778 4108 12242
+rect 4252 11892 4304 11898
+rect 4252 11834 4304 11840
+rect 3988 11762 4108 11778
+rect 3976 11756 4108 11762
+rect 4028 11750 4108 11756
+rect 3976 11698 4028 11704
+rect 3884 11688 3936 11694
+rect 3884 11630 3936 11636
+rect 3790 10704 3846 10713
+rect 3790 10639 3846 10648
+rect 3700 10600 3752 10606
+rect 3700 10542 3752 10548
+rect 3790 10568 3846 10577
+rect 3608 9716 3660 9722
+rect 3608 9658 3660 9664
+rect 3514 8800 3570 8809
+rect 3514 8735 3570 8744
+rect 3712 8022 3740 10542
+rect 3790 10503 3846 10512
+rect 3804 9518 3832 10503
+rect 3792 9512 3844 9518
+rect 3792 9454 3844 9460
+rect 3896 8906 3924 11630
+rect 4068 11280 4120 11286
+rect 4068 11222 4120 11228
+rect 3976 10668 4028 10674
+rect 3976 10610 4028 10616
+rect 3988 9081 4016 10610
+rect 4080 10470 4108 11222
+rect 4068 10464 4120 10470
+rect 4068 10406 4120 10412
+rect 4080 10266 4108 10406
+rect 4068 10260 4120 10266
+rect 4068 10202 4120 10208
+rect 4160 9988 4212 9994
+rect 4160 9930 4212 9936
+rect 4066 9616 4122 9625
+rect 4066 9551 4122 9560
+rect 4080 9518 4108 9551
+rect 4068 9512 4120 9518
+rect 4068 9454 4120 9460
+rect 3974 9072 4030 9081
+rect 3974 9007 4030 9016
+rect 3884 8900 3936 8906
+rect 3884 8842 3936 8848
+rect 4080 8786 4108 9454
+rect 4172 9110 4200 9930
+rect 4264 9110 4292 11834
+rect 4540 11336 4568 14690
+rect 5080 12912 5132 12918
+rect 5080 12854 5132 12860
+rect 4697 12540 4993 12560
+rect 4753 12538 4777 12540
+rect 4833 12538 4857 12540
+rect 4913 12538 4937 12540
+rect 4775 12486 4777 12538
+rect 4839 12486 4851 12538
+rect 4913 12486 4915 12538
+rect 4753 12484 4777 12486
+rect 4833 12484 4857 12486
+rect 4913 12484 4937 12486
+rect 4697 12464 4993 12484
+rect 4697 11452 4993 11472
+rect 4753 11450 4777 11452
+rect 4833 11450 4857 11452
+rect 4913 11450 4937 11452
+rect 4775 11398 4777 11450
+rect 4839 11398 4851 11450
+rect 4913 11398 4915 11450
+rect 4753 11396 4777 11398
+rect 4833 11396 4857 11398
+rect 4913 11396 4937 11398
+rect 4697 11376 4993 11396
+rect 4540 11308 4752 11336
+rect 4528 11212 4580 11218
+rect 4528 11154 4580 11160
+rect 4620 11212 4672 11218
+rect 4620 11154 4672 11160
+rect 4436 11144 4488 11150
+rect 4436 11086 4488 11092
+rect 4344 11008 4396 11014
+rect 4344 10950 4396 10956
+rect 4356 9654 4384 10950
+rect 4344 9648 4396 9654
+rect 4344 9590 4396 9596
+rect 4160 9104 4212 9110
+rect 4160 9046 4212 9052
+rect 4252 9104 4304 9110
+rect 4252 9046 4304 9052
+rect 3988 8758 4108 8786
+rect 3792 8356 3844 8362
+rect 3792 8298 3844 8304
+rect 3804 8022 3832 8298
+rect 3700 8016 3752 8022
+rect 3700 7958 3752 7964
+rect 3792 8016 3844 8022
+rect 3792 7958 3844 7964
+rect 3424 7948 3476 7954
+rect 3424 7890 3476 7896
+rect 3436 7002 3464 7890
+rect 3988 7818 4016 8758
+rect 4066 7848 4122 7857
+rect 3976 7812 4028 7818
+rect 4066 7783 4122 7792
+rect 3976 7754 4028 7760
+rect 4080 7206 4108 7783
+rect 4068 7200 4120 7206
+rect 4068 7142 4120 7148
+rect 3424 6996 3476 7002
+rect 3424 6938 3476 6944
+rect 4068 6928 4120 6934
+rect 4068 6870 4120 6876
+rect 3976 6724 4028 6730
+rect 3976 6666 4028 6672
+rect 3988 6254 4016 6666
+rect 4080 6322 4108 6870
+rect 4068 6316 4120 6322
+rect 4068 6258 4120 6264
+rect 3976 6248 4028 6254
+rect 3976 6190 4028 6196
+rect 3332 5840 3384 5846
+rect 3332 5782 3384 5788
+rect 2596 5704 2648 5710
+rect 2596 5646 2648 5652
+rect 4172 5642 4200 9046
+rect 4264 7954 4292 9046
+rect 4356 9042 4384 9590
+rect 4448 9382 4476 11086
+rect 4436 9376 4488 9382
+rect 4436 9318 4488 9324
+rect 4344 9036 4396 9042
+rect 4344 8978 4396 8984
+rect 4436 8968 4488 8974
+rect 4436 8910 4488 8916
+rect 4344 8628 4396 8634
+rect 4344 8570 4396 8576
+rect 4356 8090 4384 8570
+rect 4344 8084 4396 8090
+rect 4344 8026 4396 8032
+rect 4252 7948 4304 7954
+rect 4252 7890 4304 7896
+rect 4344 7812 4396 7818
+rect 4344 7754 4396 7760
+rect 4252 7540 4304 7546
+rect 4252 7482 4304 7488
+rect 4264 6866 4292 7482
+rect 4252 6860 4304 6866
+rect 4252 6802 4304 6808
+rect 4356 6746 4384 7754
+rect 4264 6718 4384 6746
+rect 4160 5636 4212 5642
+rect 4160 5578 4212 5584
+rect 2826 5468 3122 5488
+rect 2882 5466 2906 5468
+rect 2962 5466 2986 5468
+rect 3042 5466 3066 5468
+rect 2904 5414 2906 5466
+rect 2968 5414 2980 5466
+rect 3042 5414 3044 5466
+rect 2882 5412 2906 5414
+rect 2962 5412 2986 5414
+rect 3042 5412 3066 5414
+rect 2826 5392 3122 5412
+rect 1952 5228 2004 5234
+rect 1952 5170 2004 5176
+rect 4264 5166 4292 6718
+rect 4344 6248 4396 6254
+rect 4344 6190 4396 6196
+rect 4356 5778 4384 6190
+rect 4344 5772 4396 5778
+rect 4344 5714 4396 5720
+rect 4252 5160 4304 5166
+rect 4252 5102 4304 5108
+rect 2826 4380 3122 4400
+rect 2882 4378 2906 4380
+rect 2962 4378 2986 4380
+rect 3042 4378 3066 4380
+rect 2904 4326 2906 4378
+rect 2968 4326 2980 4378
+rect 3042 4326 3044 4378
+rect 2882 4324 2906 4326
+rect 2962 4324 2986 4326
+rect 3042 4324 3066 4326
+rect 2826 4304 3122 4324
+rect 3976 4140 4028 4146
+rect 3976 4082 4028 4088
+rect 2826 3292 3122 3312
+rect 2882 3290 2906 3292
+rect 2962 3290 2986 3292
+rect 3042 3290 3066 3292
+rect 2904 3238 2906 3290
+rect 2968 3238 2980 3290
+rect 3042 3238 3044 3290
+rect 2882 3236 2906 3238
+rect 2962 3236 2986 3238
+rect 3042 3236 3066 3238
+rect 2826 3216 3122 3236
+rect 1766 2680 1822 2689
+rect 1766 2615 1822 2624
+rect 2826 2204 3122 2224
+rect 2882 2202 2906 2204
+rect 2962 2202 2986 2204
+rect 3042 2202 3066 2204
+rect 2904 2150 2906 2202
+rect 2968 2150 2980 2202
+rect 3042 2150 3044 2202
+rect 2882 2148 2906 2150
+rect 2962 2148 2986 2150
+rect 3042 2148 3066 2150
+rect 2826 2128 3122 2148
+rect 3988 800 4016 4082
+rect 4264 3602 4292 5102
+rect 4356 4690 4384 5714
+rect 4448 5166 4476 8910
+rect 4540 8838 4568 11154
+rect 4632 8974 4660 11154
+rect 4724 10742 4752 11308
+rect 5092 11014 5120 12854
+rect 5448 12640 5500 12646
+rect 5448 12582 5500 12588
+rect 5264 12300 5316 12306
+rect 5264 12242 5316 12248
+rect 5172 11552 5224 11558
+rect 5172 11494 5224 11500
+rect 5080 11008 5132 11014
+rect 5080 10950 5132 10956
+rect 4712 10736 4764 10742
+rect 4712 10678 4764 10684
+rect 4712 10600 4764 10606
+rect 4710 10568 4712 10577
+rect 4764 10568 4766 10577
+rect 4710 10503 4766 10512
+rect 4697 10364 4993 10384
+rect 4753 10362 4777 10364
+rect 4833 10362 4857 10364
+rect 4913 10362 4937 10364
+rect 4775 10310 4777 10362
+rect 4839 10310 4851 10362
+rect 4913 10310 4915 10362
+rect 4753 10308 4777 10310
+rect 4833 10308 4857 10310
+rect 4913 10308 4937 10310
+rect 4697 10288 4993 10308
+rect 5080 10124 5132 10130
+rect 5184 10112 5212 11494
+rect 5276 11218 5304 12242
+rect 5460 12238 5488 12582
+rect 5448 12232 5500 12238
+rect 5448 12174 5500 12180
+rect 5460 11937 5488 12174
+rect 5446 11928 5502 11937
+rect 5446 11863 5502 11872
+rect 5644 11506 5672 14842
+rect 6656 13682 6684 14842
+rect 6472 13654 6684 13682
+rect 6276 12368 6328 12374
+rect 6276 12310 6328 12316
+rect 6288 11694 6316 12310
+rect 6276 11688 6328 11694
+rect 6276 11630 6328 11636
+rect 5368 11478 5672 11506
+rect 5724 11552 5776 11558
+rect 5724 11494 5776 11500
+rect 5264 11212 5316 11218
+rect 5264 11154 5316 11160
+rect 5264 10260 5316 10266
+rect 5264 10202 5316 10208
+rect 5132 10084 5212 10112
+rect 5080 10066 5132 10072
+rect 5170 10024 5226 10033
+rect 5170 9959 5226 9968
+rect 5080 9920 5132 9926
+rect 5080 9862 5132 9868
+rect 5092 9761 5120 9862
+rect 5078 9752 5134 9761
+rect 5078 9687 5134 9696
+rect 5080 9376 5132 9382
+rect 5080 9318 5132 9324
+rect 4697 9276 4993 9296
+rect 4753 9274 4777 9276
+rect 4833 9274 4857 9276
+rect 4913 9274 4937 9276
+rect 4775 9222 4777 9274
+rect 4839 9222 4851 9274
+rect 4913 9222 4915 9274
+rect 4753 9220 4777 9222
+rect 4833 9220 4857 9222
+rect 4913 9220 4937 9222
+rect 4697 9200 4993 9220
+rect 4620 8968 4672 8974
+rect 4620 8910 4672 8916
+rect 4712 8968 4764 8974
+rect 4712 8910 4764 8916
+rect 4528 8832 4580 8838
+rect 4528 8774 4580 8780
+rect 4540 8378 4568 8774
+rect 4540 8350 4660 8378
+rect 4724 8362 4752 8910
+rect 4988 8492 5040 8498
+rect 4988 8434 5040 8440
+rect 5000 8401 5028 8434
+rect 4986 8392 5042 8401
+rect 4528 7880 4580 7886
+rect 4528 7822 4580 7828
+rect 4436 5160 4488 5166
+rect 4436 5102 4488 5108
+rect 4344 4684 4396 4690
+rect 4344 4626 4396 4632
+rect 4448 4282 4476 5102
+rect 4540 4758 4568 7822
+rect 4632 7546 4660 8350
+rect 4712 8356 4764 8362
+rect 4986 8327 5042 8336
+rect 4712 8298 4764 8304
+rect 4697 8188 4993 8208
+rect 4753 8186 4777 8188
+rect 4833 8186 4857 8188
+rect 4913 8186 4937 8188
+rect 4775 8134 4777 8186
+rect 4839 8134 4851 8186
+rect 4913 8134 4915 8186
+rect 4753 8132 4777 8134
+rect 4833 8132 4857 8134
+rect 4913 8132 4937 8134
+rect 4697 8112 4993 8132
+rect 4988 7948 5040 7954
+rect 4988 7890 5040 7896
+rect 5000 7818 5028 7890
+rect 4988 7812 5040 7818
+rect 4988 7754 5040 7760
+rect 4710 7576 4766 7585
+rect 4620 7540 4672 7546
+rect 4710 7511 4766 7520
+rect 4620 7482 4672 7488
+rect 4724 7188 4752 7511
+rect 4986 7440 5042 7449
+rect 4986 7375 5042 7384
+rect 5000 7342 5028 7375
+rect 4988 7336 5040 7342
+rect 4988 7278 5040 7284
+rect 4632 7160 4752 7188
+rect 4632 6934 4660 7160
+rect 4697 7100 4993 7120
+rect 4753 7098 4777 7100
+rect 4833 7098 4857 7100
+rect 4913 7098 4937 7100
+rect 4775 7046 4777 7098
+rect 4839 7046 4851 7098
+rect 4913 7046 4915 7098
+rect 4753 7044 4777 7046
+rect 4833 7044 4857 7046
+rect 4913 7044 4937 7046
+rect 4697 7024 4993 7044
+rect 4620 6928 4672 6934
+rect 4620 6870 4672 6876
+rect 4620 6792 4672 6798
+rect 4620 6734 4672 6740
+rect 4632 5302 4660 6734
+rect 4697 6012 4993 6032
+rect 4753 6010 4777 6012
+rect 4833 6010 4857 6012
+rect 4913 6010 4937 6012
+rect 4775 5958 4777 6010
+rect 4839 5958 4851 6010
+rect 4913 5958 4915 6010
+rect 4753 5956 4777 5958
+rect 4833 5956 4857 5958
+rect 4913 5956 4937 5958
+rect 4697 5936 4993 5956
+rect 5092 5778 5120 9318
+rect 5184 8430 5212 9959
+rect 5276 8906 5304 10202
+rect 5264 8900 5316 8906
+rect 5264 8842 5316 8848
+rect 5172 8424 5224 8430
+rect 5172 8366 5224 8372
+rect 5276 7342 5304 8842
+rect 5368 8022 5396 11478
+rect 5736 11286 5764 11494
+rect 5724 11280 5776 11286
+rect 5776 11240 5856 11268
+rect 5724 11222 5776 11228
+rect 5540 11212 5592 11218
+rect 5540 11154 5592 11160
+rect 5448 10464 5500 10470
+rect 5448 10406 5500 10412
+rect 5460 9042 5488 10406
+rect 5552 10010 5580 11154
+rect 5724 10804 5776 10810
+rect 5724 10746 5776 10752
+rect 5552 9982 5672 10010
+rect 5538 9888 5594 9897
+rect 5538 9823 5594 9832
+rect 5552 9110 5580 9823
+rect 5644 9518 5672 9982
+rect 5632 9512 5684 9518
+rect 5630 9480 5632 9489
+rect 5684 9480 5686 9489
+rect 5630 9415 5686 9424
+rect 5632 9376 5684 9382
+rect 5632 9318 5684 9324
+rect 5540 9104 5592 9110
+rect 5540 9046 5592 9052
+rect 5448 9036 5500 9042
+rect 5448 8978 5500 8984
+rect 5448 8628 5500 8634
+rect 5448 8570 5500 8576
+rect 5460 8242 5488 8570
+rect 5552 8362 5580 9046
+rect 5540 8356 5592 8362
+rect 5540 8298 5592 8304
+rect 5460 8214 5580 8242
+rect 5356 8016 5408 8022
+rect 5356 7958 5408 7964
+rect 5264 7336 5316 7342
+rect 5184 7296 5264 7324
+rect 5184 6866 5212 7296
+rect 5264 7278 5316 7284
+rect 5368 6934 5396 7958
+rect 5448 7880 5500 7886
+rect 5448 7822 5500 7828
+rect 5356 6928 5408 6934
+rect 5356 6870 5408 6876
+rect 5172 6860 5224 6866
+rect 5172 6802 5224 6808
+rect 5460 6746 5488 7822
+rect 5552 7750 5580 8214
+rect 5644 7993 5672 9318
+rect 5736 9042 5764 10746
+rect 5828 9382 5856 11240
+rect 5908 11212 5960 11218
+rect 5908 11154 5960 11160
+rect 5816 9376 5868 9382
+rect 5816 9318 5868 9324
+rect 5816 9104 5868 9110
+rect 5814 9072 5816 9081
+rect 5868 9072 5870 9081
+rect 5724 9036 5776 9042
+rect 5814 9007 5870 9016
+rect 5724 8978 5776 8984
+rect 5722 8936 5778 8945
+rect 5722 8871 5778 8880
+rect 5736 8514 5764 8871
+rect 5736 8486 5856 8514
+rect 5724 8356 5776 8362
+rect 5724 8298 5776 8304
+rect 5630 7984 5686 7993
+rect 5630 7919 5686 7928
+rect 5540 7744 5592 7750
+rect 5540 7686 5592 7692
+rect 5632 7744 5684 7750
+rect 5632 7686 5684 7692
+rect 5540 7540 5592 7546
+rect 5540 7482 5592 7488
+rect 5368 6718 5488 6746
+rect 5080 5772 5132 5778
+rect 5080 5714 5132 5720
+rect 4710 5672 4766 5681
+rect 4710 5607 4766 5616
+rect 4620 5296 4672 5302
+rect 4620 5238 4672 5244
+rect 4724 5166 4752 5607
+rect 4712 5160 4764 5166
+rect 4712 5102 4764 5108
+rect 4697 4924 4993 4944
+rect 4753 4922 4777 4924
+rect 4833 4922 4857 4924
+rect 4913 4922 4937 4924
+rect 4775 4870 4777 4922
+rect 4839 4870 4851 4922
+rect 4913 4870 4915 4922
+rect 4753 4868 4777 4870
+rect 4833 4868 4857 4870
+rect 4913 4868 4937 4870
+rect 4697 4848 4993 4868
+rect 4528 4752 4580 4758
+rect 4528 4694 4580 4700
+rect 5080 4684 5132 4690
+rect 5080 4626 5132 4632
+rect 4436 4276 4488 4282
+rect 4436 4218 4488 4224
+rect 4697 3836 4993 3856
+rect 4753 3834 4777 3836
+rect 4833 3834 4857 3836
+rect 4913 3834 4937 3836
+rect 4775 3782 4777 3834
+rect 4839 3782 4851 3834
+rect 4913 3782 4915 3834
+rect 4753 3780 4777 3782
+rect 4833 3780 4857 3782
+rect 4913 3780 4937 3782
+rect 4697 3760 4993 3780
+rect 4252 3596 4304 3602
+rect 4252 3538 4304 3544
+rect 5092 2990 5120 4626
+rect 5368 4554 5396 6718
+rect 5552 6254 5580 7482
+rect 5644 6882 5672 7686
+rect 5736 7585 5764 8298
+rect 5828 7750 5856 8486
+rect 5920 7750 5948 11154
+rect 6288 10606 6316 11630
+rect 6472 11286 6500 13654
+rect 7472 13184 7524 13190
+rect 7472 13126 7524 13132
+rect 6568 13084 6864 13104
+rect 6624 13082 6648 13084
+rect 6704 13082 6728 13084
+rect 6784 13082 6808 13084
+rect 6646 13030 6648 13082
+rect 6710 13030 6722 13082
+rect 6784 13030 6786 13082
+rect 6624 13028 6648 13030
+rect 6704 13028 6728 13030
+rect 6784 13028 6808 13030
+rect 6568 13008 6864 13028
+rect 7484 12850 7512 13126
+rect 7472 12844 7524 12850
+rect 7472 12786 7524 12792
+rect 7104 12300 7156 12306
+rect 7104 12242 7156 12248
+rect 7288 12300 7340 12306
+rect 7288 12242 7340 12248
+rect 7472 12300 7524 12306
+rect 7472 12242 7524 12248
+rect 7116 12209 7144 12242
+rect 7102 12200 7158 12209
+rect 7102 12135 7158 12144
+rect 6568 11996 6864 12016
+rect 6624 11994 6648 11996
+rect 6704 11994 6728 11996
+rect 6784 11994 6808 11996
+rect 6646 11942 6648 11994
+rect 6710 11942 6722 11994
+rect 6784 11942 6786 11994
+rect 6624 11940 6648 11942
+rect 6704 11940 6728 11942
+rect 6784 11940 6808 11942
+rect 6568 11920 6864 11940
+rect 7116 11626 7144 12135
+rect 7196 12096 7248 12102
+rect 7196 12038 7248 12044
+rect 7104 11620 7156 11626
+rect 7104 11562 7156 11568
+rect 6460 11280 6512 11286
+rect 6460 11222 6512 11228
+rect 7012 11144 7064 11150
+rect 7012 11086 7064 11092
+rect 6568 10908 6864 10928
+rect 6624 10906 6648 10908
+rect 6704 10906 6728 10908
+rect 6784 10906 6808 10908
+rect 6646 10854 6648 10906
+rect 6710 10854 6722 10906
+rect 6784 10854 6786 10906
+rect 6624 10852 6648 10854
+rect 6704 10852 6728 10854
+rect 6784 10852 6808 10854
+rect 6568 10832 6864 10852
+rect 6276 10600 6328 10606
+rect 6276 10542 6328 10548
+rect 6288 10169 6316 10542
+rect 6274 10160 6330 10169
+rect 6000 10124 6052 10130
+rect 6274 10095 6330 10104
+rect 6000 10066 6052 10072
+rect 6012 8974 6040 10066
+rect 6568 9820 6864 9840
+rect 6624 9818 6648 9820
+rect 6704 9818 6728 9820
+rect 6784 9818 6808 9820
+rect 6646 9766 6648 9818
+rect 6710 9766 6722 9818
+rect 6784 9766 6786 9818
+rect 6624 9764 6648 9766
+rect 6704 9764 6728 9766
+rect 6784 9764 6808 9766
+rect 6568 9744 6864 9764
+rect 6920 9716 6972 9722
+rect 6920 9658 6972 9664
+rect 6092 9580 6144 9586
+rect 6092 9522 6144 9528
+rect 6184 9580 6236 9586
+rect 6184 9522 6236 9528
+rect 6368 9580 6420 9586
+rect 6368 9522 6420 9528
+rect 6000 8968 6052 8974
+rect 6000 8910 6052 8916
+rect 5998 8800 6054 8809
+rect 5998 8735 6054 8744
+rect 5816 7744 5868 7750
+rect 5816 7686 5868 7692
+rect 5908 7744 5960 7750
+rect 5908 7686 5960 7692
+rect 5722 7576 5778 7585
+rect 6012 7546 6040 8735
+rect 5722 7511 5778 7520
+rect 6000 7540 6052 7546
+rect 6000 7482 6052 7488
+rect 5816 7472 5868 7478
+rect 5998 7440 6054 7449
+rect 5868 7420 5948 7426
+rect 5816 7414 5948 7420
+rect 5828 7398 5948 7414
+rect 5816 7200 5868 7206
+rect 5816 7142 5868 7148
+rect 5644 6854 5764 6882
+rect 5632 6792 5684 6798
+rect 5632 6734 5684 6740
+rect 5540 6248 5592 6254
+rect 5540 6190 5592 6196
+rect 5540 5840 5592 5846
+rect 5540 5782 5592 5788
+rect 5448 5772 5500 5778
+rect 5448 5714 5500 5720
+rect 5356 4548 5408 4554
+rect 5356 4490 5408 4496
+rect 5460 3670 5488 5714
+rect 5552 4826 5580 5782
+rect 5540 4820 5592 4826
+rect 5540 4762 5592 4768
+rect 5540 4684 5592 4690
+rect 5540 4626 5592 4632
+rect 5552 3738 5580 4626
+rect 5644 4146 5672 6734
+rect 5736 5914 5764 6854
+rect 5828 6458 5856 7142
+rect 5816 6452 5868 6458
+rect 5816 6394 5868 6400
+rect 5816 6248 5868 6254
+rect 5816 6190 5868 6196
+rect 5724 5908 5776 5914
+rect 5724 5850 5776 5856
+rect 5828 5846 5856 6190
+rect 5816 5840 5868 5846
+rect 5816 5782 5868 5788
+rect 5724 5704 5776 5710
+rect 5724 5646 5776 5652
+rect 5632 4140 5684 4146
+rect 5632 4082 5684 4088
+rect 5736 4078 5764 5646
+rect 5920 5642 5948 7398
+rect 5998 7375 6054 7384
+rect 6012 5846 6040 7375
+rect 6104 6934 6132 9522
+rect 6196 8430 6224 9522
+rect 6184 8424 6236 8430
+rect 6184 8366 6236 8372
+rect 6380 7970 6408 9522
+rect 6828 9512 6880 9518
+rect 6828 9454 6880 9460
+rect 6840 8906 6868 9454
+rect 6828 8900 6880 8906
+rect 6828 8842 6880 8848
+rect 6568 8732 6864 8752
+rect 6624 8730 6648 8732
+rect 6704 8730 6728 8732
+rect 6784 8730 6808 8732
+rect 6646 8678 6648 8730
+rect 6710 8678 6722 8730
+rect 6784 8678 6786 8730
+rect 6624 8676 6648 8678
+rect 6704 8676 6728 8678
+rect 6784 8676 6808 8678
+rect 6568 8656 6864 8676
+rect 6826 8392 6882 8401
+rect 6826 8327 6882 8336
+rect 6380 7942 6500 7970
+rect 6840 7954 6868 8327
+rect 6368 7880 6420 7886
+rect 6368 7822 6420 7828
+rect 6184 7744 6236 7750
+rect 6184 7686 6236 7692
+rect 6092 6928 6144 6934
+rect 6092 6870 6144 6876
+rect 6090 6760 6146 6769
+rect 6090 6695 6146 6704
+rect 6104 6186 6132 6695
+rect 6092 6180 6144 6186
+rect 6092 6122 6144 6128
+rect 6000 5840 6052 5846
+rect 6000 5782 6052 5788
+rect 5816 5636 5868 5642
+rect 5816 5578 5868 5584
+rect 5908 5636 5960 5642
+rect 5908 5578 5960 5584
+rect 5828 4690 5856 5578
+rect 6104 5302 6132 6122
+rect 6092 5296 6144 5302
+rect 6092 5238 6144 5244
+rect 6196 5098 6224 7686
+rect 6276 7540 6328 7546
+rect 6276 7482 6328 7488
+rect 6184 5092 6236 5098
+rect 6184 5034 6236 5040
+rect 5816 4684 5868 4690
+rect 5816 4626 5868 4632
+rect 5908 4548 5960 4554
+rect 5908 4490 5960 4496
+rect 5724 4072 5776 4078
+rect 5724 4014 5776 4020
+rect 5540 3732 5592 3738
+rect 5540 3674 5592 3680
+rect 5448 3664 5500 3670
+rect 5448 3606 5500 3612
+rect 5920 3602 5948 4490
+rect 6288 3942 6316 7482
+rect 6276 3936 6328 3942
+rect 6276 3878 6328 3884
+rect 5264 3596 5316 3602
+rect 5264 3538 5316 3544
+rect 5908 3596 5960 3602
+rect 5908 3538 5960 3544
+rect 5276 3126 5304 3538
+rect 5264 3120 5316 3126
+rect 5264 3062 5316 3068
+rect 5080 2984 5132 2990
+rect 5080 2926 5132 2932
+rect 4697 2748 4993 2768
+rect 4753 2746 4777 2748
+rect 4833 2746 4857 2748
+rect 4913 2746 4937 2748
+rect 4775 2694 4777 2746
+rect 4839 2694 4851 2746
+rect 4913 2694 4915 2746
+rect 4753 2692 4777 2694
+rect 4833 2692 4857 2694
+rect 4913 2692 4937 2694
+rect 4697 2672 4993 2692
+rect 6380 1986 6408 7822
+rect 6472 6866 6500 7942
+rect 6828 7948 6880 7954
+rect 6828 7890 6880 7896
+rect 6568 7644 6864 7664
+rect 6624 7642 6648 7644
+rect 6704 7642 6728 7644
+rect 6784 7642 6808 7644
+rect 6646 7590 6648 7642
+rect 6710 7590 6722 7642
+rect 6784 7590 6786 7642
+rect 6624 7588 6648 7590
+rect 6704 7588 6728 7590
+rect 6784 7588 6808 7590
+rect 6568 7568 6864 7588
+rect 6550 7440 6606 7449
+rect 6550 7375 6606 7384
+rect 6564 7274 6592 7375
+rect 6552 7268 6604 7274
+rect 6552 7210 6604 7216
+rect 6460 6860 6512 6866
+rect 6460 6802 6512 6808
+rect 6564 6644 6592 7210
+rect 6642 7032 6698 7041
+rect 6642 6967 6698 6976
+rect 6656 6866 6684 6967
+rect 6644 6860 6696 6866
+rect 6644 6802 6696 6808
+rect 6472 6616 6592 6644
+rect 6472 5166 6500 6616
+rect 6568 6556 6864 6576
+rect 6624 6554 6648 6556
+rect 6704 6554 6728 6556
+rect 6784 6554 6808 6556
+rect 6646 6502 6648 6554
+rect 6710 6502 6722 6554
+rect 6784 6502 6786 6554
+rect 6624 6500 6648 6502
+rect 6704 6500 6728 6502
+rect 6784 6500 6808 6502
+rect 6568 6480 6864 6500
+rect 6826 6352 6882 6361
+rect 6826 6287 6882 6296
+rect 6734 6216 6790 6225
+rect 6734 6151 6790 6160
+rect 6748 5710 6776 6151
+rect 6840 5778 6868 6287
+rect 6828 5772 6880 5778
+rect 6828 5714 6880 5720
+rect 6736 5704 6788 5710
+rect 6736 5646 6788 5652
+rect 6568 5468 6864 5488
+rect 6624 5466 6648 5468
+rect 6704 5466 6728 5468
+rect 6784 5466 6808 5468
+rect 6646 5414 6648 5466
+rect 6710 5414 6722 5466
+rect 6784 5414 6786 5466
+rect 6624 5412 6648 5414
+rect 6704 5412 6728 5414
+rect 6784 5412 6808 5414
+rect 6568 5392 6864 5412
+rect 6932 5234 6960 9658
+rect 7024 9178 7052 11086
+rect 7116 10577 7144 11562
+rect 7102 10568 7158 10577
+rect 7102 10503 7158 10512
+rect 7208 10305 7236 12038
+rect 7194 10296 7250 10305
+rect 7194 10231 7250 10240
+rect 7300 10130 7328 12242
+rect 7484 11898 7512 12242
+rect 7472 11892 7524 11898
+rect 7472 11834 7524 11840
+rect 7760 11762 7788 14842
+rect 8772 12986 8800 14842
+rect 9586 14104 9642 14113
+rect 9586 14039 9642 14048
+rect 8760 12980 8812 12986
+rect 8760 12922 8812 12928
+rect 9496 12980 9548 12986
+rect 9496 12922 9548 12928
+rect 7932 12776 7984 12782
+rect 7932 12718 7984 12724
+rect 7472 11756 7524 11762
+rect 7472 11698 7524 11704
+rect 7748 11756 7800 11762
+rect 7748 11698 7800 11704
+rect 7484 11354 7512 11698
+rect 7944 11558 7972 12718
+rect 8438 12540 8734 12560
+rect 8494 12538 8518 12540
+rect 8574 12538 8598 12540
+rect 8654 12538 8678 12540
+rect 8516 12486 8518 12538
+rect 8580 12486 8592 12538
+rect 8654 12486 8656 12538
+rect 8494 12484 8518 12486
+rect 8574 12484 8598 12486
+rect 8654 12484 8678 12486
+rect 8438 12464 8734 12484
+rect 8208 12232 8260 12238
+rect 8206 12200 8208 12209
+rect 8260 12200 8262 12209
+rect 8206 12135 8262 12144
+rect 8944 11892 8996 11898
+rect 8944 11834 8996 11840
+rect 8024 11688 8076 11694
+rect 8024 11630 8076 11636
+rect 8300 11688 8352 11694
+rect 8300 11630 8352 11636
+rect 8852 11688 8904 11694
+rect 8852 11630 8904 11636
+rect 7932 11552 7984 11558
+rect 7932 11494 7984 11500
+rect 7472 11348 7524 11354
+rect 7472 11290 7524 11296
+rect 7380 11212 7432 11218
+rect 7380 11154 7432 11160
+rect 7104 10124 7156 10130
+rect 7104 10066 7156 10072
+rect 7288 10124 7340 10130
+rect 7288 10066 7340 10072
+rect 7012 9172 7064 9178
+rect 7012 9114 7064 9120
+rect 7010 9072 7066 9081
+rect 7010 9007 7066 9016
+rect 7024 8566 7052 9007
+rect 7012 8560 7064 8566
+rect 7012 8502 7064 8508
+rect 7116 8378 7144 10066
+rect 7196 9988 7248 9994
+rect 7196 9930 7248 9936
+rect 7208 9625 7236 9930
+rect 7194 9616 7250 9625
+rect 7194 9551 7250 9560
+rect 7196 9376 7248 9382
+rect 7194 9344 7196 9353
+rect 7248 9344 7250 9353
+rect 7194 9279 7250 9288
+rect 7300 9217 7328 10066
+rect 7392 9722 7420 11154
+rect 7484 9926 7512 11290
+rect 7656 11076 7708 11082
+rect 7656 11018 7708 11024
+rect 7564 10260 7616 10266
+rect 7564 10202 7616 10208
+rect 7472 9920 7524 9926
+rect 7472 9862 7524 9868
+rect 7380 9716 7432 9722
+rect 7380 9658 7432 9664
+rect 7576 9586 7604 10202
+rect 7564 9580 7616 9586
+rect 7564 9522 7616 9528
+rect 7472 9444 7524 9450
+rect 7472 9386 7524 9392
+rect 7286 9208 7342 9217
+rect 7286 9143 7342 9152
+rect 7196 9104 7248 9110
+rect 7196 9046 7248 9052
+rect 7208 8838 7236 9046
+rect 7380 8968 7432 8974
+rect 7380 8910 7432 8916
+rect 7196 8832 7248 8838
+rect 7196 8774 7248 8780
+rect 7288 8492 7340 8498
+rect 7288 8434 7340 8440
+rect 7024 8350 7144 8378
+rect 7024 8022 7052 8350
+rect 7104 8288 7156 8294
+rect 7104 8230 7156 8236
+rect 7012 8016 7064 8022
+rect 7012 7958 7064 7964
+rect 7010 7304 7066 7313
+rect 7010 7239 7066 7248
+rect 6920 5228 6972 5234
+rect 6920 5170 6972 5176
+rect 6460 5160 6512 5166
+rect 6460 5102 6512 5108
+rect 6568 4380 6864 4400
+rect 6624 4378 6648 4380
+rect 6704 4378 6728 4380
+rect 6784 4378 6808 4380
+rect 6646 4326 6648 4378
+rect 6710 4326 6722 4378
+rect 6784 4326 6786 4378
+rect 6624 4324 6648 4326
+rect 6704 4324 6728 4326
+rect 6784 4324 6808 4326
+rect 6568 4304 6864 4324
+rect 7024 3602 7052 7239
+rect 7116 5370 7144 8230
+rect 7196 7404 7248 7410
+rect 7196 7346 7248 7352
+rect 7104 5364 7156 5370
+rect 7104 5306 7156 5312
+rect 7208 5166 7236 7346
+rect 7300 6254 7328 8434
+rect 7392 7478 7420 8910
+rect 7380 7472 7432 7478
+rect 7380 7414 7432 7420
+rect 7380 7336 7432 7342
+rect 7380 7278 7432 7284
+rect 7392 6338 7420 7278
+rect 7484 6662 7512 9386
+rect 7564 8356 7616 8362
+rect 7564 8298 7616 8304
+rect 7576 7750 7604 8298
+rect 7668 7954 7696 11018
+rect 8036 10606 8064 11630
+rect 7840 10600 7892 10606
+rect 8024 10600 8076 10606
+rect 7892 10548 7972 10554
+rect 7840 10542 7972 10548
+rect 8024 10542 8076 10548
+rect 8116 10600 8168 10606
+rect 8116 10542 8168 10548
+rect 7748 10532 7800 10538
+rect 7852 10526 7972 10542
+rect 7748 10474 7800 10480
+rect 7656 7948 7708 7954
+rect 7656 7890 7708 7896
+rect 7564 7744 7616 7750
+rect 7564 7686 7616 7692
+rect 7472 6656 7524 6662
+rect 7472 6598 7524 6604
+rect 7470 6488 7526 6497
+rect 7470 6423 7472 6432
+rect 7524 6423 7526 6432
+rect 7472 6394 7524 6400
+rect 7392 6310 7512 6338
+rect 7288 6248 7340 6254
+rect 7288 6190 7340 6196
+rect 7484 6186 7512 6310
+rect 7472 6180 7524 6186
+rect 7472 6122 7524 6128
+rect 7380 6112 7432 6118
+rect 7380 6054 7432 6060
+rect 7196 5160 7248 5166
+rect 7196 5102 7248 5108
+rect 7288 4684 7340 4690
+rect 7288 4626 7340 4632
+rect 7300 4078 7328 4626
+rect 7288 4072 7340 4078
+rect 7288 4014 7340 4020
+rect 7392 3942 7420 6054
+rect 7380 3936 7432 3942
+rect 7380 3878 7432 3884
+rect 7484 3602 7512 6122
+rect 7576 4690 7604 7686
+rect 7564 4684 7616 4690
+rect 7564 4626 7616 4632
+rect 7668 4078 7696 7890
+rect 7760 6866 7788 10474
+rect 7840 10056 7892 10062
+rect 7840 9998 7892 10004
+rect 7852 7993 7880 9998
+rect 7838 7984 7894 7993
+rect 7838 7919 7894 7928
+rect 7840 7880 7892 7886
+rect 7840 7822 7892 7828
+rect 7852 7410 7880 7822
+rect 7840 7404 7892 7410
+rect 7840 7346 7892 7352
+rect 7838 7304 7894 7313
+rect 7838 7239 7840 7248
+rect 7892 7239 7894 7248
+rect 7840 7210 7892 7216
+rect 7748 6860 7800 6866
+rect 7748 6802 7800 6808
+rect 7748 6316 7800 6322
+rect 7748 6258 7800 6264
+rect 7760 4690 7788 6258
+rect 7852 5710 7880 7210
+rect 7944 6322 7972 10526
+rect 8036 10062 8064 10542
+rect 8024 10056 8076 10062
+rect 8024 9998 8076 10004
+rect 8128 9994 8156 10542
+rect 8208 10532 8260 10538
+rect 8208 10474 8260 10480
+rect 8116 9988 8168 9994
+rect 8116 9930 8168 9936
+rect 8128 8537 8156 9930
+rect 8114 8528 8170 8537
+rect 8024 8492 8076 8498
+rect 8114 8463 8170 8472
+rect 8024 8434 8076 8440
+rect 7932 6316 7984 6322
+rect 7932 6258 7984 6264
+rect 7840 5704 7892 5710
+rect 7840 5646 7892 5652
+rect 7748 4684 7800 4690
+rect 7748 4626 7800 4632
+rect 7656 4072 7708 4078
+rect 7656 4014 7708 4020
+rect 7012 3596 7064 3602
+rect 7012 3538 7064 3544
+rect 7472 3596 7524 3602
+rect 7472 3538 7524 3544
+rect 7012 3460 7064 3466
+rect 7012 3402 7064 3408
+rect 6568 3292 6864 3312
+rect 6624 3290 6648 3292
+rect 6704 3290 6728 3292
+rect 6784 3290 6808 3292
+rect 6646 3238 6648 3290
+rect 6710 3238 6722 3290
+rect 6784 3238 6786 3290
+rect 6624 3236 6648 3238
+rect 6704 3236 6728 3238
+rect 6784 3236 6808 3238
+rect 6568 3216 6864 3236
+rect 7024 2990 7052 3402
+rect 8036 2990 8064 8434
+rect 8116 8356 8168 8362
+rect 8116 8298 8168 8304
+rect 8128 8022 8156 8298
+rect 8116 8016 8168 8022
+rect 8116 7958 8168 7964
+rect 8220 7834 8248 10474
+rect 8312 10470 8340 11630
+rect 8438 11452 8734 11472
+rect 8494 11450 8518 11452
+rect 8574 11450 8598 11452
+rect 8654 11450 8678 11452
+rect 8516 11398 8518 11450
+rect 8580 11398 8592 11450
+rect 8654 11398 8656 11450
+rect 8494 11396 8518 11398
+rect 8574 11396 8598 11398
+rect 8654 11396 8678 11398
+rect 8438 11376 8734 11396
+rect 8760 10668 8812 10674
+rect 8760 10610 8812 10616
+rect 8300 10464 8352 10470
+rect 8300 10406 8352 10412
+rect 8312 8673 8340 10406
+rect 8438 10364 8734 10384
+rect 8494 10362 8518 10364
+rect 8574 10362 8598 10364
+rect 8654 10362 8678 10364
+rect 8516 10310 8518 10362
+rect 8580 10310 8592 10362
+rect 8654 10310 8656 10362
+rect 8494 10308 8518 10310
+rect 8574 10308 8598 10310
+rect 8654 10308 8678 10310
+rect 8438 10288 8734 10308
+rect 8576 10056 8628 10062
+rect 8574 10024 8576 10033
+rect 8628 10024 8630 10033
+rect 8574 9959 8630 9968
+rect 8576 9920 8628 9926
+rect 8576 9862 8628 9868
+rect 8588 9489 8616 9862
+rect 8574 9480 8630 9489
+rect 8574 9415 8630 9424
+rect 8438 9276 8734 9296
+rect 8494 9274 8518 9276
+rect 8574 9274 8598 9276
+rect 8654 9274 8678 9276
+rect 8516 9222 8518 9274
+rect 8580 9222 8592 9274
+rect 8654 9222 8656 9274
+rect 8494 9220 8518 9222
+rect 8574 9220 8598 9222
+rect 8654 9220 8678 9222
+rect 8438 9200 8734 9220
+rect 8298 8664 8354 8673
+rect 8298 8599 8354 8608
+rect 8300 8424 8352 8430
+rect 8300 8366 8352 8372
+rect 8128 7806 8248 7834
+rect 8128 5778 8156 7806
+rect 8206 7712 8262 7721
+rect 8206 7647 8262 7656
+rect 8220 7478 8248 7647
+rect 8208 7472 8260 7478
+rect 8208 7414 8260 7420
+rect 8208 7268 8260 7274
+rect 8208 7210 8260 7216
+rect 8116 5772 8168 5778
+rect 8116 5714 8168 5720
+rect 8116 5636 8168 5642
+rect 8116 5578 8168 5584
+rect 8128 3602 8156 5578
+rect 8116 3596 8168 3602
+rect 8116 3538 8168 3544
+rect 8220 2990 8248 7210
+rect 8312 3126 8340 8366
+rect 8438 8188 8734 8208
+rect 8494 8186 8518 8188
+rect 8574 8186 8598 8188
+rect 8654 8186 8678 8188
+rect 8516 8134 8518 8186
+rect 8580 8134 8592 8186
+rect 8654 8134 8656 8186
+rect 8494 8132 8518 8134
+rect 8574 8132 8598 8134
+rect 8654 8132 8678 8134
+rect 8438 8112 8734 8132
+rect 8772 7426 8800 10610
+rect 8864 9382 8892 11630
+rect 8956 9518 8984 11834
+rect 9128 11280 9180 11286
+rect 9128 11222 9180 11228
+rect 9404 11280 9456 11286
+rect 9404 11222 9456 11228
+rect 9036 10736 9088 10742
+rect 9036 10678 9088 10684
+rect 8944 9512 8996 9518
+rect 8944 9454 8996 9460
+rect 8852 9376 8904 9382
+rect 8852 9318 8904 9324
+rect 8864 9110 8892 9318
+rect 8956 9178 8984 9454
+rect 8944 9172 8996 9178
+rect 8944 9114 8996 9120
+rect 8852 9104 8904 9110
+rect 8852 9046 8904 9052
+rect 8680 7398 8800 7426
+rect 8680 7313 8708 7398
+rect 8760 7336 8812 7342
+rect 8666 7304 8722 7313
+rect 8760 7278 8812 7284
+rect 8666 7239 8722 7248
+rect 8438 7100 8734 7120
+rect 8494 7098 8518 7100
+rect 8574 7098 8598 7100
+rect 8654 7098 8678 7100
+rect 8516 7046 8518 7098
+rect 8580 7046 8592 7098
+rect 8654 7046 8656 7098
+rect 8494 7044 8518 7046
+rect 8574 7044 8598 7046
+rect 8654 7044 8678 7046
+rect 8438 7024 8734 7044
+rect 8392 6792 8444 6798
+rect 8392 6734 8444 6740
+rect 8404 6254 8432 6734
+rect 8576 6656 8628 6662
+rect 8576 6598 8628 6604
+rect 8588 6390 8616 6598
+rect 8772 6390 8800 7278
+rect 8864 6866 8892 9046
+rect 8852 6860 8904 6866
+rect 8852 6802 8904 6808
+rect 8850 6760 8906 6769
+rect 8850 6695 8906 6704
+rect 8576 6384 8628 6390
+rect 8576 6326 8628 6332
+rect 8760 6384 8812 6390
+rect 8760 6326 8812 6332
+rect 8392 6248 8444 6254
+rect 8392 6190 8444 6196
+rect 8438 6012 8734 6032
+rect 8494 6010 8518 6012
+rect 8574 6010 8598 6012
+rect 8654 6010 8678 6012
+rect 8516 5958 8518 6010
+rect 8580 5958 8592 6010
+rect 8654 5958 8656 6010
+rect 8494 5956 8518 5958
+rect 8574 5956 8598 5958
+rect 8654 5956 8678 5958
+rect 8438 5936 8734 5956
+rect 8864 5302 8892 6695
+rect 8956 6254 8984 9114
+rect 9048 9042 9076 10678
+rect 9036 9036 9088 9042
+rect 9036 8978 9088 8984
+rect 9048 8022 9076 8978
+rect 9036 8016 9088 8022
+rect 9036 7958 9088 7964
+rect 9036 7336 9088 7342
+rect 9036 7278 9088 7284
+rect 9048 6730 9076 7278
+rect 9036 6724 9088 6730
+rect 9036 6666 9088 6672
+rect 9036 6384 9088 6390
+rect 9036 6326 9088 6332
+rect 8944 6248 8996 6254
+rect 8944 6190 8996 6196
+rect 8852 5296 8904 5302
+rect 8666 5264 8722 5273
+rect 8852 5238 8904 5244
+rect 8666 5199 8722 5208
+rect 8680 5166 8708 5199
+rect 8668 5160 8720 5166
+rect 8668 5102 8720 5108
+rect 8944 5160 8996 5166
+rect 8944 5102 8996 5108
+rect 8438 4924 8734 4944
+rect 8494 4922 8518 4924
+rect 8574 4922 8598 4924
+rect 8654 4922 8678 4924
+rect 8516 4870 8518 4922
+rect 8580 4870 8592 4922
+rect 8654 4870 8656 4922
+rect 8494 4868 8518 4870
+rect 8574 4868 8598 4870
+rect 8654 4868 8678 4870
+rect 8438 4848 8734 4868
+rect 8956 4826 8984 5102
+rect 8944 4820 8996 4826
+rect 8944 4762 8996 4768
+rect 8850 4176 8906 4185
+rect 8850 4111 8906 4120
+rect 8864 4010 8892 4111
+rect 9048 4078 9076 6326
+rect 9140 5778 9168 11222
+rect 9312 11212 9364 11218
+rect 9312 11154 9364 11160
+rect 9220 10056 9272 10062
+rect 9220 9998 9272 10004
+rect 9128 5772 9180 5778
+rect 9128 5714 9180 5720
+rect 9232 4758 9260 9998
+rect 9324 5642 9352 11154
+rect 9416 10538 9444 11222
+rect 9508 11150 9536 12922
+rect 9600 12782 9628 14039
+rect 9588 12776 9640 12782
+rect 9588 12718 9640 12724
+rect 9600 12442 9628 12718
+rect 9680 12708 9732 12714
+rect 9680 12650 9732 12656
+rect 9588 12436 9640 12442
+rect 9588 12378 9640 12384
+rect 9588 11892 9640 11898
+rect 9588 11834 9640 11840
+rect 9496 11144 9548 11150
+rect 9496 11086 9548 11092
+rect 9496 11008 9548 11014
+rect 9496 10950 9548 10956
+rect 9404 10532 9456 10538
+rect 9404 10474 9456 10480
+rect 9404 9036 9456 9042
+rect 9404 8978 9456 8984
+rect 9416 8838 9444 8978
+rect 9404 8832 9456 8838
+rect 9404 8774 9456 8780
+rect 9508 7970 9536 10950
+rect 9416 7942 9536 7970
+rect 9416 6390 9444 7942
+rect 9496 7880 9548 7886
+rect 9496 7822 9548 7828
+rect 9404 6384 9456 6390
+rect 9404 6326 9456 6332
+rect 9312 5636 9364 5642
+rect 9312 5578 9364 5584
+rect 9220 4752 9272 4758
+rect 9220 4694 9272 4700
+rect 9324 4146 9352 5578
+rect 9404 5092 9456 5098
+rect 9404 5034 9456 5040
+rect 9416 4758 9444 5034
+rect 9404 4752 9456 4758
+rect 9404 4694 9456 4700
+rect 9312 4140 9364 4146
+rect 9312 4082 9364 4088
+rect 9404 4140 9456 4146
+rect 9404 4082 9456 4088
+rect 9036 4072 9088 4078
+rect 9036 4014 9088 4020
+rect 8852 4004 8904 4010
+rect 8852 3946 8904 3952
+rect 8438 3836 8734 3856
+rect 8494 3834 8518 3836
+rect 8574 3834 8598 3836
+rect 8654 3834 8678 3836
+rect 8516 3782 8518 3834
+rect 8580 3782 8592 3834
+rect 8654 3782 8656 3834
+rect 8494 3780 8518 3782
+rect 8574 3780 8598 3782
+rect 8654 3780 8678 3782
+rect 8438 3760 8734 3780
+rect 8300 3120 8352 3126
+rect 8300 3062 8352 3068
+rect 7012 2984 7064 2990
+rect 7012 2926 7064 2932
+rect 8024 2984 8076 2990
+rect 8024 2926 8076 2932
+rect 8208 2984 8260 2990
+rect 8208 2926 8260 2932
+rect 8438 2748 8734 2768
+rect 8494 2746 8518 2748
+rect 8574 2746 8598 2748
+rect 8654 2746 8678 2748
+rect 8516 2694 8518 2746
+rect 8580 2694 8592 2746
+rect 8654 2694 8656 2746
+rect 8494 2692 8518 2694
+rect 8574 2692 8598 2694
+rect 8654 2692 8678 2694
+rect 8438 2672 8734 2692
+rect 6568 2204 6864 2224
+rect 6624 2202 6648 2204
+rect 6704 2202 6728 2204
+rect 6784 2202 6808 2204
+rect 6646 2150 6648 2202
+rect 6710 2150 6722 2202
+rect 6784 2150 6786 2202
+rect 6624 2148 6648 2150
+rect 6704 2148 6728 2150
+rect 6784 2148 6808 2150
+rect 6568 2128 6864 2148
+rect 6380 1958 6684 1986
+rect 6656 800 6684 1958
+rect 9416 800 9444 4082
+rect 9508 2854 9536 7822
+rect 9600 7818 9628 11834
+rect 9692 11558 9720 12650
+rect 9784 11626 9812 14842
+rect 10309 13084 10605 13104
+rect 10365 13082 10389 13084
+rect 10445 13082 10469 13084
+rect 10525 13082 10549 13084
+rect 10387 13030 10389 13082
+rect 10451 13030 10463 13082
+rect 10525 13030 10527 13082
+rect 10365 13028 10389 13030
+rect 10445 13028 10469 13030
+rect 10525 13028 10549 13030
+rect 10309 13008 10605 13028
+rect 10692 12980 10744 12986
+rect 10692 12922 10744 12928
+rect 9864 12844 9916 12850
+rect 9864 12786 9916 12792
+rect 9772 11620 9824 11626
+rect 9772 11562 9824 11568
+rect 9680 11552 9732 11558
+rect 9680 11494 9732 11500
+rect 9772 11348 9824 11354
+rect 9772 11290 9824 11296
+rect 9680 11144 9732 11150
+rect 9680 11086 9732 11092
+rect 9692 8673 9720 11086
+rect 9784 10418 9812 11290
+rect 9876 10538 9904 12786
+rect 10704 12782 10732 12922
+rect 10888 12918 10916 14842
+rect 11900 12986 11928 14842
+rect 11888 12980 11940 12986
+rect 11888 12922 11940 12928
+rect 10876 12912 10928 12918
+rect 10876 12854 10928 12860
+rect 10692 12776 10744 12782
+rect 10692 12718 10744 12724
+rect 10876 12776 10928 12782
+rect 10876 12718 10928 12724
+rect 10704 12374 10732 12718
+rect 10692 12368 10744 12374
+rect 10692 12310 10744 12316
+rect 10309 11996 10605 12016
+rect 10365 11994 10389 11996
+rect 10445 11994 10469 11996
+rect 10525 11994 10549 11996
+rect 10387 11942 10389 11994
+rect 10451 11942 10463 11994
+rect 10525 11942 10527 11994
+rect 10365 11940 10389 11942
+rect 10445 11940 10469 11942
+rect 10525 11940 10549 11942
+rect 10309 11920 10605 11940
+rect 10048 11824 10100 11830
+rect 10048 11766 10100 11772
+rect 9956 11688 10008 11694
+rect 9956 11630 10008 11636
+rect 9968 11354 9996 11630
+rect 9956 11348 10008 11354
+rect 9956 11290 10008 11296
+rect 9956 11076 10008 11082
+rect 9956 11018 10008 11024
+rect 9864 10532 9916 10538
+rect 9864 10474 9916 10480
+rect 9784 10390 9904 10418
+rect 9876 10130 9904 10390
+rect 9864 10124 9916 10130
+rect 9864 10066 9916 10072
+rect 9772 8832 9824 8838
+rect 9772 8774 9824 8780
+rect 9678 8664 9734 8673
+rect 9784 8634 9812 8774
+rect 9678 8599 9734 8608
+rect 9772 8628 9824 8634
+rect 9772 8570 9824 8576
+rect 9876 8566 9904 10066
+rect 9968 9042 9996 11018
+rect 9956 9036 10008 9042
+rect 9956 8978 10008 8984
+rect 9864 8560 9916 8566
+rect 9864 8502 9916 8508
+rect 9772 8492 9824 8498
+rect 9772 8434 9824 8440
+rect 9588 7812 9640 7818
+rect 9588 7754 9640 7760
+rect 9600 6866 9628 7754
+rect 9678 6896 9734 6905
+rect 9588 6860 9640 6866
+rect 9678 6831 9680 6840
+rect 9588 6802 9640 6808
+rect 9732 6831 9734 6840
+rect 9680 6802 9732 6808
+rect 9784 6746 9812 8434
+rect 10060 8378 10088 11766
+rect 10784 11688 10836 11694
+rect 10784 11630 10836 11636
+rect 10692 11620 10744 11626
+rect 10692 11562 10744 11568
+rect 10704 11218 10732 11562
+rect 10692 11212 10744 11218
+rect 10692 11154 10744 11160
+rect 10692 11076 10744 11082
+rect 10692 11018 10744 11024
+rect 10704 10985 10732 11018
+rect 10690 10976 10746 10985
+rect 10309 10908 10605 10928
+rect 10690 10911 10746 10920
+rect 10365 10906 10389 10908
+rect 10445 10906 10469 10908
+rect 10525 10906 10549 10908
+rect 10387 10854 10389 10906
+rect 10451 10854 10463 10906
+rect 10525 10854 10527 10906
+rect 10365 10852 10389 10854
+rect 10445 10852 10469 10854
+rect 10525 10852 10549 10854
+rect 10309 10832 10605 10852
+rect 10232 10600 10284 10606
+rect 10232 10542 10284 10548
+rect 10140 10532 10192 10538
+rect 10140 10474 10192 10480
+rect 10152 9518 10180 10474
+rect 10140 9512 10192 9518
+rect 10140 9454 10192 9460
+rect 9600 6718 9812 6746
+rect 9876 8350 10088 8378
+rect 9600 6458 9628 6718
+rect 9772 6656 9824 6662
+rect 9772 6598 9824 6604
+rect 9588 6452 9640 6458
+rect 9588 6394 9640 6400
+rect 9600 6338 9628 6394
+rect 9600 6310 9720 6338
+rect 9588 6248 9640 6254
+rect 9588 6190 9640 6196
+rect 9600 5846 9628 6190
+rect 9588 5840 9640 5846
+rect 9588 5782 9640 5788
+rect 9692 5234 9720 6310
+rect 9784 6254 9812 6598
+rect 9772 6248 9824 6254
+rect 9772 6190 9824 6196
+rect 9876 6066 9904 8350
+rect 9956 8288 10008 8294
+rect 9956 8230 10008 8236
+rect 10048 8288 10100 8294
+rect 10048 8230 10100 8236
+rect 9968 8090 9996 8230
+rect 9956 8084 10008 8090
+rect 9956 8026 10008 8032
+rect 9968 7750 9996 8026
+rect 9956 7744 10008 7750
+rect 9956 7686 10008 7692
+rect 9956 7404 10008 7410
+rect 9956 7346 10008 7352
+rect 9784 6038 9904 6066
+rect 9784 5778 9812 6038
+rect 9772 5772 9824 5778
+rect 9772 5714 9824 5720
+rect 9784 5370 9812 5714
+rect 9772 5364 9824 5370
+rect 9772 5306 9824 5312
+rect 9680 5228 9732 5234
+rect 9680 5170 9732 5176
+rect 9692 4146 9720 5170
+rect 9968 4758 9996 7346
+rect 10060 5098 10088 8230
+rect 10152 7834 10180 9454
+rect 10244 8022 10272 10542
+rect 10309 9820 10605 9840
+rect 10365 9818 10389 9820
+rect 10445 9818 10469 9820
+rect 10525 9818 10549 9820
+rect 10387 9766 10389 9818
+rect 10451 9766 10463 9818
+rect 10525 9766 10527 9818
+rect 10365 9764 10389 9766
+rect 10445 9764 10469 9766
+rect 10525 9764 10549 9766
+rect 10309 9744 10605 9764
+rect 10796 9586 10824 11630
+rect 10784 9580 10836 9586
+rect 10784 9522 10836 9528
+rect 10692 9444 10744 9450
+rect 10888 9432 10916 12718
+rect 11152 11144 11204 11150
+rect 11152 11086 11204 11092
+rect 10968 11076 11020 11082
+rect 10968 11018 11020 11024
+rect 10744 9404 10916 9432
+rect 10692 9386 10744 9392
+rect 10309 8732 10605 8752
+rect 10365 8730 10389 8732
+rect 10445 8730 10469 8732
+rect 10525 8730 10549 8732
+rect 10387 8678 10389 8730
+rect 10451 8678 10463 8730
+rect 10525 8678 10527 8730
+rect 10365 8676 10389 8678
+rect 10445 8676 10469 8678
+rect 10525 8676 10549 8678
+rect 10309 8656 10605 8676
+rect 10232 8016 10284 8022
+rect 10232 7958 10284 7964
+rect 10152 7806 10272 7834
+rect 10140 7744 10192 7750
+rect 10140 7686 10192 7692
+rect 10152 7410 10180 7686
+rect 10140 7404 10192 7410
+rect 10140 7346 10192 7352
+rect 10140 7200 10192 7206
+rect 10140 7142 10192 7148
+rect 10152 5166 10180 7142
+rect 10244 6322 10272 7806
+rect 10309 7644 10605 7664
+rect 10365 7642 10389 7644
+rect 10445 7642 10469 7644
+rect 10525 7642 10549 7644
+rect 10387 7590 10389 7642
+rect 10451 7590 10463 7642
+rect 10525 7590 10527 7642
+rect 10365 7588 10389 7590
+rect 10445 7588 10469 7590
+rect 10525 7588 10549 7590
+rect 10309 7568 10605 7588
+rect 10309 6556 10605 6576
+rect 10365 6554 10389 6556
+rect 10445 6554 10469 6556
+rect 10525 6554 10549 6556
+rect 10387 6502 10389 6554
+rect 10451 6502 10463 6554
+rect 10525 6502 10527 6554
+rect 10365 6500 10389 6502
+rect 10445 6500 10469 6502
+rect 10525 6500 10549 6502
+rect 10309 6480 10605 6500
+rect 10322 6352 10378 6361
+rect 10232 6316 10284 6322
+rect 10322 6287 10378 6296
+rect 10232 6258 10284 6264
+rect 10336 6254 10364 6287
+rect 10324 6248 10376 6254
+rect 10324 6190 10376 6196
+rect 10704 5778 10732 9386
+rect 10980 9364 11008 11018
+rect 11164 10674 11192 11086
+rect 12912 11082 12940 14842
+rect 12900 11076 12952 11082
+rect 12900 11018 12952 11024
+rect 11152 10668 11204 10674
+rect 11152 10610 11204 10616
+rect 10888 9336 11008 9364
+rect 10784 9036 10836 9042
+rect 10784 8978 10836 8984
+rect 10796 8362 10824 8978
+rect 10784 8356 10836 8362
+rect 10784 8298 10836 8304
+rect 10784 7744 10836 7750
+rect 10784 7686 10836 7692
+rect 10796 6934 10824 7686
+rect 10888 7342 10916 9336
+rect 11060 7948 11112 7954
+rect 11060 7890 11112 7896
+rect 10966 7848 11022 7857
+rect 10966 7783 11022 7792
+rect 10876 7336 10928 7342
+rect 10876 7278 10928 7284
+rect 10784 6928 10836 6934
+rect 10784 6870 10836 6876
+rect 10692 5772 10744 5778
+rect 10692 5714 10744 5720
+rect 10309 5468 10605 5488
+rect 10365 5466 10389 5468
+rect 10445 5466 10469 5468
+rect 10525 5466 10549 5468
+rect 10387 5414 10389 5466
+rect 10451 5414 10463 5466
+rect 10525 5414 10527 5466
+rect 10365 5412 10389 5414
+rect 10445 5412 10469 5414
+rect 10525 5412 10549 5414
+rect 10309 5392 10605 5412
+rect 10140 5160 10192 5166
+rect 10140 5102 10192 5108
+rect 10048 5092 10100 5098
+rect 10048 5034 10100 5040
+rect 9956 4752 10008 4758
+rect 10796 4729 10824 6870
+rect 10876 6860 10928 6866
+rect 10876 6802 10928 6808
+rect 10888 6186 10916 6802
+rect 10876 6180 10928 6186
+rect 10876 6122 10928 6128
+rect 10980 5778 11008 7783
+rect 11072 7546 11100 7890
+rect 11060 7540 11112 7546
+rect 11060 7482 11112 7488
+rect 11058 6896 11114 6905
+rect 11058 6831 11060 6840
+rect 11112 6831 11114 6840
+rect 11060 6802 11112 6808
+rect 11164 6798 11192 10610
+rect 11244 9580 11296 9586
+rect 11244 9522 11296 9528
+rect 11152 6792 11204 6798
+rect 11152 6734 11204 6740
+rect 10968 5772 11020 5778
+rect 10968 5714 11020 5720
+rect 9956 4694 10008 4700
+rect 10782 4720 10838 4729
+rect 10782 4655 10838 4664
+rect 10309 4380 10605 4400
+rect 10365 4378 10389 4380
+rect 10445 4378 10469 4380
+rect 10525 4378 10549 4380
+rect 10387 4326 10389 4378
+rect 10451 4326 10463 4378
+rect 10525 4326 10527 4378
+rect 10365 4324 10389 4326
+rect 10445 4324 10469 4326
+rect 10525 4324 10549 4326
+rect 10309 4304 10605 4324
+rect 9680 4140 9732 4146
+rect 9680 4082 9732 4088
+rect 11256 3670 11284 9522
+rect 12072 8356 12124 8362
+rect 12072 8298 12124 8304
+rect 11244 3664 11296 3670
+rect 11244 3606 11296 3612
+rect 10309 3292 10605 3312
+rect 10365 3290 10389 3292
+rect 10445 3290 10469 3292
+rect 10525 3290 10549 3292
+rect 10387 3238 10389 3290
+rect 10451 3238 10463 3290
+rect 10525 3238 10527 3290
+rect 10365 3236 10389 3238
+rect 10445 3236 10469 3238
+rect 10525 3236 10549 3238
+rect 10309 3216 10605 3236
+rect 9496 2848 9548 2854
+rect 9496 2790 9548 2796
+rect 11152 2848 11204 2854
+rect 11152 2790 11204 2796
+rect 10309 2204 10605 2224
+rect 10365 2202 10389 2204
+rect 10445 2202 10469 2204
+rect 10525 2202 10549 2204
+rect 10387 2150 10389 2202
+rect 10451 2150 10463 2202
+rect 10525 2150 10527 2202
+rect 10365 2148 10389 2150
+rect 10445 2148 10469 2150
+rect 10525 2148 10549 2150
+rect 10309 2128 10605 2148
+rect 11164 1601 11192 2790
+rect 11150 1592 11206 1601
+rect 11150 1527 11206 1536
+rect 12084 800 12112 8298
+rect 1306 0 1362 800
+rect 3974 0 4030 800
+rect 6642 0 6698 800
+rect 9402 0 9458 800
+rect 12070 0 12126 800
+<< via2 >>
+rect 1398 12724 1400 12744
+rect 1400 12724 1452 12744
+rect 1452 12724 1454 12744
+rect 1398 12688 1454 12724
+rect 2826 13082 2882 13084
+rect 2906 13082 2962 13084
+rect 2986 13082 3042 13084
+rect 3066 13082 3122 13084
+rect 2826 13030 2852 13082
+rect 2852 13030 2882 13082
+rect 2906 13030 2916 13082
+rect 2916 13030 2962 13082
+rect 2986 13030 3032 13082
+rect 3032 13030 3042 13082
+rect 3066 13030 3096 13082
+rect 3096 13030 3122 13082
+rect 2826 13028 2882 13030
+rect 2906 13028 2962 13030
+rect 2986 13028 3042 13030
+rect 3066 13028 3122 13030
+rect 3330 12824 3386 12880
+rect 2826 11994 2882 11996
+rect 2906 11994 2962 11996
+rect 2986 11994 3042 11996
+rect 3066 11994 3122 11996
+rect 2826 11942 2852 11994
+rect 2852 11942 2882 11994
+rect 2906 11942 2916 11994
+rect 2916 11942 2962 11994
+rect 2986 11942 3032 11994
+rect 3032 11942 3042 11994
+rect 3066 11942 3096 11994
+rect 3096 11942 3122 11994
+rect 2826 11940 2882 11942
+rect 2906 11940 2962 11942
+rect 2986 11940 3042 11942
+rect 3066 11940 3122 11942
+rect 1674 7948 1730 7984
+rect 1674 7928 1676 7948
+rect 1676 7928 1728 7948
+rect 1728 7928 1730 7948
+rect 2042 9424 2098 9480
+rect 2826 10906 2882 10908
+rect 2906 10906 2962 10908
+rect 2986 10906 3042 10908
+rect 3066 10906 3122 10908
+rect 2826 10854 2852 10906
+rect 2852 10854 2882 10906
+rect 2906 10854 2916 10906
+rect 2916 10854 2962 10906
+rect 2986 10854 3032 10906
+rect 3032 10854 3042 10906
+rect 3066 10854 3096 10906
+rect 3096 10854 3122 10906
+rect 2826 10852 2882 10854
+rect 2906 10852 2962 10854
+rect 2986 10852 3042 10854
+rect 3066 10852 3122 10854
+rect 2826 9818 2882 9820
+rect 2906 9818 2962 9820
+rect 2986 9818 3042 9820
+rect 3066 9818 3122 9820
+rect 2826 9766 2852 9818
+rect 2852 9766 2882 9818
+rect 2906 9766 2916 9818
+rect 2916 9766 2962 9818
+rect 2986 9766 3032 9818
+rect 3032 9766 3042 9818
+rect 3066 9766 3096 9818
+rect 3096 9766 3122 9818
+rect 2826 9764 2882 9766
+rect 2906 9764 2962 9766
+rect 2986 9764 3042 9766
+rect 3066 9764 3122 9766
+rect 1858 8336 1914 8392
+rect 2318 7268 2374 7304
+rect 2318 7248 2320 7268
+rect 2320 7248 2372 7268
+rect 2372 7248 2374 7268
+rect 2826 8730 2882 8732
+rect 2906 8730 2962 8732
+rect 2986 8730 3042 8732
+rect 3066 8730 3122 8732
+rect 2826 8678 2852 8730
+rect 2852 8678 2882 8730
+rect 2906 8678 2916 8730
+rect 2916 8678 2962 8730
+rect 2986 8678 3032 8730
+rect 3032 8678 3042 8730
+rect 3066 8678 3096 8730
+rect 3096 8678 3122 8730
+rect 2826 8676 2882 8678
+rect 2906 8676 2962 8678
+rect 2986 8676 3042 8678
+rect 3066 8676 3122 8678
+rect 3146 7792 3202 7848
+rect 2826 7642 2882 7644
+rect 2906 7642 2962 7644
+rect 2986 7642 3042 7644
+rect 3066 7642 3122 7644
+rect 2826 7590 2852 7642
+rect 2852 7590 2882 7642
+rect 2906 7590 2916 7642
+rect 2916 7590 2962 7642
+rect 2986 7590 3032 7642
+rect 3032 7590 3042 7642
+rect 3066 7590 3096 7642
+rect 3096 7590 3122 7642
+rect 2826 7588 2882 7590
+rect 2906 7588 2962 7590
+rect 2986 7588 3042 7590
+rect 3066 7588 3122 7590
+rect 2826 6554 2882 6556
+rect 2906 6554 2962 6556
+rect 2986 6554 3042 6556
+rect 3066 6554 3122 6556
+rect 2826 6502 2852 6554
+rect 2852 6502 2882 6554
+rect 2906 6502 2916 6554
+rect 2916 6502 2962 6554
+rect 2986 6502 3032 6554
+rect 3032 6502 3042 6554
+rect 3066 6502 3096 6554
+rect 3096 6502 3122 6554
+rect 2826 6500 2882 6502
+rect 2906 6500 2962 6502
+rect 2986 6500 3042 6502
+rect 3066 6500 3122 6502
+rect 3790 10648 3846 10704
+rect 3514 8744 3570 8800
+rect 3790 10512 3846 10568
+rect 4066 9560 4122 9616
+rect 3974 9016 4030 9072
+rect 4697 12538 4753 12540
+rect 4777 12538 4833 12540
+rect 4857 12538 4913 12540
+rect 4937 12538 4993 12540
+rect 4697 12486 4723 12538
+rect 4723 12486 4753 12538
+rect 4777 12486 4787 12538
+rect 4787 12486 4833 12538
+rect 4857 12486 4903 12538
+rect 4903 12486 4913 12538
+rect 4937 12486 4967 12538
+rect 4967 12486 4993 12538
+rect 4697 12484 4753 12486
+rect 4777 12484 4833 12486
+rect 4857 12484 4913 12486
+rect 4937 12484 4993 12486
+rect 4697 11450 4753 11452
+rect 4777 11450 4833 11452
+rect 4857 11450 4913 11452
+rect 4937 11450 4993 11452
+rect 4697 11398 4723 11450
+rect 4723 11398 4753 11450
+rect 4777 11398 4787 11450
+rect 4787 11398 4833 11450
+rect 4857 11398 4903 11450
+rect 4903 11398 4913 11450
+rect 4937 11398 4967 11450
+rect 4967 11398 4993 11450
+rect 4697 11396 4753 11398
+rect 4777 11396 4833 11398
+rect 4857 11396 4913 11398
+rect 4937 11396 4993 11398
+rect 4066 7792 4122 7848
+rect 2826 5466 2882 5468
+rect 2906 5466 2962 5468
+rect 2986 5466 3042 5468
+rect 3066 5466 3122 5468
+rect 2826 5414 2852 5466
+rect 2852 5414 2882 5466
+rect 2906 5414 2916 5466
+rect 2916 5414 2962 5466
+rect 2986 5414 3032 5466
+rect 3032 5414 3042 5466
+rect 3066 5414 3096 5466
+rect 3096 5414 3122 5466
+rect 2826 5412 2882 5414
+rect 2906 5412 2962 5414
+rect 2986 5412 3042 5414
+rect 3066 5412 3122 5414
+rect 2826 4378 2882 4380
+rect 2906 4378 2962 4380
+rect 2986 4378 3042 4380
+rect 3066 4378 3122 4380
+rect 2826 4326 2852 4378
+rect 2852 4326 2882 4378
+rect 2906 4326 2916 4378
+rect 2916 4326 2962 4378
+rect 2986 4326 3032 4378
+rect 3032 4326 3042 4378
+rect 3066 4326 3096 4378
+rect 3096 4326 3122 4378
+rect 2826 4324 2882 4326
+rect 2906 4324 2962 4326
+rect 2986 4324 3042 4326
+rect 3066 4324 3122 4326
+rect 2826 3290 2882 3292
+rect 2906 3290 2962 3292
+rect 2986 3290 3042 3292
+rect 3066 3290 3122 3292
+rect 2826 3238 2852 3290
+rect 2852 3238 2882 3290
+rect 2906 3238 2916 3290
+rect 2916 3238 2962 3290
+rect 2986 3238 3032 3290
+rect 3032 3238 3042 3290
+rect 3066 3238 3096 3290
+rect 3096 3238 3122 3290
+rect 2826 3236 2882 3238
+rect 2906 3236 2962 3238
+rect 2986 3236 3042 3238
+rect 3066 3236 3122 3238
+rect 1766 2624 1822 2680
+rect 2826 2202 2882 2204
+rect 2906 2202 2962 2204
+rect 2986 2202 3042 2204
+rect 3066 2202 3122 2204
+rect 2826 2150 2852 2202
+rect 2852 2150 2882 2202
+rect 2906 2150 2916 2202
+rect 2916 2150 2962 2202
+rect 2986 2150 3032 2202
+rect 3032 2150 3042 2202
+rect 3066 2150 3096 2202
+rect 3096 2150 3122 2202
+rect 2826 2148 2882 2150
+rect 2906 2148 2962 2150
+rect 2986 2148 3042 2150
+rect 3066 2148 3122 2150
+rect 4710 10548 4712 10568
+rect 4712 10548 4764 10568
+rect 4764 10548 4766 10568
+rect 4710 10512 4766 10548
+rect 4697 10362 4753 10364
+rect 4777 10362 4833 10364
+rect 4857 10362 4913 10364
+rect 4937 10362 4993 10364
+rect 4697 10310 4723 10362
+rect 4723 10310 4753 10362
+rect 4777 10310 4787 10362
+rect 4787 10310 4833 10362
+rect 4857 10310 4903 10362
+rect 4903 10310 4913 10362
+rect 4937 10310 4967 10362
+rect 4967 10310 4993 10362
+rect 4697 10308 4753 10310
+rect 4777 10308 4833 10310
+rect 4857 10308 4913 10310
+rect 4937 10308 4993 10310
+rect 5446 11872 5502 11928
+rect 5170 9968 5226 10024
+rect 5078 9696 5134 9752
+rect 4697 9274 4753 9276
+rect 4777 9274 4833 9276
+rect 4857 9274 4913 9276
+rect 4937 9274 4993 9276
+rect 4697 9222 4723 9274
+rect 4723 9222 4753 9274
+rect 4777 9222 4787 9274
+rect 4787 9222 4833 9274
+rect 4857 9222 4903 9274
+rect 4903 9222 4913 9274
+rect 4937 9222 4967 9274
+rect 4967 9222 4993 9274
+rect 4697 9220 4753 9222
+rect 4777 9220 4833 9222
+rect 4857 9220 4913 9222
+rect 4937 9220 4993 9222
+rect 4986 8336 5042 8392
+rect 4697 8186 4753 8188
+rect 4777 8186 4833 8188
+rect 4857 8186 4913 8188
+rect 4937 8186 4993 8188
+rect 4697 8134 4723 8186
+rect 4723 8134 4753 8186
+rect 4777 8134 4787 8186
+rect 4787 8134 4833 8186
+rect 4857 8134 4903 8186
+rect 4903 8134 4913 8186
+rect 4937 8134 4967 8186
+rect 4967 8134 4993 8186
+rect 4697 8132 4753 8134
+rect 4777 8132 4833 8134
+rect 4857 8132 4913 8134
+rect 4937 8132 4993 8134
+rect 4710 7520 4766 7576
+rect 4986 7384 5042 7440
+rect 4697 7098 4753 7100
+rect 4777 7098 4833 7100
+rect 4857 7098 4913 7100
+rect 4937 7098 4993 7100
+rect 4697 7046 4723 7098
+rect 4723 7046 4753 7098
+rect 4777 7046 4787 7098
+rect 4787 7046 4833 7098
+rect 4857 7046 4903 7098
+rect 4903 7046 4913 7098
+rect 4937 7046 4967 7098
+rect 4967 7046 4993 7098
+rect 4697 7044 4753 7046
+rect 4777 7044 4833 7046
+rect 4857 7044 4913 7046
+rect 4937 7044 4993 7046
+rect 4697 6010 4753 6012
+rect 4777 6010 4833 6012
+rect 4857 6010 4913 6012
+rect 4937 6010 4993 6012
+rect 4697 5958 4723 6010
+rect 4723 5958 4753 6010
+rect 4777 5958 4787 6010
+rect 4787 5958 4833 6010
+rect 4857 5958 4903 6010
+rect 4903 5958 4913 6010
+rect 4937 5958 4967 6010
+rect 4967 5958 4993 6010
+rect 4697 5956 4753 5958
+rect 4777 5956 4833 5958
+rect 4857 5956 4913 5958
+rect 4937 5956 4993 5958
+rect 5538 9832 5594 9888
+rect 5630 9460 5632 9480
+rect 5632 9460 5684 9480
+rect 5684 9460 5686 9480
+rect 5630 9424 5686 9460
+rect 5814 9052 5816 9072
+rect 5816 9052 5868 9072
+rect 5868 9052 5870 9072
+rect 5814 9016 5870 9052
+rect 5722 8880 5778 8936
+rect 5630 7928 5686 7984
+rect 4710 5616 4766 5672
+rect 4697 4922 4753 4924
+rect 4777 4922 4833 4924
+rect 4857 4922 4913 4924
+rect 4937 4922 4993 4924
+rect 4697 4870 4723 4922
+rect 4723 4870 4753 4922
+rect 4777 4870 4787 4922
+rect 4787 4870 4833 4922
+rect 4857 4870 4903 4922
+rect 4903 4870 4913 4922
+rect 4937 4870 4967 4922
+rect 4967 4870 4993 4922
+rect 4697 4868 4753 4870
+rect 4777 4868 4833 4870
+rect 4857 4868 4913 4870
+rect 4937 4868 4993 4870
+rect 4697 3834 4753 3836
+rect 4777 3834 4833 3836
+rect 4857 3834 4913 3836
+rect 4937 3834 4993 3836
+rect 4697 3782 4723 3834
+rect 4723 3782 4753 3834
+rect 4777 3782 4787 3834
+rect 4787 3782 4833 3834
+rect 4857 3782 4903 3834
+rect 4903 3782 4913 3834
+rect 4937 3782 4967 3834
+rect 4967 3782 4993 3834
+rect 4697 3780 4753 3782
+rect 4777 3780 4833 3782
+rect 4857 3780 4913 3782
+rect 4937 3780 4993 3782
+rect 6568 13082 6624 13084
+rect 6648 13082 6704 13084
+rect 6728 13082 6784 13084
+rect 6808 13082 6864 13084
+rect 6568 13030 6594 13082
+rect 6594 13030 6624 13082
+rect 6648 13030 6658 13082
+rect 6658 13030 6704 13082
+rect 6728 13030 6774 13082
+rect 6774 13030 6784 13082
+rect 6808 13030 6838 13082
+rect 6838 13030 6864 13082
+rect 6568 13028 6624 13030
+rect 6648 13028 6704 13030
+rect 6728 13028 6784 13030
+rect 6808 13028 6864 13030
+rect 7102 12144 7158 12200
+rect 6568 11994 6624 11996
+rect 6648 11994 6704 11996
+rect 6728 11994 6784 11996
+rect 6808 11994 6864 11996
+rect 6568 11942 6594 11994
+rect 6594 11942 6624 11994
+rect 6648 11942 6658 11994
+rect 6658 11942 6704 11994
+rect 6728 11942 6774 11994
+rect 6774 11942 6784 11994
+rect 6808 11942 6838 11994
+rect 6838 11942 6864 11994
+rect 6568 11940 6624 11942
+rect 6648 11940 6704 11942
+rect 6728 11940 6784 11942
+rect 6808 11940 6864 11942
+rect 6568 10906 6624 10908
+rect 6648 10906 6704 10908
+rect 6728 10906 6784 10908
+rect 6808 10906 6864 10908
+rect 6568 10854 6594 10906
+rect 6594 10854 6624 10906
+rect 6648 10854 6658 10906
+rect 6658 10854 6704 10906
+rect 6728 10854 6774 10906
+rect 6774 10854 6784 10906
+rect 6808 10854 6838 10906
+rect 6838 10854 6864 10906
+rect 6568 10852 6624 10854
+rect 6648 10852 6704 10854
+rect 6728 10852 6784 10854
+rect 6808 10852 6864 10854
+rect 6274 10104 6330 10160
+rect 6568 9818 6624 9820
+rect 6648 9818 6704 9820
+rect 6728 9818 6784 9820
+rect 6808 9818 6864 9820
+rect 6568 9766 6594 9818
+rect 6594 9766 6624 9818
+rect 6648 9766 6658 9818
+rect 6658 9766 6704 9818
+rect 6728 9766 6774 9818
+rect 6774 9766 6784 9818
+rect 6808 9766 6838 9818
+rect 6838 9766 6864 9818
+rect 6568 9764 6624 9766
+rect 6648 9764 6704 9766
+rect 6728 9764 6784 9766
+rect 6808 9764 6864 9766
+rect 5998 8744 6054 8800
+rect 5722 7520 5778 7576
+rect 5998 7384 6054 7440
+rect 6568 8730 6624 8732
+rect 6648 8730 6704 8732
+rect 6728 8730 6784 8732
+rect 6808 8730 6864 8732
+rect 6568 8678 6594 8730
+rect 6594 8678 6624 8730
+rect 6648 8678 6658 8730
+rect 6658 8678 6704 8730
+rect 6728 8678 6774 8730
+rect 6774 8678 6784 8730
+rect 6808 8678 6838 8730
+rect 6838 8678 6864 8730
+rect 6568 8676 6624 8678
+rect 6648 8676 6704 8678
+rect 6728 8676 6784 8678
+rect 6808 8676 6864 8678
+rect 6826 8336 6882 8392
+rect 6090 6704 6146 6760
+rect 4697 2746 4753 2748
+rect 4777 2746 4833 2748
+rect 4857 2746 4913 2748
+rect 4937 2746 4993 2748
+rect 4697 2694 4723 2746
+rect 4723 2694 4753 2746
+rect 4777 2694 4787 2746
+rect 4787 2694 4833 2746
+rect 4857 2694 4903 2746
+rect 4903 2694 4913 2746
+rect 4937 2694 4967 2746
+rect 4967 2694 4993 2746
+rect 4697 2692 4753 2694
+rect 4777 2692 4833 2694
+rect 4857 2692 4913 2694
+rect 4937 2692 4993 2694
+rect 6568 7642 6624 7644
+rect 6648 7642 6704 7644
+rect 6728 7642 6784 7644
+rect 6808 7642 6864 7644
+rect 6568 7590 6594 7642
+rect 6594 7590 6624 7642
+rect 6648 7590 6658 7642
+rect 6658 7590 6704 7642
+rect 6728 7590 6774 7642
+rect 6774 7590 6784 7642
+rect 6808 7590 6838 7642
+rect 6838 7590 6864 7642
+rect 6568 7588 6624 7590
+rect 6648 7588 6704 7590
+rect 6728 7588 6784 7590
+rect 6808 7588 6864 7590
+rect 6550 7384 6606 7440
+rect 6642 6976 6698 7032
+rect 6568 6554 6624 6556
+rect 6648 6554 6704 6556
+rect 6728 6554 6784 6556
+rect 6808 6554 6864 6556
+rect 6568 6502 6594 6554
+rect 6594 6502 6624 6554
+rect 6648 6502 6658 6554
+rect 6658 6502 6704 6554
+rect 6728 6502 6774 6554
+rect 6774 6502 6784 6554
+rect 6808 6502 6838 6554
+rect 6838 6502 6864 6554
+rect 6568 6500 6624 6502
+rect 6648 6500 6704 6502
+rect 6728 6500 6784 6502
+rect 6808 6500 6864 6502
+rect 6826 6296 6882 6352
+rect 6734 6160 6790 6216
+rect 6568 5466 6624 5468
+rect 6648 5466 6704 5468
+rect 6728 5466 6784 5468
+rect 6808 5466 6864 5468
+rect 6568 5414 6594 5466
+rect 6594 5414 6624 5466
+rect 6648 5414 6658 5466
+rect 6658 5414 6704 5466
+rect 6728 5414 6774 5466
+rect 6774 5414 6784 5466
+rect 6808 5414 6838 5466
+rect 6838 5414 6864 5466
+rect 6568 5412 6624 5414
+rect 6648 5412 6704 5414
+rect 6728 5412 6784 5414
+rect 6808 5412 6864 5414
+rect 7102 10512 7158 10568
+rect 7194 10240 7250 10296
+rect 9586 14048 9642 14104
+rect 8438 12538 8494 12540
+rect 8518 12538 8574 12540
+rect 8598 12538 8654 12540
+rect 8678 12538 8734 12540
+rect 8438 12486 8464 12538
+rect 8464 12486 8494 12538
+rect 8518 12486 8528 12538
+rect 8528 12486 8574 12538
+rect 8598 12486 8644 12538
+rect 8644 12486 8654 12538
+rect 8678 12486 8708 12538
+rect 8708 12486 8734 12538
+rect 8438 12484 8494 12486
+rect 8518 12484 8574 12486
+rect 8598 12484 8654 12486
+rect 8678 12484 8734 12486
+rect 8206 12180 8208 12200
+rect 8208 12180 8260 12200
+rect 8260 12180 8262 12200
+rect 8206 12144 8262 12180
+rect 7010 9016 7066 9072
+rect 7194 9560 7250 9616
+rect 7194 9324 7196 9344
+rect 7196 9324 7248 9344
+rect 7248 9324 7250 9344
+rect 7194 9288 7250 9324
+rect 7286 9152 7342 9208
+rect 7010 7248 7066 7304
+rect 6568 4378 6624 4380
+rect 6648 4378 6704 4380
+rect 6728 4378 6784 4380
+rect 6808 4378 6864 4380
+rect 6568 4326 6594 4378
+rect 6594 4326 6624 4378
+rect 6648 4326 6658 4378
+rect 6658 4326 6704 4378
+rect 6728 4326 6774 4378
+rect 6774 4326 6784 4378
+rect 6808 4326 6838 4378
+rect 6838 4326 6864 4378
+rect 6568 4324 6624 4326
+rect 6648 4324 6704 4326
+rect 6728 4324 6784 4326
+rect 6808 4324 6864 4326
+rect 7470 6452 7526 6488
+rect 7470 6432 7472 6452
+rect 7472 6432 7524 6452
+rect 7524 6432 7526 6452
+rect 7838 7928 7894 7984
+rect 7838 7268 7894 7304
+rect 7838 7248 7840 7268
+rect 7840 7248 7892 7268
+rect 7892 7248 7894 7268
+rect 8114 8472 8170 8528
+rect 6568 3290 6624 3292
+rect 6648 3290 6704 3292
+rect 6728 3290 6784 3292
+rect 6808 3290 6864 3292
+rect 6568 3238 6594 3290
+rect 6594 3238 6624 3290
+rect 6648 3238 6658 3290
+rect 6658 3238 6704 3290
+rect 6728 3238 6774 3290
+rect 6774 3238 6784 3290
+rect 6808 3238 6838 3290
+rect 6838 3238 6864 3290
+rect 6568 3236 6624 3238
+rect 6648 3236 6704 3238
+rect 6728 3236 6784 3238
+rect 6808 3236 6864 3238
+rect 8438 11450 8494 11452
+rect 8518 11450 8574 11452
+rect 8598 11450 8654 11452
+rect 8678 11450 8734 11452
+rect 8438 11398 8464 11450
+rect 8464 11398 8494 11450
+rect 8518 11398 8528 11450
+rect 8528 11398 8574 11450
+rect 8598 11398 8644 11450
+rect 8644 11398 8654 11450
+rect 8678 11398 8708 11450
+rect 8708 11398 8734 11450
+rect 8438 11396 8494 11398
+rect 8518 11396 8574 11398
+rect 8598 11396 8654 11398
+rect 8678 11396 8734 11398
+rect 8438 10362 8494 10364
+rect 8518 10362 8574 10364
+rect 8598 10362 8654 10364
+rect 8678 10362 8734 10364
+rect 8438 10310 8464 10362
+rect 8464 10310 8494 10362
+rect 8518 10310 8528 10362
+rect 8528 10310 8574 10362
+rect 8598 10310 8644 10362
+rect 8644 10310 8654 10362
+rect 8678 10310 8708 10362
+rect 8708 10310 8734 10362
+rect 8438 10308 8494 10310
+rect 8518 10308 8574 10310
+rect 8598 10308 8654 10310
+rect 8678 10308 8734 10310
+rect 8574 10004 8576 10024
+rect 8576 10004 8628 10024
+rect 8628 10004 8630 10024
+rect 8574 9968 8630 10004
+rect 8574 9424 8630 9480
+rect 8438 9274 8494 9276
+rect 8518 9274 8574 9276
+rect 8598 9274 8654 9276
+rect 8678 9274 8734 9276
+rect 8438 9222 8464 9274
+rect 8464 9222 8494 9274
+rect 8518 9222 8528 9274
+rect 8528 9222 8574 9274
+rect 8598 9222 8644 9274
+rect 8644 9222 8654 9274
+rect 8678 9222 8708 9274
+rect 8708 9222 8734 9274
+rect 8438 9220 8494 9222
+rect 8518 9220 8574 9222
+rect 8598 9220 8654 9222
+rect 8678 9220 8734 9222
+rect 8298 8608 8354 8664
+rect 8206 7656 8262 7712
+rect 8438 8186 8494 8188
+rect 8518 8186 8574 8188
+rect 8598 8186 8654 8188
+rect 8678 8186 8734 8188
+rect 8438 8134 8464 8186
+rect 8464 8134 8494 8186
+rect 8518 8134 8528 8186
+rect 8528 8134 8574 8186
+rect 8598 8134 8644 8186
+rect 8644 8134 8654 8186
+rect 8678 8134 8708 8186
+rect 8708 8134 8734 8186
+rect 8438 8132 8494 8134
+rect 8518 8132 8574 8134
+rect 8598 8132 8654 8134
+rect 8678 8132 8734 8134
+rect 8666 7248 8722 7304
+rect 8438 7098 8494 7100
+rect 8518 7098 8574 7100
+rect 8598 7098 8654 7100
+rect 8678 7098 8734 7100
+rect 8438 7046 8464 7098
+rect 8464 7046 8494 7098
+rect 8518 7046 8528 7098
+rect 8528 7046 8574 7098
+rect 8598 7046 8644 7098
+rect 8644 7046 8654 7098
+rect 8678 7046 8708 7098
+rect 8708 7046 8734 7098
+rect 8438 7044 8494 7046
+rect 8518 7044 8574 7046
+rect 8598 7044 8654 7046
+rect 8678 7044 8734 7046
+rect 8850 6704 8906 6760
+rect 8438 6010 8494 6012
+rect 8518 6010 8574 6012
+rect 8598 6010 8654 6012
+rect 8678 6010 8734 6012
+rect 8438 5958 8464 6010
+rect 8464 5958 8494 6010
+rect 8518 5958 8528 6010
+rect 8528 5958 8574 6010
+rect 8598 5958 8644 6010
+rect 8644 5958 8654 6010
+rect 8678 5958 8708 6010
+rect 8708 5958 8734 6010
+rect 8438 5956 8494 5958
+rect 8518 5956 8574 5958
+rect 8598 5956 8654 5958
+rect 8678 5956 8734 5958
+rect 8666 5208 8722 5264
+rect 8438 4922 8494 4924
+rect 8518 4922 8574 4924
+rect 8598 4922 8654 4924
+rect 8678 4922 8734 4924
+rect 8438 4870 8464 4922
+rect 8464 4870 8494 4922
+rect 8518 4870 8528 4922
+rect 8528 4870 8574 4922
+rect 8598 4870 8644 4922
+rect 8644 4870 8654 4922
+rect 8678 4870 8708 4922
+rect 8708 4870 8734 4922
+rect 8438 4868 8494 4870
+rect 8518 4868 8574 4870
+rect 8598 4868 8654 4870
+rect 8678 4868 8734 4870
+rect 8850 4120 8906 4176
+rect 8438 3834 8494 3836
+rect 8518 3834 8574 3836
+rect 8598 3834 8654 3836
+rect 8678 3834 8734 3836
+rect 8438 3782 8464 3834
+rect 8464 3782 8494 3834
+rect 8518 3782 8528 3834
+rect 8528 3782 8574 3834
+rect 8598 3782 8644 3834
+rect 8644 3782 8654 3834
+rect 8678 3782 8708 3834
+rect 8708 3782 8734 3834
+rect 8438 3780 8494 3782
+rect 8518 3780 8574 3782
+rect 8598 3780 8654 3782
+rect 8678 3780 8734 3782
+rect 8438 2746 8494 2748
+rect 8518 2746 8574 2748
+rect 8598 2746 8654 2748
+rect 8678 2746 8734 2748
+rect 8438 2694 8464 2746
+rect 8464 2694 8494 2746
+rect 8518 2694 8528 2746
+rect 8528 2694 8574 2746
+rect 8598 2694 8644 2746
+rect 8644 2694 8654 2746
+rect 8678 2694 8708 2746
+rect 8708 2694 8734 2746
+rect 8438 2692 8494 2694
+rect 8518 2692 8574 2694
+rect 8598 2692 8654 2694
+rect 8678 2692 8734 2694
+rect 6568 2202 6624 2204
+rect 6648 2202 6704 2204
+rect 6728 2202 6784 2204
+rect 6808 2202 6864 2204
+rect 6568 2150 6594 2202
+rect 6594 2150 6624 2202
+rect 6648 2150 6658 2202
+rect 6658 2150 6704 2202
+rect 6728 2150 6774 2202
+rect 6774 2150 6784 2202
+rect 6808 2150 6838 2202
+rect 6838 2150 6864 2202
+rect 6568 2148 6624 2150
+rect 6648 2148 6704 2150
+rect 6728 2148 6784 2150
+rect 6808 2148 6864 2150
+rect 10309 13082 10365 13084
+rect 10389 13082 10445 13084
+rect 10469 13082 10525 13084
+rect 10549 13082 10605 13084
+rect 10309 13030 10335 13082
+rect 10335 13030 10365 13082
+rect 10389 13030 10399 13082
+rect 10399 13030 10445 13082
+rect 10469 13030 10515 13082
+rect 10515 13030 10525 13082
+rect 10549 13030 10579 13082
+rect 10579 13030 10605 13082
+rect 10309 13028 10365 13030
+rect 10389 13028 10445 13030
+rect 10469 13028 10525 13030
+rect 10549 13028 10605 13030
+rect 10309 11994 10365 11996
+rect 10389 11994 10445 11996
+rect 10469 11994 10525 11996
+rect 10549 11994 10605 11996
+rect 10309 11942 10335 11994
+rect 10335 11942 10365 11994
+rect 10389 11942 10399 11994
+rect 10399 11942 10445 11994
+rect 10469 11942 10515 11994
+rect 10515 11942 10525 11994
+rect 10549 11942 10579 11994
+rect 10579 11942 10605 11994
+rect 10309 11940 10365 11942
+rect 10389 11940 10445 11942
+rect 10469 11940 10525 11942
+rect 10549 11940 10605 11942
+rect 9678 8608 9734 8664
+rect 9678 6860 9734 6896
+rect 9678 6840 9680 6860
+rect 9680 6840 9732 6860
+rect 9732 6840 9734 6860
+rect 10690 10920 10746 10976
+rect 10309 10906 10365 10908
+rect 10389 10906 10445 10908
+rect 10469 10906 10525 10908
+rect 10549 10906 10605 10908
+rect 10309 10854 10335 10906
+rect 10335 10854 10365 10906
+rect 10389 10854 10399 10906
+rect 10399 10854 10445 10906
+rect 10469 10854 10515 10906
+rect 10515 10854 10525 10906
+rect 10549 10854 10579 10906
+rect 10579 10854 10605 10906
+rect 10309 10852 10365 10854
+rect 10389 10852 10445 10854
+rect 10469 10852 10525 10854
+rect 10549 10852 10605 10854
+rect 10309 9818 10365 9820
+rect 10389 9818 10445 9820
+rect 10469 9818 10525 9820
+rect 10549 9818 10605 9820
+rect 10309 9766 10335 9818
+rect 10335 9766 10365 9818
+rect 10389 9766 10399 9818
+rect 10399 9766 10445 9818
+rect 10469 9766 10515 9818
+rect 10515 9766 10525 9818
+rect 10549 9766 10579 9818
+rect 10579 9766 10605 9818
+rect 10309 9764 10365 9766
+rect 10389 9764 10445 9766
+rect 10469 9764 10525 9766
+rect 10549 9764 10605 9766
+rect 10309 8730 10365 8732
+rect 10389 8730 10445 8732
+rect 10469 8730 10525 8732
+rect 10549 8730 10605 8732
+rect 10309 8678 10335 8730
+rect 10335 8678 10365 8730
+rect 10389 8678 10399 8730
+rect 10399 8678 10445 8730
+rect 10469 8678 10515 8730
+rect 10515 8678 10525 8730
+rect 10549 8678 10579 8730
+rect 10579 8678 10605 8730
+rect 10309 8676 10365 8678
+rect 10389 8676 10445 8678
+rect 10469 8676 10525 8678
+rect 10549 8676 10605 8678
+rect 10309 7642 10365 7644
+rect 10389 7642 10445 7644
+rect 10469 7642 10525 7644
+rect 10549 7642 10605 7644
+rect 10309 7590 10335 7642
+rect 10335 7590 10365 7642
+rect 10389 7590 10399 7642
+rect 10399 7590 10445 7642
+rect 10469 7590 10515 7642
+rect 10515 7590 10525 7642
+rect 10549 7590 10579 7642
+rect 10579 7590 10605 7642
+rect 10309 7588 10365 7590
+rect 10389 7588 10445 7590
+rect 10469 7588 10525 7590
+rect 10549 7588 10605 7590
+rect 10309 6554 10365 6556
+rect 10389 6554 10445 6556
+rect 10469 6554 10525 6556
+rect 10549 6554 10605 6556
+rect 10309 6502 10335 6554
+rect 10335 6502 10365 6554
+rect 10389 6502 10399 6554
+rect 10399 6502 10445 6554
+rect 10469 6502 10515 6554
+rect 10515 6502 10525 6554
+rect 10549 6502 10579 6554
+rect 10579 6502 10605 6554
+rect 10309 6500 10365 6502
+rect 10389 6500 10445 6502
+rect 10469 6500 10525 6502
+rect 10549 6500 10605 6502
+rect 10322 6296 10378 6352
+rect 10966 7792 11022 7848
+rect 10309 5466 10365 5468
+rect 10389 5466 10445 5468
+rect 10469 5466 10525 5468
+rect 10549 5466 10605 5468
+rect 10309 5414 10335 5466
+rect 10335 5414 10365 5466
+rect 10389 5414 10399 5466
+rect 10399 5414 10445 5466
+rect 10469 5414 10515 5466
+rect 10515 5414 10525 5466
+rect 10549 5414 10579 5466
+rect 10579 5414 10605 5466
+rect 10309 5412 10365 5414
+rect 10389 5412 10445 5414
+rect 10469 5412 10525 5414
+rect 10549 5412 10605 5414
+rect 11058 6860 11114 6896
+rect 11058 6840 11060 6860
+rect 11060 6840 11112 6860
+rect 11112 6840 11114 6860
+rect 10782 4664 10838 4720
+rect 10309 4378 10365 4380
+rect 10389 4378 10445 4380
+rect 10469 4378 10525 4380
+rect 10549 4378 10605 4380
+rect 10309 4326 10335 4378
+rect 10335 4326 10365 4378
+rect 10389 4326 10399 4378
+rect 10399 4326 10445 4378
+rect 10469 4326 10515 4378
+rect 10515 4326 10525 4378
+rect 10549 4326 10579 4378
+rect 10579 4326 10605 4378
+rect 10309 4324 10365 4326
+rect 10389 4324 10445 4326
+rect 10469 4324 10525 4326
+rect 10549 4324 10605 4326
+rect 10309 3290 10365 3292
+rect 10389 3290 10445 3292
+rect 10469 3290 10525 3292
+rect 10549 3290 10605 3292
+rect 10309 3238 10335 3290
+rect 10335 3238 10365 3290
+rect 10389 3238 10399 3290
+rect 10399 3238 10445 3290
+rect 10469 3238 10515 3290
+rect 10515 3238 10525 3290
+rect 10549 3238 10579 3290
+rect 10579 3238 10605 3290
+rect 10309 3236 10365 3238
+rect 10389 3236 10445 3238
+rect 10469 3236 10525 3238
+rect 10549 3236 10605 3238
+rect 10309 2202 10365 2204
+rect 10389 2202 10445 2204
+rect 10469 2202 10525 2204
+rect 10549 2202 10605 2204
+rect 10309 2150 10335 2202
+rect 10335 2150 10365 2202
+rect 10389 2150 10399 2202
+rect 10399 2150 10445 2202
+rect 10469 2150 10515 2202
+rect 10515 2150 10525 2202
+rect 10549 2150 10579 2202
+rect 10579 2150 10605 2202
+rect 10309 2148 10365 2150
+rect 10389 2148 10445 2150
+rect 10469 2148 10525 2150
+rect 10549 2148 10605 2150
+rect 11150 1536 11206 1592
+<< metal3 >>
+rect 9581 14106 9647 14109
+rect 12698 14106 13498 14136
+rect 9581 14104 13498 14106
+rect 9581 14048 9586 14104
+rect 9642 14048 13498 14104
+rect 9581 14046 13498 14048
+rect 9581 14043 9647 14046
+rect 12698 14016 13498 14046
+rect 2814 13088 3134 13089
+rect 0 13018 800 13048
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3134 13088
+rect 2814 13023 3134 13024
+rect 6556 13088 6876 13089
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 13023 6876 13024
+rect 10297 13088 10617 13089
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 13023 10617 13024
+rect 0 12958 2698 13018
+rect 0 12928 800 12958
+rect 2638 12882 2698 12958
+rect 3325 12882 3391 12885
+rect 2638 12880 3391 12882
+rect 2638 12824 3330 12880
+rect 3386 12824 3391 12880
+rect 2638 12822 3391 12824
+rect 3325 12819 3391 12822
+rect 1393 12746 1459 12749
+rect 7598 12746 7604 12748
+rect 1393 12744 7604 12746
+rect 1393 12688 1398 12744
+rect 1454 12688 7604 12744
+rect 1393 12686 7604 12688
+rect 1393 12683 1459 12686
+rect 7598 12684 7604 12686
+rect 7668 12684 7674 12748
+rect 4685 12544 5005 12545
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 12479 5005 12480
+rect 8426 12544 8746 12545
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 12479 8746 12480
+rect 7097 12202 7163 12205
+rect 8201 12202 8267 12205
+rect 7097 12200 8267 12202
+rect 7097 12144 7102 12200
+rect 7158 12144 8206 12200
+rect 8262 12144 8267 12200
+rect 7097 12142 8267 12144
+rect 7097 12139 7163 12142
+rect 8201 12139 8267 12142
+rect 2814 12000 3134 12001
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3134 12000
+rect 2814 11935 3134 11936
+rect 6556 12000 6876 12001
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 6556 11935 6876 11936
+rect 10297 12000 10617 12001
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 11935 10617 11936
+rect 5441 11930 5507 11933
+rect 5574 11930 5580 11932
+rect 5441 11928 5580 11930
+rect 5441 11872 5446 11928
+rect 5502 11872 5580 11928
+rect 5441 11870 5580 11872
+rect 5441 11867 5507 11870
+rect 5574 11868 5580 11870
+rect 5644 11868 5650 11932
+rect 4685 11456 5005 11457
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 11391 5005 11392
+rect 8426 11456 8746 11457
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 11391 8746 11392
+rect 10685 10978 10751 10981
+rect 12698 10978 13498 11008
+rect 10685 10976 13498 10978
+rect 10685 10920 10690 10976
+rect 10746 10920 13498 10976
+rect 10685 10918 13498 10920
+rect 10685 10915 10751 10918
+rect 2814 10912 3134 10913
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3134 10912
+rect 2814 10847 3134 10848
+rect 6556 10912 6876 10913
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 6556 10847 6876 10848
+rect 10297 10912 10617 10913
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 12698 10888 13498 10918
+rect 10297 10847 10617 10848
+rect 3785 10706 3851 10709
+rect 5758 10706 5764 10708
+rect 3785 10704 5764 10706
+rect 3785 10648 3790 10704
+rect 3846 10648 5764 10704
+rect 3785 10646 5764 10648
+rect 3785 10643 3851 10646
+rect 5758 10644 5764 10646
+rect 5828 10644 5834 10708
+rect 3785 10570 3851 10573
+rect 4705 10570 4771 10573
+rect 7097 10572 7163 10573
+rect 7046 10570 7052 10572
+rect 3785 10568 4771 10570
+rect 3785 10512 3790 10568
+rect 3846 10512 4710 10568
+rect 4766 10512 4771 10568
+rect 3785 10510 4771 10512
+rect 7006 10510 7052 10570
+rect 7116 10568 7163 10572
+rect 7158 10512 7163 10568
+rect 3785 10507 3851 10510
+rect 4705 10507 4771 10510
+rect 7046 10508 7052 10510
+rect 7116 10508 7163 10512
+rect 7097 10507 7163 10508
+rect 4685 10368 5005 10369
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 10303 5005 10304
+rect 8426 10368 8746 10369
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 10303 8746 10304
+rect 7189 10298 7255 10301
+rect 5398 10296 7255 10298
+rect 5398 10240 7194 10296
+rect 7250 10240 7255 10296
+rect 5398 10238 7255 10240
+rect 5165 10026 5231 10029
+rect 5398 10026 5458 10238
+rect 7189 10235 7255 10238
+rect 6126 10100 6132 10164
+rect 6196 10162 6202 10164
+rect 6269 10162 6335 10165
+rect 6196 10160 6335 10162
+rect 6196 10104 6274 10160
+rect 6330 10104 6335 10160
+rect 6196 10102 6335 10104
+rect 6196 10100 6202 10102
+rect 6269 10099 6335 10102
+rect 8569 10026 8635 10029
+rect 5165 10024 5458 10026
+rect 5165 9968 5170 10024
+rect 5226 9968 5458 10024
+rect 5165 9966 5458 9968
+rect 5536 10024 8635 10026
+rect 5536 9968 8574 10024
+rect 8630 9968 8635 10024
+rect 5536 9966 8635 9968
+rect 5165 9963 5231 9966
+rect 5536 9893 5596 9966
+rect 8569 9963 8635 9966
+rect 5533 9888 5599 9893
+rect 5533 9832 5538 9888
+rect 5594 9832 5599 9888
+rect 5533 9827 5599 9832
+rect 2814 9824 3134 9825
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3134 9824
+rect 2814 9759 3134 9760
+rect 6556 9824 6876 9825
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6556 9759 6876 9760
+rect 10297 9824 10617 9825
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 10297 9759 10617 9760
+rect 5073 9754 5139 9757
+rect 6310 9754 6316 9756
+rect 5073 9752 6316 9754
+rect 5073 9696 5078 9752
+rect 5134 9696 6316 9752
+rect 5073 9694 6316 9696
+rect 5073 9691 5139 9694
+rect 6310 9692 6316 9694
+rect 6380 9692 6386 9756
+rect 4061 9618 4127 9621
+rect 7189 9618 7255 9621
+rect 4061 9616 7255 9618
+rect 4061 9560 4066 9616
+rect 4122 9560 7194 9616
+rect 7250 9560 7255 9616
+rect 4061 9558 7255 9560
+rect 4061 9555 4127 9558
+rect 7189 9555 7255 9558
+rect 2037 9482 2103 9485
+rect 5625 9482 5691 9485
+rect 5942 9482 5948 9484
+rect 2037 9480 5948 9482
+rect 2037 9424 2042 9480
+rect 2098 9424 5630 9480
+rect 5686 9424 5948 9480
+rect 2037 9422 5948 9424
+rect 2037 9419 2103 9422
+rect 5625 9419 5691 9422
+rect 5942 9420 5948 9422
+rect 6012 9420 6018 9484
+rect 8569 9482 8635 9485
+rect 8886 9482 8892 9484
+rect 8569 9480 8892 9482
+rect 8569 9424 8574 9480
+rect 8630 9424 8892 9480
+rect 8569 9422 8892 9424
+rect 8569 9419 8635 9422
+rect 8886 9420 8892 9422
+rect 8956 9420 8962 9484
+rect 7189 9348 7255 9349
+rect 7189 9346 7236 9348
+rect 7144 9344 7236 9346
+rect 7144 9288 7194 9344
+rect 7144 9286 7236 9288
+rect 7189 9284 7236 9286
+rect 7300 9284 7306 9348
+rect 7189 9283 7255 9284
+rect 4685 9280 5005 9281
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 9215 5005 9216
+rect 8426 9280 8746 9281
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8426 9215 8746 9216
+rect 5206 9148 5212 9212
+rect 5276 9210 5282 9212
+rect 7281 9210 7347 9213
+rect 5276 9208 7347 9210
+rect 5276 9152 7286 9208
+rect 7342 9152 7347 9208
+rect 5276 9150 7347 9152
+rect 5276 9148 5282 9150
+rect 7281 9147 7347 9150
+rect 3969 9074 4035 9077
+rect 5809 9074 5875 9077
+rect 3969 9072 5875 9074
+rect 3969 9016 3974 9072
+rect 4030 9016 5814 9072
+rect 5870 9016 5875 9072
+rect 3969 9014 5875 9016
+rect 3969 9011 4035 9014
+rect 5766 9011 5875 9014
+rect 6310 9012 6316 9076
+rect 6380 9074 6386 9076
+rect 7005 9074 7071 9077
+rect 6380 9072 7071 9074
+rect 6380 9016 7010 9072
+rect 7066 9016 7071 9072
+rect 6380 9014 7071 9016
+rect 6380 9012 6386 9014
+rect 7005 9011 7071 9014
+rect 5766 8941 5826 9011
+rect 5717 8936 5826 8941
+rect 5717 8880 5722 8936
+rect 5778 8880 5826 8936
+rect 5717 8878 5826 8880
+rect 5717 8875 5783 8878
+rect 3509 8802 3575 8805
+rect 5993 8802 6059 8805
+rect 3509 8800 6059 8802
+rect 3509 8744 3514 8800
+rect 3570 8744 5998 8800
+rect 6054 8744 6059 8800
+rect 3509 8742 6059 8744
+rect 3509 8739 3575 8742
+rect 5993 8739 6059 8742
+rect 2814 8736 3134 8737
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3134 8736
+rect 2814 8671 3134 8672
+rect 6556 8736 6876 8737
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6556 8671 6876 8672
+rect 10297 8736 10617 8737
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 10297 8671 10617 8672
+rect 5574 8604 5580 8668
+rect 5644 8666 5650 8668
+rect 6310 8666 6316 8668
+rect 5644 8606 6316 8666
+rect 5644 8604 5650 8606
+rect 6310 8604 6316 8606
+rect 6380 8604 6386 8668
+rect 8150 8604 8156 8668
+rect 8220 8666 8226 8668
+rect 8293 8666 8359 8669
+rect 8220 8664 8359 8666
+rect 8220 8608 8298 8664
+rect 8354 8608 8359 8664
+rect 8220 8606 8359 8608
+rect 8220 8604 8226 8606
+rect 8293 8603 8359 8606
+rect 9673 8666 9739 8669
+rect 9990 8666 9996 8668
+rect 9673 8664 9996 8666
+rect 9673 8608 9678 8664
+rect 9734 8608 9996 8664
+rect 9673 8606 9996 8608
+rect 9673 8603 9739 8606
+rect 9990 8604 9996 8606
+rect 10060 8604 10066 8668
+rect 8109 8530 8175 8533
+rect 8109 8528 8218 8530
+rect 8109 8472 8114 8528
+rect 8170 8472 8218 8528
+rect 8109 8467 8218 8472
+rect 1853 8394 1919 8397
+rect 4981 8394 5047 8397
+rect 1853 8392 5047 8394
+rect 1853 8336 1858 8392
+rect 1914 8336 4986 8392
+rect 5042 8336 5047 8392
+rect 1853 8334 5047 8336
+rect 1853 8331 1919 8334
+rect 4981 8331 5047 8334
+rect 5758 8332 5764 8396
+rect 5828 8394 5834 8396
+rect 6821 8394 6887 8397
+rect 5828 8392 6887 8394
+rect 5828 8336 6826 8392
+rect 6882 8336 6887 8392
+rect 5828 8334 6887 8336
+rect 5828 8332 5834 8334
+rect 6821 8331 6887 8334
+rect 4685 8192 5005 8193
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 8127 5005 8128
+rect 1669 7986 1735 7989
+rect 5625 7986 5691 7989
+rect 7833 7986 7899 7989
+rect 1669 7984 5691 7986
+rect 1669 7928 1674 7984
+rect 1730 7928 5630 7984
+rect 5686 7928 5691 7984
+rect 1669 7926 5691 7928
+rect 1669 7923 1735 7926
+rect 5625 7923 5691 7926
+rect 7790 7984 7899 7986
+rect 7790 7928 7838 7984
+rect 7894 7928 7899 7984
+rect 7790 7923 7899 7928
+rect 0 7850 800 7880
+rect 3141 7850 3207 7853
+rect 0 7848 3207 7850
+rect 0 7792 3146 7848
+rect 3202 7792 3207 7848
+rect 0 7790 3207 7792
+rect 0 7760 800 7790
+rect 3141 7787 3207 7790
+rect 4061 7850 4127 7853
+rect 7790 7850 7850 7923
+rect 4061 7848 7850 7850
+rect 4061 7792 4066 7848
+rect 4122 7792 7850 7848
+rect 4061 7790 7850 7792
+rect 4061 7787 4127 7790
+rect 2814 7648 3134 7649
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3134 7648
+rect 2814 7583 3134 7584
+rect 6556 7648 6876 7649
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6556 7583 6876 7584
+rect 4705 7578 4771 7581
+rect 5717 7578 5783 7581
+rect 4705 7576 5783 7578
+rect 4705 7520 4710 7576
+rect 4766 7520 5722 7576
+rect 5778 7520 5783 7576
+rect 4705 7518 5783 7520
+rect 4705 7515 4771 7518
+rect 5717 7515 5783 7518
+rect 4981 7442 5047 7445
+rect 5993 7442 6059 7445
+rect 4981 7440 6059 7442
+rect 4981 7384 4986 7440
+rect 5042 7384 5998 7440
+rect 6054 7384 6059 7440
+rect 4981 7382 6059 7384
+rect 4981 7379 5047 7382
+rect 5993 7379 6059 7382
+rect 6310 7380 6316 7444
+rect 6380 7442 6386 7444
+rect 6545 7442 6611 7445
+rect 6380 7440 6611 7442
+rect 6380 7384 6550 7440
+rect 6606 7384 6611 7440
+rect 6380 7382 6611 7384
+rect 6380 7380 6386 7382
+rect 6545 7379 6611 7382
+rect 7790 7309 7850 7790
+rect 8158 7717 8218 8467
+rect 8426 8192 8746 8193
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 8127 8746 8128
+rect 10961 7850 11027 7853
+rect 12698 7850 13498 7880
+rect 10961 7848 13498 7850
+rect 10961 7792 10966 7848
+rect 11022 7792 13498 7848
+rect 10961 7790 13498 7792
+rect 10961 7787 11027 7790
+rect 12698 7760 13498 7790
+rect 8158 7712 8267 7717
+rect 8158 7656 8206 7712
+rect 8262 7656 8267 7712
+rect 8158 7654 8267 7656
+rect 8201 7651 8267 7654
+rect 10297 7648 10617 7649
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 7583 10617 7584
+rect 2313 7306 2379 7309
+rect 7005 7306 7071 7309
+rect 2313 7304 7071 7306
+rect 2313 7248 2318 7304
+rect 2374 7248 7010 7304
+rect 7066 7248 7071 7304
+rect 2313 7246 7071 7248
+rect 7790 7304 7899 7309
+rect 7790 7248 7838 7304
+rect 7894 7248 7899 7304
+rect 7790 7246 7899 7248
+rect 2313 7243 2379 7246
+rect 7005 7243 7071 7246
+rect 7833 7243 7899 7246
+rect 8661 7306 8727 7309
+rect 8661 7304 8908 7306
+rect 8661 7248 8666 7304
+rect 8722 7248 8908 7304
+rect 8661 7246 8908 7248
+rect 8661 7243 8727 7246
+rect 4685 7104 5005 7105
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 7039 5005 7040
+rect 8426 7104 8746 7105
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 7039 8746 7040
+rect 5942 6972 5948 7036
+rect 6012 7034 6018 7036
+rect 6637 7034 6703 7037
+rect 6012 7032 6703 7034
+rect 6012 6976 6642 7032
+rect 6698 6976 6703 7032
+rect 6012 6974 6703 6976
+rect 6012 6972 6018 6974
+rect 6637 6971 6703 6974
+rect 8848 6765 8908 7246
+rect 9673 6898 9739 6901
+rect 11053 6898 11119 6901
+rect 9673 6896 11119 6898
+rect 9673 6840 9678 6896
+rect 9734 6840 11058 6896
+rect 11114 6840 11119 6896
+rect 9673 6838 11119 6840
+rect 9673 6835 9739 6838
+rect 11053 6835 11119 6838
+rect 6085 6764 6151 6765
+rect 6085 6760 6132 6764
+rect 6196 6762 6202 6764
+rect 6085 6704 6090 6760
+rect 6085 6700 6132 6704
+rect 6196 6702 6242 6762
+rect 8845 6760 8911 6765
+rect 8845 6704 8850 6760
+rect 8906 6704 8911 6760
+rect 6196 6700 6202 6702
+rect 6085 6699 6151 6700
+rect 8845 6699 8911 6704
+rect 2814 6560 3134 6561
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3134 6560
+rect 2814 6495 3134 6496
+rect 6556 6560 6876 6561
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 6556 6495 6876 6496
+rect 10297 6560 10617 6561
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 10297 6495 10617 6496
+rect 7465 6490 7531 6493
+rect 7598 6490 7604 6492
+rect 7465 6488 7604 6490
+rect 7465 6432 7470 6488
+rect 7526 6432 7604 6488
+rect 7465 6430 7604 6432
+rect 7465 6427 7531 6430
+rect 7598 6428 7604 6430
+rect 7668 6428 7674 6492
+rect 6821 6354 6887 6357
+rect 7230 6354 7236 6356
+rect 6821 6352 7236 6354
+rect 6821 6296 6826 6352
+rect 6882 6296 7236 6352
+rect 6821 6294 7236 6296
+rect 6821 6291 6887 6294
+rect 7230 6292 7236 6294
+rect 7300 6292 7306 6356
+rect 9990 6292 9996 6356
+rect 10060 6354 10066 6356
+rect 10317 6354 10383 6357
+rect 10060 6352 10383 6354
+rect 10060 6296 10322 6352
+rect 10378 6296 10383 6352
+rect 10060 6294 10383 6296
+rect 10060 6292 10066 6294
+rect 10317 6291 10383 6294
+rect 6729 6218 6795 6221
+rect 7046 6218 7052 6220
+rect 6729 6216 7052 6218
+rect 6729 6160 6734 6216
+rect 6790 6160 7052 6216
+rect 6729 6158 7052 6160
+rect 6729 6155 6795 6158
+rect 7046 6156 7052 6158
+rect 7116 6156 7122 6220
+rect 4685 6016 5005 6017
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 5951 5005 5952
+rect 8426 6016 8746 6017
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8426 5951 8746 5952
+rect 4705 5674 4771 5677
+rect 5206 5674 5212 5676
+rect 4705 5672 5212 5674
+rect 4705 5616 4710 5672
+rect 4766 5616 5212 5672
+rect 4705 5614 5212 5616
+rect 4705 5611 4771 5614
+rect 5206 5612 5212 5614
+rect 5276 5612 5282 5676
+rect 2814 5472 3134 5473
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3134 5472
+rect 2814 5407 3134 5408
+rect 6556 5472 6876 5473
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 5407 6876 5408
+rect 10297 5472 10617 5473
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 5407 10617 5408
+rect 8150 5204 8156 5268
+rect 8220 5266 8226 5268
+rect 8661 5266 8727 5269
+rect 8220 5264 8727 5266
+rect 8220 5208 8666 5264
+rect 8722 5208 8727 5264
+rect 8220 5206 8727 5208
+rect 8220 5204 8226 5206
+rect 8661 5203 8727 5206
+rect 4685 4928 5005 4929
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 4863 5005 4864
+rect 8426 4928 8746 4929
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 4863 8746 4864
+rect 10777 4722 10843 4725
+rect 12698 4722 13498 4752
+rect 10777 4720 13498 4722
+rect 10777 4664 10782 4720
+rect 10838 4664 13498 4720
+rect 10777 4662 13498 4664
+rect 10777 4659 10843 4662
+rect 12698 4632 13498 4662
+rect 2814 4384 3134 4385
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3134 4384
+rect 2814 4319 3134 4320
+rect 6556 4384 6876 4385
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 4319 6876 4320
+rect 10297 4384 10617 4385
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 10297 4319 10617 4320
+rect 8845 4180 8911 4181
+rect 8845 4178 8892 4180
+rect 8800 4176 8892 4178
+rect 8800 4120 8850 4176
+rect 8800 4118 8892 4120
+rect 8845 4116 8892 4118
+rect 8956 4116 8962 4180
+rect 8845 4115 8911 4116
+rect 4685 3840 5005 3841
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 3775 5005 3776
+rect 8426 3840 8746 3841
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 3775 8746 3776
+rect 2814 3296 3134 3297
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3134 3296
+rect 2814 3231 3134 3232
+rect 6556 3296 6876 3297
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 3231 6876 3232
+rect 10297 3296 10617 3297
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 3231 10617 3232
+rect 4685 2752 5005 2753
+rect 0 2682 800 2712
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2687 5005 2688
+rect 8426 2752 8746 2753
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2687 8746 2688
+rect 1761 2682 1827 2685
+rect 0 2680 1827 2682
+rect 0 2624 1766 2680
+rect 1822 2624 1827 2680
+rect 0 2622 1827 2624
+rect 0 2592 800 2622
+rect 1761 2619 1827 2622
+rect 2814 2208 3134 2209
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3134 2208
+rect 2814 2143 3134 2144
+rect 6556 2208 6876 2209
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2143 6876 2144
+rect 10297 2208 10617 2209
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2143 10617 2144
+rect 11145 1594 11211 1597
+rect 12698 1594 13498 1624
+rect 11145 1592 13498 1594
+rect 11145 1536 11150 1592
+rect 11206 1536 13498 1592
+rect 11145 1534 13498 1536
+rect 11145 1531 11211 1534
+rect 12698 1504 13498 1534
+<< via3 >>
+rect 2822 13084 2886 13088
+rect 2822 13028 2826 13084
+rect 2826 13028 2882 13084
+rect 2882 13028 2886 13084
+rect 2822 13024 2886 13028
+rect 2902 13084 2966 13088
+rect 2902 13028 2906 13084
+rect 2906 13028 2962 13084
+rect 2962 13028 2966 13084
+rect 2902 13024 2966 13028
+rect 2982 13084 3046 13088
+rect 2982 13028 2986 13084
+rect 2986 13028 3042 13084
+rect 3042 13028 3046 13084
+rect 2982 13024 3046 13028
+rect 3062 13084 3126 13088
+rect 3062 13028 3066 13084
+rect 3066 13028 3122 13084
+rect 3122 13028 3126 13084
+rect 3062 13024 3126 13028
+rect 6564 13084 6628 13088
+rect 6564 13028 6568 13084
+rect 6568 13028 6624 13084
+rect 6624 13028 6628 13084
+rect 6564 13024 6628 13028
+rect 6644 13084 6708 13088
+rect 6644 13028 6648 13084
+rect 6648 13028 6704 13084
+rect 6704 13028 6708 13084
+rect 6644 13024 6708 13028
+rect 6724 13084 6788 13088
+rect 6724 13028 6728 13084
+rect 6728 13028 6784 13084
+rect 6784 13028 6788 13084
+rect 6724 13024 6788 13028
+rect 6804 13084 6868 13088
+rect 6804 13028 6808 13084
+rect 6808 13028 6864 13084
+rect 6864 13028 6868 13084
+rect 6804 13024 6868 13028
+rect 10305 13084 10369 13088
+rect 10305 13028 10309 13084
+rect 10309 13028 10365 13084
+rect 10365 13028 10369 13084
+rect 10305 13024 10369 13028
+rect 10385 13084 10449 13088
+rect 10385 13028 10389 13084
+rect 10389 13028 10445 13084
+rect 10445 13028 10449 13084
+rect 10385 13024 10449 13028
+rect 10465 13084 10529 13088
+rect 10465 13028 10469 13084
+rect 10469 13028 10525 13084
+rect 10525 13028 10529 13084
+rect 10465 13024 10529 13028
+rect 10545 13084 10609 13088
+rect 10545 13028 10549 13084
+rect 10549 13028 10605 13084
+rect 10605 13028 10609 13084
+rect 10545 13024 10609 13028
+rect 7604 12684 7668 12748
+rect 4693 12540 4757 12544
+rect 4693 12484 4697 12540
+rect 4697 12484 4753 12540
+rect 4753 12484 4757 12540
+rect 4693 12480 4757 12484
+rect 4773 12540 4837 12544
+rect 4773 12484 4777 12540
+rect 4777 12484 4833 12540
+rect 4833 12484 4837 12540
+rect 4773 12480 4837 12484
+rect 4853 12540 4917 12544
+rect 4853 12484 4857 12540
+rect 4857 12484 4913 12540
+rect 4913 12484 4917 12540
+rect 4853 12480 4917 12484
+rect 4933 12540 4997 12544
+rect 4933 12484 4937 12540
+rect 4937 12484 4993 12540
+rect 4993 12484 4997 12540
+rect 4933 12480 4997 12484
+rect 8434 12540 8498 12544
+rect 8434 12484 8438 12540
+rect 8438 12484 8494 12540
+rect 8494 12484 8498 12540
+rect 8434 12480 8498 12484
+rect 8514 12540 8578 12544
+rect 8514 12484 8518 12540
+rect 8518 12484 8574 12540
+rect 8574 12484 8578 12540
+rect 8514 12480 8578 12484
+rect 8594 12540 8658 12544
+rect 8594 12484 8598 12540
+rect 8598 12484 8654 12540
+rect 8654 12484 8658 12540
+rect 8594 12480 8658 12484
+rect 8674 12540 8738 12544
+rect 8674 12484 8678 12540
+rect 8678 12484 8734 12540
+rect 8734 12484 8738 12540
+rect 8674 12480 8738 12484
+rect 2822 11996 2886 12000
+rect 2822 11940 2826 11996
+rect 2826 11940 2882 11996
+rect 2882 11940 2886 11996
+rect 2822 11936 2886 11940
+rect 2902 11996 2966 12000
+rect 2902 11940 2906 11996
+rect 2906 11940 2962 11996
+rect 2962 11940 2966 11996
+rect 2902 11936 2966 11940
+rect 2982 11996 3046 12000
+rect 2982 11940 2986 11996
+rect 2986 11940 3042 11996
+rect 3042 11940 3046 11996
+rect 2982 11936 3046 11940
+rect 3062 11996 3126 12000
+rect 3062 11940 3066 11996
+rect 3066 11940 3122 11996
+rect 3122 11940 3126 11996
+rect 3062 11936 3126 11940
+rect 6564 11996 6628 12000
+rect 6564 11940 6568 11996
+rect 6568 11940 6624 11996
+rect 6624 11940 6628 11996
+rect 6564 11936 6628 11940
+rect 6644 11996 6708 12000
+rect 6644 11940 6648 11996
+rect 6648 11940 6704 11996
+rect 6704 11940 6708 11996
+rect 6644 11936 6708 11940
+rect 6724 11996 6788 12000
+rect 6724 11940 6728 11996
+rect 6728 11940 6784 11996
+rect 6784 11940 6788 11996
+rect 6724 11936 6788 11940
+rect 6804 11996 6868 12000
+rect 6804 11940 6808 11996
+rect 6808 11940 6864 11996
+rect 6864 11940 6868 11996
+rect 6804 11936 6868 11940
+rect 10305 11996 10369 12000
+rect 10305 11940 10309 11996
+rect 10309 11940 10365 11996
+rect 10365 11940 10369 11996
+rect 10305 11936 10369 11940
+rect 10385 11996 10449 12000
+rect 10385 11940 10389 11996
+rect 10389 11940 10445 11996
+rect 10445 11940 10449 11996
+rect 10385 11936 10449 11940
+rect 10465 11996 10529 12000
+rect 10465 11940 10469 11996
+rect 10469 11940 10525 11996
+rect 10525 11940 10529 11996
+rect 10465 11936 10529 11940
+rect 10545 11996 10609 12000
+rect 10545 11940 10549 11996
+rect 10549 11940 10605 11996
+rect 10605 11940 10609 11996
+rect 10545 11936 10609 11940
+rect 5580 11868 5644 11932
+rect 4693 11452 4757 11456
+rect 4693 11396 4697 11452
+rect 4697 11396 4753 11452
+rect 4753 11396 4757 11452
+rect 4693 11392 4757 11396
+rect 4773 11452 4837 11456
+rect 4773 11396 4777 11452
+rect 4777 11396 4833 11452
+rect 4833 11396 4837 11452
+rect 4773 11392 4837 11396
+rect 4853 11452 4917 11456
+rect 4853 11396 4857 11452
+rect 4857 11396 4913 11452
+rect 4913 11396 4917 11452
+rect 4853 11392 4917 11396
+rect 4933 11452 4997 11456
+rect 4933 11396 4937 11452
+rect 4937 11396 4993 11452
+rect 4993 11396 4997 11452
+rect 4933 11392 4997 11396
+rect 8434 11452 8498 11456
+rect 8434 11396 8438 11452
+rect 8438 11396 8494 11452
+rect 8494 11396 8498 11452
+rect 8434 11392 8498 11396
+rect 8514 11452 8578 11456
+rect 8514 11396 8518 11452
+rect 8518 11396 8574 11452
+rect 8574 11396 8578 11452
+rect 8514 11392 8578 11396
+rect 8594 11452 8658 11456
+rect 8594 11396 8598 11452
+rect 8598 11396 8654 11452
+rect 8654 11396 8658 11452
+rect 8594 11392 8658 11396
+rect 8674 11452 8738 11456
+rect 8674 11396 8678 11452
+rect 8678 11396 8734 11452
+rect 8734 11396 8738 11452
+rect 8674 11392 8738 11396
+rect 2822 10908 2886 10912
+rect 2822 10852 2826 10908
+rect 2826 10852 2882 10908
+rect 2882 10852 2886 10908
+rect 2822 10848 2886 10852
+rect 2902 10908 2966 10912
+rect 2902 10852 2906 10908
+rect 2906 10852 2962 10908
+rect 2962 10852 2966 10908
+rect 2902 10848 2966 10852
+rect 2982 10908 3046 10912
+rect 2982 10852 2986 10908
+rect 2986 10852 3042 10908
+rect 3042 10852 3046 10908
+rect 2982 10848 3046 10852
+rect 3062 10908 3126 10912
+rect 3062 10852 3066 10908
+rect 3066 10852 3122 10908
+rect 3122 10852 3126 10908
+rect 3062 10848 3126 10852
+rect 6564 10908 6628 10912
+rect 6564 10852 6568 10908
+rect 6568 10852 6624 10908
+rect 6624 10852 6628 10908
+rect 6564 10848 6628 10852
+rect 6644 10908 6708 10912
+rect 6644 10852 6648 10908
+rect 6648 10852 6704 10908
+rect 6704 10852 6708 10908
+rect 6644 10848 6708 10852
+rect 6724 10908 6788 10912
+rect 6724 10852 6728 10908
+rect 6728 10852 6784 10908
+rect 6784 10852 6788 10908
+rect 6724 10848 6788 10852
+rect 6804 10908 6868 10912
+rect 6804 10852 6808 10908
+rect 6808 10852 6864 10908
+rect 6864 10852 6868 10908
+rect 6804 10848 6868 10852
+rect 10305 10908 10369 10912
+rect 10305 10852 10309 10908
+rect 10309 10852 10365 10908
+rect 10365 10852 10369 10908
+rect 10305 10848 10369 10852
+rect 10385 10908 10449 10912
+rect 10385 10852 10389 10908
+rect 10389 10852 10445 10908
+rect 10445 10852 10449 10908
+rect 10385 10848 10449 10852
+rect 10465 10908 10529 10912
+rect 10465 10852 10469 10908
+rect 10469 10852 10525 10908
+rect 10525 10852 10529 10908
+rect 10465 10848 10529 10852
+rect 10545 10908 10609 10912
+rect 10545 10852 10549 10908
+rect 10549 10852 10605 10908
+rect 10605 10852 10609 10908
+rect 10545 10848 10609 10852
+rect 5764 10644 5828 10708
+rect 7052 10568 7116 10572
+rect 7052 10512 7102 10568
+rect 7102 10512 7116 10568
+rect 7052 10508 7116 10512
+rect 4693 10364 4757 10368
+rect 4693 10308 4697 10364
+rect 4697 10308 4753 10364
+rect 4753 10308 4757 10364
+rect 4693 10304 4757 10308
+rect 4773 10364 4837 10368
+rect 4773 10308 4777 10364
+rect 4777 10308 4833 10364
+rect 4833 10308 4837 10364
+rect 4773 10304 4837 10308
+rect 4853 10364 4917 10368
+rect 4853 10308 4857 10364
+rect 4857 10308 4913 10364
+rect 4913 10308 4917 10364
+rect 4853 10304 4917 10308
+rect 4933 10364 4997 10368
+rect 4933 10308 4937 10364
+rect 4937 10308 4993 10364
+rect 4993 10308 4997 10364
+rect 4933 10304 4997 10308
+rect 8434 10364 8498 10368
+rect 8434 10308 8438 10364
+rect 8438 10308 8494 10364
+rect 8494 10308 8498 10364
+rect 8434 10304 8498 10308
+rect 8514 10364 8578 10368
+rect 8514 10308 8518 10364
+rect 8518 10308 8574 10364
+rect 8574 10308 8578 10364
+rect 8514 10304 8578 10308
+rect 8594 10364 8658 10368
+rect 8594 10308 8598 10364
+rect 8598 10308 8654 10364
+rect 8654 10308 8658 10364
+rect 8594 10304 8658 10308
+rect 8674 10364 8738 10368
+rect 8674 10308 8678 10364
+rect 8678 10308 8734 10364
+rect 8734 10308 8738 10364
+rect 8674 10304 8738 10308
+rect 6132 10100 6196 10164
+rect 2822 9820 2886 9824
+rect 2822 9764 2826 9820
+rect 2826 9764 2882 9820
+rect 2882 9764 2886 9820
+rect 2822 9760 2886 9764
+rect 2902 9820 2966 9824
+rect 2902 9764 2906 9820
+rect 2906 9764 2962 9820
+rect 2962 9764 2966 9820
+rect 2902 9760 2966 9764
+rect 2982 9820 3046 9824
+rect 2982 9764 2986 9820
+rect 2986 9764 3042 9820
+rect 3042 9764 3046 9820
+rect 2982 9760 3046 9764
+rect 3062 9820 3126 9824
+rect 3062 9764 3066 9820
+rect 3066 9764 3122 9820
+rect 3122 9764 3126 9820
+rect 3062 9760 3126 9764
+rect 6564 9820 6628 9824
+rect 6564 9764 6568 9820
+rect 6568 9764 6624 9820
+rect 6624 9764 6628 9820
+rect 6564 9760 6628 9764
+rect 6644 9820 6708 9824
+rect 6644 9764 6648 9820
+rect 6648 9764 6704 9820
+rect 6704 9764 6708 9820
+rect 6644 9760 6708 9764
+rect 6724 9820 6788 9824
+rect 6724 9764 6728 9820
+rect 6728 9764 6784 9820
+rect 6784 9764 6788 9820
+rect 6724 9760 6788 9764
+rect 6804 9820 6868 9824
+rect 6804 9764 6808 9820
+rect 6808 9764 6864 9820
+rect 6864 9764 6868 9820
+rect 6804 9760 6868 9764
+rect 10305 9820 10369 9824
+rect 10305 9764 10309 9820
+rect 10309 9764 10365 9820
+rect 10365 9764 10369 9820
+rect 10305 9760 10369 9764
+rect 10385 9820 10449 9824
+rect 10385 9764 10389 9820
+rect 10389 9764 10445 9820
+rect 10445 9764 10449 9820
+rect 10385 9760 10449 9764
+rect 10465 9820 10529 9824
+rect 10465 9764 10469 9820
+rect 10469 9764 10525 9820
+rect 10525 9764 10529 9820
+rect 10465 9760 10529 9764
+rect 10545 9820 10609 9824
+rect 10545 9764 10549 9820
+rect 10549 9764 10605 9820
+rect 10605 9764 10609 9820
+rect 10545 9760 10609 9764
+rect 6316 9692 6380 9756
+rect 5948 9420 6012 9484
+rect 8892 9420 8956 9484
+rect 7236 9344 7300 9348
+rect 7236 9288 7250 9344
+rect 7250 9288 7300 9344
+rect 7236 9284 7300 9288
+rect 4693 9276 4757 9280
+rect 4693 9220 4697 9276
+rect 4697 9220 4753 9276
+rect 4753 9220 4757 9276
+rect 4693 9216 4757 9220
+rect 4773 9276 4837 9280
+rect 4773 9220 4777 9276
+rect 4777 9220 4833 9276
+rect 4833 9220 4837 9276
+rect 4773 9216 4837 9220
+rect 4853 9276 4917 9280
+rect 4853 9220 4857 9276
+rect 4857 9220 4913 9276
+rect 4913 9220 4917 9276
+rect 4853 9216 4917 9220
+rect 4933 9276 4997 9280
+rect 4933 9220 4937 9276
+rect 4937 9220 4993 9276
+rect 4993 9220 4997 9276
+rect 4933 9216 4997 9220
+rect 8434 9276 8498 9280
+rect 8434 9220 8438 9276
+rect 8438 9220 8494 9276
+rect 8494 9220 8498 9276
+rect 8434 9216 8498 9220
+rect 8514 9276 8578 9280
+rect 8514 9220 8518 9276
+rect 8518 9220 8574 9276
+rect 8574 9220 8578 9276
+rect 8514 9216 8578 9220
+rect 8594 9276 8658 9280
+rect 8594 9220 8598 9276
+rect 8598 9220 8654 9276
+rect 8654 9220 8658 9276
+rect 8594 9216 8658 9220
+rect 8674 9276 8738 9280
+rect 8674 9220 8678 9276
+rect 8678 9220 8734 9276
+rect 8734 9220 8738 9276
+rect 8674 9216 8738 9220
+rect 5212 9148 5276 9212
+rect 6316 9012 6380 9076
+rect 2822 8732 2886 8736
+rect 2822 8676 2826 8732
+rect 2826 8676 2882 8732
+rect 2882 8676 2886 8732
+rect 2822 8672 2886 8676
+rect 2902 8732 2966 8736
+rect 2902 8676 2906 8732
+rect 2906 8676 2962 8732
+rect 2962 8676 2966 8732
+rect 2902 8672 2966 8676
+rect 2982 8732 3046 8736
+rect 2982 8676 2986 8732
+rect 2986 8676 3042 8732
+rect 3042 8676 3046 8732
+rect 2982 8672 3046 8676
+rect 3062 8732 3126 8736
+rect 3062 8676 3066 8732
+rect 3066 8676 3122 8732
+rect 3122 8676 3126 8732
+rect 3062 8672 3126 8676
+rect 6564 8732 6628 8736
+rect 6564 8676 6568 8732
+rect 6568 8676 6624 8732
+rect 6624 8676 6628 8732
+rect 6564 8672 6628 8676
+rect 6644 8732 6708 8736
+rect 6644 8676 6648 8732
+rect 6648 8676 6704 8732
+rect 6704 8676 6708 8732
+rect 6644 8672 6708 8676
+rect 6724 8732 6788 8736
+rect 6724 8676 6728 8732
+rect 6728 8676 6784 8732
+rect 6784 8676 6788 8732
+rect 6724 8672 6788 8676
+rect 6804 8732 6868 8736
+rect 6804 8676 6808 8732
+rect 6808 8676 6864 8732
+rect 6864 8676 6868 8732
+rect 6804 8672 6868 8676
+rect 10305 8732 10369 8736
+rect 10305 8676 10309 8732
+rect 10309 8676 10365 8732
+rect 10365 8676 10369 8732
+rect 10305 8672 10369 8676
+rect 10385 8732 10449 8736
+rect 10385 8676 10389 8732
+rect 10389 8676 10445 8732
+rect 10445 8676 10449 8732
+rect 10385 8672 10449 8676
+rect 10465 8732 10529 8736
+rect 10465 8676 10469 8732
+rect 10469 8676 10525 8732
+rect 10525 8676 10529 8732
+rect 10465 8672 10529 8676
+rect 10545 8732 10609 8736
+rect 10545 8676 10549 8732
+rect 10549 8676 10605 8732
+rect 10605 8676 10609 8732
+rect 10545 8672 10609 8676
+rect 5580 8604 5644 8668
+rect 6316 8604 6380 8668
+rect 8156 8604 8220 8668
+rect 9996 8604 10060 8668
+rect 5764 8332 5828 8396
+rect 4693 8188 4757 8192
+rect 4693 8132 4697 8188
+rect 4697 8132 4753 8188
+rect 4753 8132 4757 8188
+rect 4693 8128 4757 8132
+rect 4773 8188 4837 8192
+rect 4773 8132 4777 8188
+rect 4777 8132 4833 8188
+rect 4833 8132 4837 8188
+rect 4773 8128 4837 8132
+rect 4853 8188 4917 8192
+rect 4853 8132 4857 8188
+rect 4857 8132 4913 8188
+rect 4913 8132 4917 8188
+rect 4853 8128 4917 8132
+rect 4933 8188 4997 8192
+rect 4933 8132 4937 8188
+rect 4937 8132 4993 8188
+rect 4993 8132 4997 8188
+rect 4933 8128 4997 8132
+rect 2822 7644 2886 7648
+rect 2822 7588 2826 7644
+rect 2826 7588 2882 7644
+rect 2882 7588 2886 7644
+rect 2822 7584 2886 7588
+rect 2902 7644 2966 7648
+rect 2902 7588 2906 7644
+rect 2906 7588 2962 7644
+rect 2962 7588 2966 7644
+rect 2902 7584 2966 7588
+rect 2982 7644 3046 7648
+rect 2982 7588 2986 7644
+rect 2986 7588 3042 7644
+rect 3042 7588 3046 7644
+rect 2982 7584 3046 7588
+rect 3062 7644 3126 7648
+rect 3062 7588 3066 7644
+rect 3066 7588 3122 7644
+rect 3122 7588 3126 7644
+rect 3062 7584 3126 7588
+rect 6564 7644 6628 7648
+rect 6564 7588 6568 7644
+rect 6568 7588 6624 7644
+rect 6624 7588 6628 7644
+rect 6564 7584 6628 7588
+rect 6644 7644 6708 7648
+rect 6644 7588 6648 7644
+rect 6648 7588 6704 7644
+rect 6704 7588 6708 7644
+rect 6644 7584 6708 7588
+rect 6724 7644 6788 7648
+rect 6724 7588 6728 7644
+rect 6728 7588 6784 7644
+rect 6784 7588 6788 7644
+rect 6724 7584 6788 7588
+rect 6804 7644 6868 7648
+rect 6804 7588 6808 7644
+rect 6808 7588 6864 7644
+rect 6864 7588 6868 7644
+rect 6804 7584 6868 7588
+rect 6316 7380 6380 7444
+rect 8434 8188 8498 8192
+rect 8434 8132 8438 8188
+rect 8438 8132 8494 8188
+rect 8494 8132 8498 8188
+rect 8434 8128 8498 8132
+rect 8514 8188 8578 8192
+rect 8514 8132 8518 8188
+rect 8518 8132 8574 8188
+rect 8574 8132 8578 8188
+rect 8514 8128 8578 8132
+rect 8594 8188 8658 8192
+rect 8594 8132 8598 8188
+rect 8598 8132 8654 8188
+rect 8654 8132 8658 8188
+rect 8594 8128 8658 8132
+rect 8674 8188 8738 8192
+rect 8674 8132 8678 8188
+rect 8678 8132 8734 8188
+rect 8734 8132 8738 8188
+rect 8674 8128 8738 8132
+rect 10305 7644 10369 7648
+rect 10305 7588 10309 7644
+rect 10309 7588 10365 7644
+rect 10365 7588 10369 7644
+rect 10305 7584 10369 7588
+rect 10385 7644 10449 7648
+rect 10385 7588 10389 7644
+rect 10389 7588 10445 7644
+rect 10445 7588 10449 7644
+rect 10385 7584 10449 7588
+rect 10465 7644 10529 7648
+rect 10465 7588 10469 7644
+rect 10469 7588 10525 7644
+rect 10525 7588 10529 7644
+rect 10465 7584 10529 7588
+rect 10545 7644 10609 7648
+rect 10545 7588 10549 7644
+rect 10549 7588 10605 7644
+rect 10605 7588 10609 7644
+rect 10545 7584 10609 7588
+rect 4693 7100 4757 7104
+rect 4693 7044 4697 7100
+rect 4697 7044 4753 7100
+rect 4753 7044 4757 7100
+rect 4693 7040 4757 7044
+rect 4773 7100 4837 7104
+rect 4773 7044 4777 7100
+rect 4777 7044 4833 7100
+rect 4833 7044 4837 7100
+rect 4773 7040 4837 7044
+rect 4853 7100 4917 7104
+rect 4853 7044 4857 7100
+rect 4857 7044 4913 7100
+rect 4913 7044 4917 7100
+rect 4853 7040 4917 7044
+rect 4933 7100 4997 7104
+rect 4933 7044 4937 7100
+rect 4937 7044 4993 7100
+rect 4993 7044 4997 7100
+rect 4933 7040 4997 7044
+rect 8434 7100 8498 7104
+rect 8434 7044 8438 7100
+rect 8438 7044 8494 7100
+rect 8494 7044 8498 7100
+rect 8434 7040 8498 7044
+rect 8514 7100 8578 7104
+rect 8514 7044 8518 7100
+rect 8518 7044 8574 7100
+rect 8574 7044 8578 7100
+rect 8514 7040 8578 7044
+rect 8594 7100 8658 7104
+rect 8594 7044 8598 7100
+rect 8598 7044 8654 7100
+rect 8654 7044 8658 7100
+rect 8594 7040 8658 7044
+rect 8674 7100 8738 7104
+rect 8674 7044 8678 7100
+rect 8678 7044 8734 7100
+rect 8734 7044 8738 7100
+rect 8674 7040 8738 7044
+rect 5948 6972 6012 7036
+rect 6132 6760 6196 6764
+rect 6132 6704 6146 6760
+rect 6146 6704 6196 6760
+rect 6132 6700 6196 6704
+rect 2822 6556 2886 6560
+rect 2822 6500 2826 6556
+rect 2826 6500 2882 6556
+rect 2882 6500 2886 6556
+rect 2822 6496 2886 6500
+rect 2902 6556 2966 6560
+rect 2902 6500 2906 6556
+rect 2906 6500 2962 6556
+rect 2962 6500 2966 6556
+rect 2902 6496 2966 6500
+rect 2982 6556 3046 6560
+rect 2982 6500 2986 6556
+rect 2986 6500 3042 6556
+rect 3042 6500 3046 6556
+rect 2982 6496 3046 6500
+rect 3062 6556 3126 6560
+rect 3062 6500 3066 6556
+rect 3066 6500 3122 6556
+rect 3122 6500 3126 6556
+rect 3062 6496 3126 6500
+rect 6564 6556 6628 6560
+rect 6564 6500 6568 6556
+rect 6568 6500 6624 6556
+rect 6624 6500 6628 6556
+rect 6564 6496 6628 6500
+rect 6644 6556 6708 6560
+rect 6644 6500 6648 6556
+rect 6648 6500 6704 6556
+rect 6704 6500 6708 6556
+rect 6644 6496 6708 6500
+rect 6724 6556 6788 6560
+rect 6724 6500 6728 6556
+rect 6728 6500 6784 6556
+rect 6784 6500 6788 6556
+rect 6724 6496 6788 6500
+rect 6804 6556 6868 6560
+rect 6804 6500 6808 6556
+rect 6808 6500 6864 6556
+rect 6864 6500 6868 6556
+rect 6804 6496 6868 6500
+rect 10305 6556 10369 6560
+rect 10305 6500 10309 6556
+rect 10309 6500 10365 6556
+rect 10365 6500 10369 6556
+rect 10305 6496 10369 6500
+rect 10385 6556 10449 6560
+rect 10385 6500 10389 6556
+rect 10389 6500 10445 6556
+rect 10445 6500 10449 6556
+rect 10385 6496 10449 6500
+rect 10465 6556 10529 6560
+rect 10465 6500 10469 6556
+rect 10469 6500 10525 6556
+rect 10525 6500 10529 6556
+rect 10465 6496 10529 6500
+rect 10545 6556 10609 6560
+rect 10545 6500 10549 6556
+rect 10549 6500 10605 6556
+rect 10605 6500 10609 6556
+rect 10545 6496 10609 6500
+rect 7604 6428 7668 6492
+rect 7236 6292 7300 6356
+rect 9996 6292 10060 6356
+rect 7052 6156 7116 6220
+rect 4693 6012 4757 6016
+rect 4693 5956 4697 6012
+rect 4697 5956 4753 6012
+rect 4753 5956 4757 6012
+rect 4693 5952 4757 5956
+rect 4773 6012 4837 6016
+rect 4773 5956 4777 6012
+rect 4777 5956 4833 6012
+rect 4833 5956 4837 6012
+rect 4773 5952 4837 5956
+rect 4853 6012 4917 6016
+rect 4853 5956 4857 6012
+rect 4857 5956 4913 6012
+rect 4913 5956 4917 6012
+rect 4853 5952 4917 5956
+rect 4933 6012 4997 6016
+rect 4933 5956 4937 6012
+rect 4937 5956 4993 6012
+rect 4993 5956 4997 6012
+rect 4933 5952 4997 5956
+rect 8434 6012 8498 6016
+rect 8434 5956 8438 6012
+rect 8438 5956 8494 6012
+rect 8494 5956 8498 6012
+rect 8434 5952 8498 5956
+rect 8514 6012 8578 6016
+rect 8514 5956 8518 6012
+rect 8518 5956 8574 6012
+rect 8574 5956 8578 6012
+rect 8514 5952 8578 5956
+rect 8594 6012 8658 6016
+rect 8594 5956 8598 6012
+rect 8598 5956 8654 6012
+rect 8654 5956 8658 6012
+rect 8594 5952 8658 5956
+rect 8674 6012 8738 6016
+rect 8674 5956 8678 6012
+rect 8678 5956 8734 6012
+rect 8734 5956 8738 6012
+rect 8674 5952 8738 5956
+rect 5212 5612 5276 5676
+rect 2822 5468 2886 5472
+rect 2822 5412 2826 5468
+rect 2826 5412 2882 5468
+rect 2882 5412 2886 5468
+rect 2822 5408 2886 5412
+rect 2902 5468 2966 5472
+rect 2902 5412 2906 5468
+rect 2906 5412 2962 5468
+rect 2962 5412 2966 5468
+rect 2902 5408 2966 5412
+rect 2982 5468 3046 5472
+rect 2982 5412 2986 5468
+rect 2986 5412 3042 5468
+rect 3042 5412 3046 5468
+rect 2982 5408 3046 5412
+rect 3062 5468 3126 5472
+rect 3062 5412 3066 5468
+rect 3066 5412 3122 5468
+rect 3122 5412 3126 5468
+rect 3062 5408 3126 5412
+rect 6564 5468 6628 5472
+rect 6564 5412 6568 5468
+rect 6568 5412 6624 5468
+rect 6624 5412 6628 5468
+rect 6564 5408 6628 5412
+rect 6644 5468 6708 5472
+rect 6644 5412 6648 5468
+rect 6648 5412 6704 5468
+rect 6704 5412 6708 5468
+rect 6644 5408 6708 5412
+rect 6724 5468 6788 5472
+rect 6724 5412 6728 5468
+rect 6728 5412 6784 5468
+rect 6784 5412 6788 5468
+rect 6724 5408 6788 5412
+rect 6804 5468 6868 5472
+rect 6804 5412 6808 5468
+rect 6808 5412 6864 5468
+rect 6864 5412 6868 5468
+rect 6804 5408 6868 5412
+rect 10305 5468 10369 5472
+rect 10305 5412 10309 5468
+rect 10309 5412 10365 5468
+rect 10365 5412 10369 5468
+rect 10305 5408 10369 5412
+rect 10385 5468 10449 5472
+rect 10385 5412 10389 5468
+rect 10389 5412 10445 5468
+rect 10445 5412 10449 5468
+rect 10385 5408 10449 5412
+rect 10465 5468 10529 5472
+rect 10465 5412 10469 5468
+rect 10469 5412 10525 5468
+rect 10525 5412 10529 5468
+rect 10465 5408 10529 5412
+rect 10545 5468 10609 5472
+rect 10545 5412 10549 5468
+rect 10549 5412 10605 5468
+rect 10605 5412 10609 5468
+rect 10545 5408 10609 5412
+rect 8156 5204 8220 5268
+rect 4693 4924 4757 4928
+rect 4693 4868 4697 4924
+rect 4697 4868 4753 4924
+rect 4753 4868 4757 4924
+rect 4693 4864 4757 4868
+rect 4773 4924 4837 4928
+rect 4773 4868 4777 4924
+rect 4777 4868 4833 4924
+rect 4833 4868 4837 4924
+rect 4773 4864 4837 4868
+rect 4853 4924 4917 4928
+rect 4853 4868 4857 4924
+rect 4857 4868 4913 4924
+rect 4913 4868 4917 4924
+rect 4853 4864 4917 4868
+rect 4933 4924 4997 4928
+rect 4933 4868 4937 4924
+rect 4937 4868 4993 4924
+rect 4993 4868 4997 4924
+rect 4933 4864 4997 4868
+rect 8434 4924 8498 4928
+rect 8434 4868 8438 4924
+rect 8438 4868 8494 4924
+rect 8494 4868 8498 4924
+rect 8434 4864 8498 4868
+rect 8514 4924 8578 4928
+rect 8514 4868 8518 4924
+rect 8518 4868 8574 4924
+rect 8574 4868 8578 4924
+rect 8514 4864 8578 4868
+rect 8594 4924 8658 4928
+rect 8594 4868 8598 4924
+rect 8598 4868 8654 4924
+rect 8654 4868 8658 4924
+rect 8594 4864 8658 4868
+rect 8674 4924 8738 4928
+rect 8674 4868 8678 4924
+rect 8678 4868 8734 4924
+rect 8734 4868 8738 4924
+rect 8674 4864 8738 4868
+rect 2822 4380 2886 4384
+rect 2822 4324 2826 4380
+rect 2826 4324 2882 4380
+rect 2882 4324 2886 4380
+rect 2822 4320 2886 4324
+rect 2902 4380 2966 4384
+rect 2902 4324 2906 4380
+rect 2906 4324 2962 4380
+rect 2962 4324 2966 4380
+rect 2902 4320 2966 4324
+rect 2982 4380 3046 4384
+rect 2982 4324 2986 4380
+rect 2986 4324 3042 4380
+rect 3042 4324 3046 4380
+rect 2982 4320 3046 4324
+rect 3062 4380 3126 4384
+rect 3062 4324 3066 4380
+rect 3066 4324 3122 4380
+rect 3122 4324 3126 4380
+rect 3062 4320 3126 4324
+rect 6564 4380 6628 4384
+rect 6564 4324 6568 4380
+rect 6568 4324 6624 4380
+rect 6624 4324 6628 4380
+rect 6564 4320 6628 4324
+rect 6644 4380 6708 4384
+rect 6644 4324 6648 4380
+rect 6648 4324 6704 4380
+rect 6704 4324 6708 4380
+rect 6644 4320 6708 4324
+rect 6724 4380 6788 4384
+rect 6724 4324 6728 4380
+rect 6728 4324 6784 4380
+rect 6784 4324 6788 4380
+rect 6724 4320 6788 4324
+rect 6804 4380 6868 4384
+rect 6804 4324 6808 4380
+rect 6808 4324 6864 4380
+rect 6864 4324 6868 4380
+rect 6804 4320 6868 4324
+rect 10305 4380 10369 4384
+rect 10305 4324 10309 4380
+rect 10309 4324 10365 4380
+rect 10365 4324 10369 4380
+rect 10305 4320 10369 4324
+rect 10385 4380 10449 4384
+rect 10385 4324 10389 4380
+rect 10389 4324 10445 4380
+rect 10445 4324 10449 4380
+rect 10385 4320 10449 4324
+rect 10465 4380 10529 4384
+rect 10465 4324 10469 4380
+rect 10469 4324 10525 4380
+rect 10525 4324 10529 4380
+rect 10465 4320 10529 4324
+rect 10545 4380 10609 4384
+rect 10545 4324 10549 4380
+rect 10549 4324 10605 4380
+rect 10605 4324 10609 4380
+rect 10545 4320 10609 4324
+rect 8892 4176 8956 4180
+rect 8892 4120 8906 4176
+rect 8906 4120 8956 4176
+rect 8892 4116 8956 4120
+rect 4693 3836 4757 3840
+rect 4693 3780 4697 3836
+rect 4697 3780 4753 3836
+rect 4753 3780 4757 3836
+rect 4693 3776 4757 3780
+rect 4773 3836 4837 3840
+rect 4773 3780 4777 3836
+rect 4777 3780 4833 3836
+rect 4833 3780 4837 3836
+rect 4773 3776 4837 3780
+rect 4853 3836 4917 3840
+rect 4853 3780 4857 3836
+rect 4857 3780 4913 3836
+rect 4913 3780 4917 3836
+rect 4853 3776 4917 3780
+rect 4933 3836 4997 3840
+rect 4933 3780 4937 3836
+rect 4937 3780 4993 3836
+rect 4993 3780 4997 3836
+rect 4933 3776 4997 3780
+rect 8434 3836 8498 3840
+rect 8434 3780 8438 3836
+rect 8438 3780 8494 3836
+rect 8494 3780 8498 3836
+rect 8434 3776 8498 3780
+rect 8514 3836 8578 3840
+rect 8514 3780 8518 3836
+rect 8518 3780 8574 3836
+rect 8574 3780 8578 3836
+rect 8514 3776 8578 3780
+rect 8594 3836 8658 3840
+rect 8594 3780 8598 3836
+rect 8598 3780 8654 3836
+rect 8654 3780 8658 3836
+rect 8594 3776 8658 3780
+rect 8674 3836 8738 3840
+rect 8674 3780 8678 3836
+rect 8678 3780 8734 3836
+rect 8734 3780 8738 3836
+rect 8674 3776 8738 3780
+rect 2822 3292 2886 3296
+rect 2822 3236 2826 3292
+rect 2826 3236 2882 3292
+rect 2882 3236 2886 3292
+rect 2822 3232 2886 3236
+rect 2902 3292 2966 3296
+rect 2902 3236 2906 3292
+rect 2906 3236 2962 3292
+rect 2962 3236 2966 3292
+rect 2902 3232 2966 3236
+rect 2982 3292 3046 3296
+rect 2982 3236 2986 3292
+rect 2986 3236 3042 3292
+rect 3042 3236 3046 3292
+rect 2982 3232 3046 3236
+rect 3062 3292 3126 3296
+rect 3062 3236 3066 3292
+rect 3066 3236 3122 3292
+rect 3122 3236 3126 3292
+rect 3062 3232 3126 3236
+rect 6564 3292 6628 3296
+rect 6564 3236 6568 3292
+rect 6568 3236 6624 3292
+rect 6624 3236 6628 3292
+rect 6564 3232 6628 3236
+rect 6644 3292 6708 3296
+rect 6644 3236 6648 3292
+rect 6648 3236 6704 3292
+rect 6704 3236 6708 3292
+rect 6644 3232 6708 3236
+rect 6724 3292 6788 3296
+rect 6724 3236 6728 3292
+rect 6728 3236 6784 3292
+rect 6784 3236 6788 3292
+rect 6724 3232 6788 3236
+rect 6804 3292 6868 3296
+rect 6804 3236 6808 3292
+rect 6808 3236 6864 3292
+rect 6864 3236 6868 3292
+rect 6804 3232 6868 3236
+rect 10305 3292 10369 3296
+rect 10305 3236 10309 3292
+rect 10309 3236 10365 3292
+rect 10365 3236 10369 3292
+rect 10305 3232 10369 3236
+rect 10385 3292 10449 3296
+rect 10385 3236 10389 3292
+rect 10389 3236 10445 3292
+rect 10445 3236 10449 3292
+rect 10385 3232 10449 3236
+rect 10465 3292 10529 3296
+rect 10465 3236 10469 3292
+rect 10469 3236 10525 3292
+rect 10525 3236 10529 3292
+rect 10465 3232 10529 3236
+rect 10545 3292 10609 3296
+rect 10545 3236 10549 3292
+rect 10549 3236 10605 3292
+rect 10605 3236 10609 3292
+rect 10545 3232 10609 3236
+rect 4693 2748 4757 2752
+rect 4693 2692 4697 2748
+rect 4697 2692 4753 2748
+rect 4753 2692 4757 2748
+rect 4693 2688 4757 2692
+rect 4773 2748 4837 2752
+rect 4773 2692 4777 2748
+rect 4777 2692 4833 2748
+rect 4833 2692 4837 2748
+rect 4773 2688 4837 2692
+rect 4853 2748 4917 2752
+rect 4853 2692 4857 2748
+rect 4857 2692 4913 2748
+rect 4913 2692 4917 2748
+rect 4853 2688 4917 2692
+rect 4933 2748 4997 2752
+rect 4933 2692 4937 2748
+rect 4937 2692 4993 2748
+rect 4993 2692 4997 2748
+rect 4933 2688 4997 2692
+rect 8434 2748 8498 2752
+rect 8434 2692 8438 2748
+rect 8438 2692 8494 2748
+rect 8494 2692 8498 2748
+rect 8434 2688 8498 2692
+rect 8514 2748 8578 2752
+rect 8514 2692 8518 2748
+rect 8518 2692 8574 2748
+rect 8574 2692 8578 2748
+rect 8514 2688 8578 2692
+rect 8594 2748 8658 2752
+rect 8594 2692 8598 2748
+rect 8598 2692 8654 2748
+rect 8654 2692 8658 2748
+rect 8594 2688 8658 2692
+rect 8674 2748 8738 2752
+rect 8674 2692 8678 2748
+rect 8678 2692 8734 2748
+rect 8734 2692 8738 2748
+rect 8674 2688 8738 2692
+rect 2822 2204 2886 2208
+rect 2822 2148 2826 2204
+rect 2826 2148 2882 2204
+rect 2882 2148 2886 2204
+rect 2822 2144 2886 2148
+rect 2902 2204 2966 2208
+rect 2902 2148 2906 2204
+rect 2906 2148 2962 2204
+rect 2962 2148 2966 2204
+rect 2902 2144 2966 2148
+rect 2982 2204 3046 2208
+rect 2982 2148 2986 2204
+rect 2986 2148 3042 2204
+rect 3042 2148 3046 2204
+rect 2982 2144 3046 2148
+rect 3062 2204 3126 2208
+rect 3062 2148 3066 2204
+rect 3066 2148 3122 2204
+rect 3122 2148 3126 2204
+rect 3062 2144 3126 2148
+rect 6564 2204 6628 2208
+rect 6564 2148 6568 2204
+rect 6568 2148 6624 2204
+rect 6624 2148 6628 2204
+rect 6564 2144 6628 2148
+rect 6644 2204 6708 2208
+rect 6644 2148 6648 2204
+rect 6648 2148 6704 2204
+rect 6704 2148 6708 2204
+rect 6644 2144 6708 2148
+rect 6724 2204 6788 2208
+rect 6724 2148 6728 2204
+rect 6728 2148 6784 2204
+rect 6784 2148 6788 2204
+rect 6724 2144 6788 2148
+rect 6804 2204 6868 2208
+rect 6804 2148 6808 2204
+rect 6808 2148 6864 2204
+rect 6864 2148 6868 2204
+rect 6804 2144 6868 2148
+rect 10305 2204 10369 2208
+rect 10305 2148 10309 2204
+rect 10309 2148 10365 2204
+rect 10365 2148 10369 2204
+rect 10305 2144 10369 2148
+rect 10385 2204 10449 2208
+rect 10385 2148 10389 2204
+rect 10389 2148 10445 2204
+rect 10445 2148 10449 2204
+rect 10385 2144 10449 2148
+rect 10465 2204 10529 2208
+rect 10465 2148 10469 2204
+rect 10469 2148 10525 2204
+rect 10525 2148 10529 2204
+rect 10465 2144 10529 2148
+rect 10545 2204 10609 2208
+rect 10545 2148 10549 2204
+rect 10549 2148 10605 2204
+rect 10605 2148 10609 2204
+rect 10545 2144 10609 2148
+<< metal4 >>
+rect 2814 13088 3135 13104
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3135 13088
+rect 2814 12000 3135 13024
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3135 12000
+rect 2814 10912 3135 11936
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3135 10912
+rect 2814 9824 3135 10848
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3135 9824
+rect 2814 8736 3135 9760
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3135 8736
+rect 2814 7648 3135 8672
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3135 7648
+rect 2814 6560 3135 7584
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3135 6560
+rect 2814 5472 3135 6496
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3135 5472
+rect 2814 4384 3135 5408
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3135 4384
+rect 2814 3296 3135 4320
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3135 3296
+rect 2814 2208 3135 3232
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3135 2208
+rect 2814 2128 3135 2144
+rect 4685 12544 5005 13104
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 11456 5005 12480
+rect 6556 13088 6876 13104
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 12000 6876 13024
+rect 7603 12748 7669 12749
+rect 7603 12684 7604 12748
+rect 7668 12684 7669 12748
+rect 7603 12683 7669 12684
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 5579 11932 5645 11933
+rect 5579 11868 5580 11932
+rect 5644 11868 5645 11932
+rect 5579 11867 5645 11868
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 10368 5005 11392
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 9280 5005 10304
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 8192 5005 9216
+rect 5211 9212 5277 9213
+rect 5211 9148 5212 9212
+rect 5276 9148 5277 9212
+rect 5211 9147 5277 9148
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 7104 5005 8128
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 6016 5005 7040
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 4928 5005 5952
+rect 5214 5677 5274 9147
+rect 5582 8669 5642 11867
+rect 6556 10912 6876 11936
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 5763 10708 5829 10709
+rect 5763 10644 5764 10708
+rect 5828 10644 5829 10708
+rect 5763 10643 5829 10644
+rect 5579 8668 5645 8669
+rect 5579 8604 5580 8668
+rect 5644 8604 5645 8668
+rect 5579 8603 5645 8604
+rect 5766 8397 5826 10643
+rect 6131 10164 6197 10165
+rect 6131 10100 6132 10164
+rect 6196 10100 6197 10164
+rect 6131 10099 6197 10100
+rect 5947 9484 6013 9485
+rect 5947 9420 5948 9484
+rect 6012 9420 6013 9484
+rect 5947 9419 6013 9420
+rect 5763 8396 5829 8397
+rect 5763 8332 5764 8396
+rect 5828 8332 5829 8396
+rect 5763 8331 5829 8332
+rect 5950 7037 6010 9419
+rect 5947 7036 6013 7037
+rect 5947 6972 5948 7036
+rect 6012 6972 6013 7036
+rect 5947 6971 6013 6972
+rect 6134 6765 6194 10099
+rect 6556 9824 6876 10848
+rect 7051 10572 7117 10573
+rect 7051 10508 7052 10572
+rect 7116 10508 7117 10572
+rect 7051 10507 7117 10508
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6315 9756 6381 9757
+rect 6315 9692 6316 9756
+rect 6380 9692 6381 9756
+rect 6315 9691 6381 9692
+rect 6318 9077 6378 9691
+rect 6315 9076 6381 9077
+rect 6315 9012 6316 9076
+rect 6380 9012 6381 9076
+rect 6315 9011 6381 9012
+rect 6556 8736 6876 9760
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6315 8668 6381 8669
+rect 6315 8604 6316 8668
+rect 6380 8604 6381 8668
+rect 6315 8603 6381 8604
+rect 6318 7445 6378 8603
+rect 6556 7648 6876 8672
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6315 7444 6381 7445
+rect 6315 7380 6316 7444
+rect 6380 7380 6381 7444
+rect 6315 7379 6381 7380
+rect 6131 6764 6197 6765
+rect 6131 6700 6132 6764
+rect 6196 6700 6197 6764
+rect 6131 6699 6197 6700
+rect 6556 6560 6876 7584
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 5211 5676 5277 5677
+rect 5211 5612 5212 5676
+rect 5276 5612 5277 5676
+rect 5211 5611 5277 5612
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 3840 5005 4864
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 2752 5005 3776
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2128 5005 2688
+rect 6556 5472 6876 6496
+rect 7054 6221 7114 10507
+rect 7235 9348 7301 9349
+rect 7235 9284 7236 9348
+rect 7300 9284 7301 9348
+rect 7235 9283 7301 9284
+rect 7238 6357 7298 9283
+rect 7606 6493 7666 12683
+rect 8426 12544 8746 13104
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 11456 8746 12480
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 10368 8746 11392
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 9280 8746 10304
+rect 10297 13088 10617 13104
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 12000 10617 13024
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 10912 10617 11936
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 10297 9824 10617 10848
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 8891 9484 8957 9485
+rect 8891 9420 8892 9484
+rect 8956 9420 8957 9484
+rect 8891 9419 8957 9420
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8155 8668 8221 8669
+rect 8155 8604 8156 8668
+rect 8220 8604 8221 8668
+rect 8155 8603 8221 8604
+rect 7603 6492 7669 6493
+rect 7603 6428 7604 6492
+rect 7668 6428 7669 6492
+rect 7603 6427 7669 6428
+rect 7235 6356 7301 6357
+rect 7235 6292 7236 6356
+rect 7300 6292 7301 6356
+rect 7235 6291 7301 6292
+rect 7051 6220 7117 6221
+rect 7051 6156 7052 6220
+rect 7116 6156 7117 6220
+rect 7051 6155 7117 6156
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 4384 6876 5408
+rect 8158 5269 8218 8603
+rect 8426 8192 8746 9216
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 7104 8746 8128
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 6016 8746 7040
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8155 5268 8221 5269
+rect 8155 5204 8156 5268
+rect 8220 5204 8221 5268
+rect 8155 5203 8221 5204
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 3296 6876 4320
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 2208 6876 3232
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2128 6876 2144
+rect 8426 4928 8746 5952
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 3840 8746 4864
+rect 8894 4181 8954 9419
+rect 10297 8736 10617 9760
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 9995 8668 10061 8669
+rect 9995 8604 9996 8668
+rect 10060 8604 10061 8668
+rect 9995 8603 10061 8604
+rect 9998 6357 10058 8603
+rect 10297 7648 10617 8672
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 6560 10617 7584
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 9995 6356 10061 6357
+rect 9995 6292 9996 6356
+rect 10060 6292 10061 6356
+rect 9995 6291 10061 6292
+rect 10297 5472 10617 6496
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 4384 10617 5408
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 8891 4180 8957 4181
+rect 8891 4116 8892 4180
+rect 8956 4116 8957 4180
+rect 8891 4115 8957 4116
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 2752 8746 3776
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2128 8746 2688
+rect 10297 3296 10617 4320
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 2208 10617 3232
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2128 10617 2144
+use sky130_fd_sc_hd__decap_12  FILLER_1_15
+timestamp 1606941357
+transform 1 0 2484 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_3
+timestamp 1606941357
+transform 1 0 1380 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_15
+timestamp 1606941357
+transform 1 0 2484 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_2
+timestamp 1606941357
+transform 1 0 1104 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_0
+timestamp 1606941357
+transform 1 0 1104 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_39
+timestamp 1606941357
+transform 1 0 4692 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_27
+timestamp 1606941357
+transform 1 0 3588 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_0_27
+timestamp 1606941357
+transform 1 0 3588 0 -1 2720
+box -38 -48 406 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_40
+timestamp 1606941357
+transform 1 0 3956 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_59
+timestamp 1606941357
+transform 1 0 6532 0 1 2720
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_51
+timestamp 1606941357
+transform 1 0 5796 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_56
+timestamp 1606941357
+transform 1 0 6256 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_44
+timestamp 1606941357
+transform 1 0 5152 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_67
+timestamp 1606941357
+transform 1 0 7268 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_75
+timestamp 1606941357
+transform 1 0 8004 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_63
+timestamp 1606941357
+transform 1 0 6900 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_43
+timestamp 1606941357
+transform 1 0 6716 0 1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_41
+timestamp 1606941357
+transform 1 0 6808 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _176_
+timestamp 1606941357
+transform 1 0 8004 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _170_
+timestamp 1606941357
+transform 1 0 6808 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_92
+timestamp 1606941357
+transform 1 0 9568 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_80
+timestamp 1606941357
+transform 1 0 8464 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_94
+timestamp 1606941357
+transform 1 0 9752 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_87
+timestamp 1606941357
+transform 1 0 9108 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_42
+timestamp 1606941357
+transform 1 0 9660 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_1_116
+timestamp 1606941357
+transform 1 0 11776 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_104
+timestamp 1606941357
+transform 1 0 10672 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_118
+timestamp 1606941357
+transform 1 0 11960 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_106
+timestamp 1606941357
+transform 1 0 10856 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_3
+timestamp 1606941357
+transform -1 0 12328 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_1
+timestamp 1606941357
+transform -1 0 12328 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_15
+timestamp 1606941357
+transform 1 0 2484 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_4
+timestamp 1606941357
+transform 1 0 1104 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_27
+timestamp 1606941357
+transform 1 0 3588 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_44
+timestamp 1606941357
+transform 1 0 3956 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_54
+timestamp 1606941357
+transform 1 0 6072 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_2_48
+timestamp 1606941357
+transform 1 0 5520 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_44
+timestamp 1606941357
+transform 1 0 5152 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__nor2_2  _169_
+timestamp 1606941357
+transform 1 0 5612 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_67
+timestamp 1606941357
+transform 1 0 7268 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _171_
+timestamp 1606941357
+transform 1 0 8004 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _161_
+timestamp 1606941357
+transform 1 0 6808 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_93
+timestamp 1606941357
+transform 1 0 9660 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_80
+timestamp 1606941357
+transform 1 0 8464 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_45
+timestamp 1606941357
+transform 1 0 9568 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_2_117
+timestamp 1606941357
+transform 1 0 11868 0 -1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_105
+timestamp 1606941357
+transform 1 0 10764 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_5
+timestamp 1606941357
+transform -1 0 12328 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_15
+timestamp 1606941357
+transform 1 0 2484 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_3
+timestamp 1606941357
+transform 1 0 1380 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_6
+timestamp 1606941357
+transform 1 0 1104 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_39
+timestamp 1606941357
+transform 1 0 4692 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_27
+timestamp 1606941357
+transform 1 0 3588 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_52
+timestamp 1606941357
+transform 1 0 5888 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _168_
+timestamp 1606941357
+transform 1 0 5428 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_72
+timestamp 1606941357
+transform 1 0 7728 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_66
+timestamp 1606941357
+transform 1 0 7176 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_3_62
+timestamp 1606941357
+transform 1 0 6808 0 1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_60
+timestamp 1606941357
+transform 1 0 6624 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_46
+timestamp 1606941357
+transform 1 0 6716 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _150_
+timestamp 1606941357
+transform 1 0 7268 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_97
+timestamp 1606941357
+transform 1 0 10028 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_85
+timestamp 1606941357
+transform 1 0 8924 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nand2_2  _166_
+timestamp 1606941357
+transform 1 0 8464 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_2  FILLER_3_117
+timestamp 1606941357
+transform 1 0 11868 0 1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_109
+timestamp 1606941357
+transform 1 0 11132 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_7
+timestamp 1606941357
+transform -1 0 12328 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_15
+timestamp 1606941357
+transform 1 0 2484 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_8
+timestamp 1606941357
+transform 1 0 1104 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_27
+timestamp 1606941357
+transform 1 0 3588 0 -1 4896
+box -38 -48 406 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_47
+timestamp 1606941357
+transform 1 0 3956 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_47
+timestamp 1606941357
+transform 1 0 5428 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_40
+timestamp 1606941357
+transform 1 0 4784 0 -1 4896
+box -38 -48 222 592
+use sky130_fd_sc_hd__nor2_2  _162_
+timestamp 1606941357
+transform 1 0 4968 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _155_
+timestamp 1606941357
+transform 1 0 6164 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_73
+timestamp 1606941357
+transform 1 0 7820 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_60
+timestamp 1606941357
+transform 1 0 6624 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _133_
+timestamp 1606941357
+transform 1 0 7360 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_98
+timestamp 1606941357
+transform 1 0 10120 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_91
+timestamp 1606941357
+transform 1 0 9476 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_4_85
+timestamp 1606941357
+transform 1 0 8924 0 -1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_48
+timestamp 1606941357
+transform 1 0 9568 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__or2_2  _174_
+timestamp 1606941357
+transform 1 0 9660 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_118
+timestamp 1606941357
+transform 1 0 11960 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_110
+timestamp 1606941357
+transform 1 0 11224 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_9
+timestamp 1606941357
+transform -1 0 12328 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_15
+timestamp 1606941357
+transform 1 0 2484 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_3
+timestamp 1606941357
+transform 1 0 1380 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_10
+timestamp 1606941357
+transform 1 0 1104 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_27
+timestamp 1606941357
+transform 1 0 3588 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_21
+timestamp 1606941357
+transform 1 0 3036 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _179_
+timestamp 1606941357
+transform 1 0 3128 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _163_
+timestamp 1606941357
+transform 1 0 4324 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_53
+timestamp 1606941357
+transform 1 0 5980 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_40
+timestamp 1606941357
+transform 1 0 4784 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _148_
+timestamp 1606941357
+transform 1 0 5520 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_75
+timestamp 1606941357
+transform 1 0 8004 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_62
+timestamp 1606941357
+transform 1 0 6808 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_49
+timestamp 1606941357
+transform 1 0 6716 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _116_
+timestamp 1606941357
+transform 1 0 7544 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_88
+timestamp 1606941357
+transform 1 0 9200 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _159_
+timestamp 1606941357
+transform 1 0 9936 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _134_
+timestamp 1606941357
+transform 1 0 8740 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_113
+timestamp 1606941357
+transform 1 0 11500 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_101
+timestamp 1606941357
+transform 1 0 10396 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_11
+timestamp 1606941357
+transform -1 0 12328 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_15
+timestamp 1606941357
+transform 1 0 2484 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_3
+timestamp 1606941357
+transform 1 0 1380 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_15
+timestamp 1606941357
+transform 1 0 2484 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_14
+timestamp 1606941357
+transform 1 0 1104 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_12
+timestamp 1606941357
+transform 1 0 1104 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__nand2_2  _165_
+timestamp 1606941357
+transform 1 0 2760 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__inv_8  _138_
+timestamp 1606941357
+transform 1 0 1656 0 1 5984
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_27
+timestamp 1606941357
+transform 1 0 3588 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_39
+timestamp 1606941357
+transform 1 0 4692 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 5984
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_50
+timestamp 1606941357
+transform 1 0 3956 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _156_
+timestamp 1606941357
+transform 1 0 4232 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _151_
+timestamp 1606941357
+transform 1 0 4324 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__buf_2  _094_
+timestamp 1606941357
+transform 1 0 3220 0 1 5984
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_53
+timestamp 1606941357
+transform 1 0 5980 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_40
+timestamp 1606941357
+transform 1 0 4784 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_52
+timestamp 1606941357
+transform 1 0 5888 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _140_
+timestamp 1606941357
+transform 1 0 5428 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _114_
+timestamp 1606941357
+transform 1 0 5520 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_71
+timestamp 1606941357
+transform 1 0 7636 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_62
+timestamp 1606941357
+transform 1 0 6808 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_73
+timestamp 1606941357
+transform 1 0 7820 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_65
+timestamp 1606941357
+transform 1 0 7084 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_52
+timestamp 1606941357
+transform 1 0 6716 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__and2_2  _144_
+timestamp 1606941357
+transform 1 0 7084 0 1 5984
+box -38 -48 590 592
+use sky130_fd_sc_hd__or2_2  _113_
+timestamp 1606941357
+transform 1 0 6624 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _111_
+timestamp 1606941357
+transform 1 0 8096 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _104_
+timestamp 1606941357
+transform 1 0 8372 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_97
+timestamp 1606941357
+transform 1 0 10028 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_84
+timestamp 1606941357
+transform 1 0 8832 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_98
+timestamp 1606941357
+transform 1 0 10120 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_89
+timestamp 1606941357
+transform 1 0 9292 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_81
+timestamp 1606941357
+transform 1 0 8556 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_51
+timestamp 1606941357
+transform 1 0 9568 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _136_
+timestamp 1606941357
+transform 1 0 9660 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _112_
+timestamp 1606941357
+transform 1 0 9568 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_118
+timestamp 1606941357
+transform 1 0 11960 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_110
+timestamp 1606941357
+transform 1 0 11224 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_111
+timestamp 1606941357
+transform 1 0 11316 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_15
+timestamp 1606941357
+transform -1 0 12328 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_13
+timestamp 1606941357
+transform -1 0 12328 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__nand2_2  _147_
+timestamp 1606941357
+transform 1 0 10856 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _146_
+timestamp 1606941357
+transform 1 0 10764 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_10
+timestamp 1606941357
+transform 1 0 2024 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  PHY_16
+timestamp 1606941357
+transform 1 0 1104 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _164_
+timestamp 1606941357
+transform 1 0 1564 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _152_
+timestamp 1606941357
+transform 1 0 2760 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_38
+timestamp 1606941357
+transform 1 0 4600 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_53
+timestamp 1606941357
+transform 1 0 3956 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor2_2  _123_
+timestamp 1606941357
+transform 1 0 4140 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_51
+timestamp 1606941357
+transform 1 0 5796 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _093_
+timestamp 1606941357
+transform 1 0 5336 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _081_
+timestamp 1606941357
+transform 1 0 6532 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_78
+timestamp 1606941357
+transform 1 0 8280 0 -1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_64
+timestamp 1606941357
+transform 1 0 6992 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_2  _107_
+timestamp 1606941357
+transform 1 0 7728 0 -1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_98
+timestamp 1606941357
+transform 1 0 10120 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_90
+timestamp 1606941357
+transform 1 0 9384 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_54
+timestamp 1606941357
+transform 1 0 9568 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _110_
+timestamp 1606941357
+transform 1 0 9660 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_111
+timestamp 1606941357
+transform 1 0 11316 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_17
+timestamp 1606941357
+transform -1 0 12328 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _119_
+timestamp 1606941357
+transform 1 0 10856 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_14
+timestamp 1606941357
+transform 1 0 2392 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_3
+timestamp 1606941357
+transform 1 0 1380 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_18
+timestamp 1606941357
+transform 1 0 1104 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _160_
+timestamp 1606941357
+transform 1 0 1932 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_27
+timestamp 1606941357
+transform 1 0 3588 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _137_
+timestamp 1606941357
+transform 1 0 3128 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _115_
+timestamp 1606941357
+transform 1 0 4324 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_53
+timestamp 1606941357
+transform 1 0 5980 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_40
+timestamp 1606941357
+transform 1 0 4784 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _086_
+timestamp 1606941357
+transform 1 0 5520 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_76
+timestamp 1606941357
+transform 1 0 8096 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_62
+timestamp 1606941357
+transform 1 0 6808 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_55
+timestamp 1606941357
+transform 1 0 6716 0 1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor3_2  _175_
+timestamp 1606941357
+transform 1 0 7360 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_90
+timestamp 1606941357
+transform 1 0 9384 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__and2_2  _157_
+timestamp 1606941357
+transform 1 0 10120 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _108_
+timestamp 1606941357
+transform 1 0 8832 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_116
+timestamp 1606941357
+transform 1 0 11776 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_104
+timestamp 1606941357
+transform 1 0 10672 0 1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_19
+timestamp 1606941357
+transform -1 0 12328 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_10
+timestamp 1606941357
+transform 1 0 2024 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_10_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  PHY_20
+timestamp 1606941357
+transform 1 0 1104 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _154_
+timestamp 1606941357
+transform 1 0 1564 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _120_
+timestamp 1606941357
+transform 1 0 2760 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_56
+timestamp 1606941357
+transform 1 0 3956 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_46
+timestamp 1606941357
+transform 1 0 5336 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__and2_2  _141_
+timestamp 1606941357
+transform 1 0 4784 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _103_
+timestamp 1606941357
+transform 1 0 6072 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_10_77
+timestamp 1606941357
+transform 1 0 8188 0 -1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_60
+timestamp 1606941357
+transform 1 0 6624 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_4  _177_
+timestamp 1606941357
+transform 1 0 7360 0 -1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  FILLER_10_89
+timestamp 1606941357
+transform 1 0 9292 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_57
+timestamp 1606941357
+transform 1 0 9568 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__or2_4  _126_
+timestamp 1606941357
+transform 1 0 9660 0 -1 8160
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_111
+timestamp 1606941357
+transform 1 0 11316 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_100
+timestamp 1606941357
+transform 1 0 10304 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_21
+timestamp 1606941357
+transform -1 0 12328 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__buf_1  _109_
+timestamp 1606941357
+transform 1 0 11040 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_12
+timestamp 1606941357
+transform 1 0 2208 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_4  FILLER_11_3
+timestamp 1606941357
+transform 1 0 1380 0 1 8160
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_3  PHY_22
+timestamp 1606941357
+transform 1 0 1104 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _122_
+timestamp 1606941357
+transform 1 0 1748 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_39
+timestamp 1606941357
+transform 1 0 4692 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_25
+timestamp 1606941357
+transform 1 0 3404 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_2  _143_
+timestamp 1606941357
+transform 1 0 4140 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__or2_2  _092_
+timestamp 1606941357
+transform 1 0 2944 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_53
+timestamp 1606941357
+transform 1 0 5980 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2  _117_
+timestamp 1606941357
+transform 1 0 5428 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_72
+timestamp 1606941357
+transform 1 0 7728 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_11_62
+timestamp 1606941357
+transform 1 0 6808 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_58
+timestamp 1606941357
+transform 1 0 6716 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__or3_4  _132_
+timestamp 1606941357
+transform 1 0 6900 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_89
+timestamp 1606941357
+transform 1 0 9292 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _178_
+timestamp 1606941357
+transform 1 0 8464 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__nor3_2  _158_
+timestamp 1606941357
+transform 1 0 10028 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_11_117
+timestamp 1606941357
+transform 1 0 11868 0 1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_11_105
+timestamp 1606941357
+transform 1 0 10764 0 1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_23
+timestamp 1606941357
+transform -1 0 12328 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_10
+timestamp 1606941357
+transform 1 0 2024 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_12_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_3  PHY_24
+timestamp 1606941357
+transform 1 0 1104 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__nor2_2  _118_
+timestamp 1606941357
+transform 1 0 1564 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _087_
+timestamp 1606941357
+transform 1 0 2760 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_37
+timestamp 1606941357
+transform 1 0 4508 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_59
+timestamp 1606941357
+transform 1 0 3956 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__or2_2  _079_
+timestamp 1606941357
+transform 1 0 4048 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_52
+timestamp 1606941357
+transform 1 0 5888 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _084_
+timestamp 1606941357
+transform 1 0 5244 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_69
+timestamp 1606941357
+transform 1 0 7452 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _125_
+timestamp 1606941357
+transform 1 0 8188 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__buf_6  _097_
+timestamp 1606941357
+transform 1 0 6624 0 -1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_84
+timestamp 1606941357
+transform 1 0 8832 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_60
+timestamp 1606941357
+transform 1 0 9568 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand3_2  _101_
+timestamp 1606941357
+transform 1 0 9660 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_12_113
+timestamp 1606941357
+transform 1 0 11500 0 -1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_12_101
+timestamp 1606941357
+transform 1 0 10396 0 -1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_25
+timestamp 1606941357
+transform -1 0 12328 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_9
+timestamp 1606941357
+transform 1 0 1932 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_8
+timestamp 1606941357
+transform 1 0 1840 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_28
+timestamp 1606941357
+transform 1 0 1104 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_26
+timestamp 1606941357
+transform 1 0 1104 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__or3_2  _181_
+timestamp 1606941357
+transform 1 0 1380 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _139_
+timestamp 1606941357
+transform 1 0 2576 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__and3_2  _124_
+timestamp 1606941357
+transform 1 0 2668 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__nand2_2  _088_
+timestamp 1606941357
+transform 1 0 1380 0 1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_38
+timestamp 1606941357
+transform 1 0 4600 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_14_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_36
+timestamp 1606941357
+transform 1 0 4416 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_22
+timestamp 1606941357
+transform 1 0 3128 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_62
+timestamp 1606941357
+transform 1 0 3956 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__and2_2  _105_
+timestamp 1606941357
+transform 1 0 3864 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__buf_6  _085_
+timestamp 1606941357
+transform 1 0 4692 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_48
+timestamp 1606941357
+transform 1 0 5520 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_53
+timestamp 1606941357
+transform 1 0 5980 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _153_
+timestamp 1606941357
+transform 1 0 5152 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__o21a_4  _142_
+timestamp 1606941357
+transform 1 0 6256 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_68
+timestamp 1606941357
+transform 1 0 7360 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_71
+timestamp 1606941357
+transform 1 0 7636 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_61
+timestamp 1606941357
+transform 1 0 6716 0 1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _098_
+timestamp 1606941357
+transform 1 0 8372 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _090_
+timestamp 1606941357
+transform 1 0 6808 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__nor3_2  _080_
+timestamp 1606941357
+transform 1 0 8096 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_84
+timestamp 1606941357
+transform 1 0 8832 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_88
+timestamp 1606941357
+transform 1 0 9200 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_63
+timestamp 1606941357
+transform 1 0 9568 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _128_
+timestamp 1606941357
+transform 1 0 9936 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _121_
+timestamp 1606941357
+transform 1 0 9660 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_118
+timestamp 1606941357
+transform 1 0 11960 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_14_114
+timestamp 1606941357
+transform 1 0 11592 0 -1 10336
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_14_102
+timestamp 1606941357
+transform 1 0 10488 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_13_117
+timestamp 1606941357
+transform 1 0 11868 0 1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_13_105
+timestamp 1606941357
+transform 1 0 10764 0 1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_29
+timestamp 1606941357
+transform -1 0 12328 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_27
+timestamp 1606941357
+transform -1 0 12328 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_19
+timestamp 1606941357
+transform 1 0 2852 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_15_11
+timestamp 1606941357
+transform 1 0 2116 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_3
+timestamp 1606941357
+transform 1 0 1380 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_30
+timestamp 1606941357
+transform 1 0 1104 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__or2_4  _096_
+timestamp 1606941357
+transform 1 0 2208 0 1 10336
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_36
+timestamp 1606941357
+transform 1 0 4416 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _135_
+timestamp 1606941357
+transform 1 0 3588 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_53
+timestamp 1606941357
+transform 1 0 5980 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _082_
+timestamp 1606941357
+transform 1 0 5152 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_77
+timestamp 1606941357
+transform 1 0 8188 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_15_62
+timestamp 1606941357
+transform 1 0 6808 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_64
+timestamp 1606941357
+transform 1 0 6716 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__o21a_4  _106_
+timestamp 1606941357
+transform 1 0 7084 0 1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_94
+timestamp 1606941357
+transform 1 0 9752 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _095_
+timestamp 1606941357
+transform 1 0 8924 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_111
+timestamp 1606941357
+transform 1 0 11316 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_31
+timestamp 1606941357
+transform -1 0 12328 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__buf_6  _127_
+timestamp 1606941357
+transform 1 0 10488 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  FILLER_16_11
+timestamp 1606941357
+transform 1 0 2116 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_32
+timestamp 1606941357
+transform 1 0 1104 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__or3_4  _167_
+timestamp 1606941357
+transform 1 0 2392 0 -1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_38
+timestamp 1606941357
+transform 1 0 4600 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_65
+timestamp 1606941357
+transform 1 0 3956 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__and3_2  _091_
+timestamp 1606941357
+transform 1 0 4048 0 -1 11424
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_56
+timestamp 1606941357
+transform 1 0 6256 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor4_2  _180_
+timestamp 1606941357
+transform 1 0 5336 0 -1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_78
+timestamp 1606941357
+transform 1 0 8280 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__a211o_4  _149_
+timestamp 1606941357
+transform 1 0 6992 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__fill_2  FILLER_16_90
+timestamp 1606941357
+transform 1 0 9384 0 -1 11424
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_66
+timestamp 1606941357
+transform 1 0 9568 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__o22a_4  _100_
+timestamp 1606941357
+transform 1 0 9660 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_107
+timestamp 1606941357
+transform 1 0 10948 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_33
+timestamp 1606941357
+transform -1 0 12328 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_11
+timestamp 1606941357
+transform 1 0 2116 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_3
+timestamp 1606941357
+transform 1 0 1380 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_34
+timestamp 1606941357
+transform 1 0 1104 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_8  _083_
+timestamp 1606941357
+transform 1 0 2208 0 1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_21
+timestamp 1606941357
+transform 1 0 3036 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__dfxtp_4  _184_
+timestamp 1606941357
+transform 1 0 3772 0 1 11424
+box -38 -48 1786 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_48
+timestamp 1606941357
+transform 1 0 5520 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_66
+timestamp 1606941357
+transform 1 0 7176 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_62
+timestamp 1606941357
+transform 1 0 6808 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_60
+timestamp 1606941357
+transform 1 0 6624 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_67
+timestamp 1606941357
+transform 1 0 6716 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__a32o_4  _099_
+timestamp 1606941357
+transform 1 0 7268 0 1 11424
+box -38 -48 1602 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_84
+timestamp 1606941357
+transform 1 0 8832 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor4_2  _172_
+timestamp 1606941357
+transform 1 0 9568 0 1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_118
+timestamp 1606941357
+transform 1 0 11960 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_114
+timestamp 1606941357
+transform 1 0 11592 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_102
+timestamp 1606941357
+transform 1 0 10488 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_35
+timestamp 1606941357
+transform -1 0 12328 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_11
+timestamp 1606941357
+transform 1 0 2116 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_3
+timestamp 1606941357
+transform 1 0 1380 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_36
+timestamp 1606941357
+transform 1 0 1104 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_8  _131_
+timestamp 1606941357
+transform 1 0 2392 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_32
+timestamp 1606941357
+transform 1 0 4048 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_23
+timestamp 1606941357
+transform 1 0 3220 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_68
+timestamp 1606941357
+transform 1 0 3956 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__dfxtp_4  _183_
+timestamp 1606941357
+transform 1 0 4140 0 -1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_52
+timestamp 1606941357
+transform 1 0 5888 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_77
+timestamp 1606941357
+transform 1 0 8188 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__a32o_4  _129_
+timestamp 1606941357
+transform 1 0 6624 0 -1 12512
+box -38 -48 1602 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_89
+timestamp 1606941357
+transform 1 0 9292 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_69
+timestamp 1606941357
+transform 1 0 9568 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _089_
+timestamp 1606941357
+transform 1 0 9660 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_118
+timestamp 1606941357
+transform 1 0 11960 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_18_114
+timestamp 1606941357
+transform 1 0 11592 0 -1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_102
+timestamp 1606941357
+transform 1 0 10488 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_37
+timestamp 1606941357
+transform -1 0 12328 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_6
+timestamp 1606941357
+transform 1 0 1656 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_38
+timestamp 1606941357
+transform 1 0 1104 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__buf_1  _145_
+timestamp 1606941357
+transform 1 0 1380 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__inv_8  _102_
+timestamp 1606941357
+transform 1 0 2392 0 1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_23
+timestamp 1606941357
+transform 1 0 3220 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_70
+timestamp 1606941357
+transform 1 0 3956 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__dfxtp_4  _182_
+timestamp 1606941357
+transform 1 0 4048 0 1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_59
+timestamp 1606941357
+transform 1 0 6532 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_51
+timestamp 1606941357
+transform 1 0 5796 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_19_77
+timestamp 1606941357
+transform 1 0 8188 0 1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_71
+timestamp 1606941357
+transform 1 0 6808 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__a211o_4  _173_
+timestamp 1606941357
+transform 1 0 6900 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__decap_4  FILLER_19_89
+timestamp 1606941357
+transform 1 0 9292 0 1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_72
+timestamp 1606941357
+transform 1 0 9660 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__o22a_4  _130_
+timestamp 1606941357
+transform 1 0 9752 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_116
+timestamp 1606941357
+transform 1 0 11776 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_108
+timestamp 1606941357
+transform 1 0 11040 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_39
+timestamp 1606941357
+transform -1 0 12328 0 1 12512
+box -38 -48 314 592
+<< labels >>
+rlabel metal2 s 2502 14842 2558 15642 4 cbitin
+port 1 nsew
+rlabel metal2 s 1306 0 1362 800 4 cbitout
+port 2 nsew
+rlabel metal2 s 1490 14842 1546 15642 4 confclk
+port 3 nsew
+rlabel metal2 s 3974 0 4030 800 4 dempty
+port 4 nsew
+rlabel metal2 s 6642 14842 6698 15642 4 din[0]
+port 5 nsew
+rlabel metal3 s 12698 10888 13498 11008 4 din[1]
+port 6 nsew
+rlabel metal3 s 12698 4632 13498 4752 4 dout[0]
+port 7 nsew
+rlabel metal2 s 9770 14842 9826 15642 4 dout[1]
+port 8 nsew
+rlabel metal2 s 4618 14842 4674 15642 4 hempty
+port 9 nsew
+rlabel metal3 s 0 2592 800 2712 4 lempty
+port 10 nsew
+rlabel metal3 s 0 7760 800 7880 4 lin[0]
+port 11 nsew
+rlabel metal3 s 0 12928 800 13048 4 lin[1]
+port 12 nsew
+rlabel metal2 s 7746 14842 7802 15642 4 lout[0]
+port 13 nsew
+rlabel metal3 s 12698 14016 13498 14136 4 lout[1]
+port 14 nsew
+rlabel metal3 s 12698 1504 13498 1624 4 rempty
+port 15 nsew
+rlabel metal2 s 478 14842 534 15642 4 reset
+port 16 nsew
+rlabel metal3 s 12698 7760 13498 7880 4 rin[0]
+port 17 nsew
+rlabel metal2 s 10874 14842 10930 15642 4 rin[1]
+port 18 nsew
+rlabel metal2 s 8758 14842 8814 15642 4 rout[0]
+port 19 nsew
+rlabel metal2 s 11886 14842 11942 15642 4 rout[1]
+port 20 nsew
+rlabel metal2 s 3514 14842 3570 15642 4 uempty
+port 21 nsew
+rlabel metal2 s 6642 0 6698 800 4 uin[0]
+port 22 nsew
+rlabel metal2 s 12898 14842 12954 15642 4 uin[1]
+port 23 nsew
+rlabel metal2 s 9402 0 9458 800 4 uout[0]
+port 24 nsew
+rlabel metal2 s 12070 0 12126 800 4 uout[1]
+port 25 nsew
+rlabel metal2 s 5630 14842 5686 15642 4 vempty
+port 26 nsew
+rlabel metal4 s 2815 2128 3135 13104 4 VPWR
+port 27 nsew
+rlabel metal4 s 4685 2128 5005 13104 4 VGND
+port 28 nsew
+<< properties >>
+string FIXED_BBOX 0 0 13498 15642
+<< end >>
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.ext b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.ext
new file mode 100644
index 0000000..d1b4a51
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.ext
@@ -0,0 +1,4107 @@
+timestamp 1606941355
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 2200000 3050000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+use sky130_fd_sc_hd__decap_3 PHY_0 1 0 1104 0 -1 2720
+use sky130_fd_sc_hd__decap_3 PHY_2 1 0 1104 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_3 1 0 1380 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_15 1 0 2484 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_3 1 0 1380 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_15 1 0 2484 0 1 2720
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_40 1 0 3956 0 -1 2720
+use sky130_fd_sc_hd__decap_4 FILLER_0_27 1 0 3588 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_32 1 0 4048 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_27 1 0 3588 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_39 1 0 4692 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_44 1 0 5152 0 -1 2720
+use sky130_fd_sc_hd__decap_6 FILLER_0_56 1 0 6256 0 -1 2720
+use sky130_fd_sc_hd__decap_8 FILLER_1_51 1 0 5796 0 1 2720
+use sky130_fd_sc_hd__fill_2 FILLER_1_59 1 0 6532 0 1 2720
+use sky130_fd_sc_hd__nor2_2 _170_ 1 0 6808 0 1 2720
+use sky130_fd_sc_hd__nor2_2 _176_ 1 0 8004 0 1 2720
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_41 1 0 6808 0 -1 2720
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_43 1 0 6716 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_63 1 0 6900 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_75 1 0 8004 0 -1 2720
+use sky130_fd_sc_hd__decap_8 FILLER_1_67 1 0 7268 0 1 2720
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_42 1 0 9660 0 -1 2720
+use sky130_fd_sc_hd__decap_6 FILLER_0_87 1 0 9108 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_94 1 0 9752 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_80 1 0 8464 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_92 1 0 9568 0 1 2720
+use sky130_fd_sc_hd__decap_3 PHY_1 -1 0 12328 0 -1 2720
+use sky130_fd_sc_hd__decap_3 PHY_3 -1 0 12328 0 1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_0_106 1 0 10856 0 -1 2720
+use sky130_fd_sc_hd__fill_1 FILLER_0_118 1 0 11960 0 -1 2720
+use sky130_fd_sc_hd__decap_12 FILLER_1_104 1 0 10672 0 1 2720
+use sky130_fd_sc_hd__decap_3 FILLER_1_116 1 0 11776 0 1 2720
+use sky130_fd_sc_hd__decap_3 PHY_4 1 0 1104 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_3 1 0 1380 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_15 1 0 2484 0 -1 3808
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_44 1 0 3956 0 -1 3808
+use sky130_fd_sc_hd__decap_4 FILLER_2_27 1 0 3588 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_32 1 0 4048 0 -1 3808
+use sky130_fd_sc_hd__nor2_2 _169_ 1 0 5612 0 -1 3808
+use sky130_fd_sc_hd__decap_4 FILLER_2_44 1 0 5152 0 -1 3808
+use sky130_fd_sc_hd__fill_1 FILLER_2_48 1 0 5520 0 -1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_2_54 1 0 6072 0 -1 3808
+use sky130_fd_sc_hd__nor2_2 _161_ 1 0 6808 0 -1 3808
+use sky130_fd_sc_hd__nor2_2 _171_ 1 0 8004 0 -1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_2_67 1 0 7268 0 -1 3808
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_45 1 0 9568 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_80 1 0 8464 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_93 1 0 9660 0 -1 3808
+use sky130_fd_sc_hd__decap_3 PHY_5 -1 0 12328 0 -1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_2_105 1 0 10764 0 -1 3808
+use sky130_fd_sc_hd__fill_2 FILLER_2_117 1 0 11868 0 -1 3808
+use sky130_fd_sc_hd__decap_3 PHY_6 1 0 1104 0 1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_3_3 1 0 1380 0 1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_3_15 1 0 2484 0 1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_3_27 1 0 3588 0 1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_3_39 1 0 4692 0 1 3808
+use sky130_fd_sc_hd__nand2_2 _168_ 1 0 5428 0 1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_3_52 1 0 5888 0 1 3808
+use sky130_fd_sc_hd__nor2_2 _150_ 1 0 7268 0 1 3808
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_46 1 0 6716 0 1 3808
+use sky130_fd_sc_hd__fill_1 FILLER_3_60 1 0 6624 0 1 3808
+use sky130_fd_sc_hd__decap_4 FILLER_3_62 1 0 6808 0 1 3808
+use sky130_fd_sc_hd__fill_1 FILLER_3_66 1 0 7176 0 1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_3_72 1 0 7728 0 1 3808
+use sky130_fd_sc_hd__nand2_2 _166_ 1 0 8464 0 1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_3_85 1 0 8924 0 1 3808
+use sky130_fd_sc_hd__decap_12 FILLER_3_97 1 0 10028 0 1 3808
+use sky130_fd_sc_hd__decap_3 PHY_7 -1 0 12328 0 1 3808
+use sky130_fd_sc_hd__decap_8 FILLER_3_109 1 0 11132 0 1 3808
+use sky130_fd_sc_hd__fill_2 FILLER_3_117 1 0 11868 0 1 3808
+use sky130_fd_sc_hd__decap_3 PHY_8 1 0 1104 0 -1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_4_3 1 0 1380 0 -1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_4_15 1 0 2484 0 -1 4896
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_47 1 0 3956 0 -1 4896
+use sky130_fd_sc_hd__decap_4 FILLER_4_27 1 0 3588 0 -1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_4_32 1 0 4048 0 -1 4896
+use sky130_fd_sc_hd__nor2_2 _155_ 1 0 6164 0 -1 4896
+use sky130_fd_sc_hd__nor2_2 _162_ 1 0 4968 0 -1 4896
+use sky130_fd_sc_hd__fill_2 FILLER_4_40 1 0 4784 0 -1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_4_47 1 0 5428 0 -1 4896
+use sky130_fd_sc_hd__nor2_2 _133_ 1 0 7360 0 -1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_4_60 1 0 6624 0 -1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_4_73 1 0 7820 0 -1 4896
+use sky130_fd_sc_hd__or2_2 _174_ 1 0 9660 0 -1 4896
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_48 1 0 9568 0 -1 4896
+use sky130_fd_sc_hd__decap_6 FILLER_4_85 1 0 8924 0 -1 4896
+use sky130_fd_sc_hd__fill_1 FILLER_4_91 1 0 9476 0 -1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_4_98 1 0 10120 0 -1 4896
+use sky130_fd_sc_hd__decap_3 PHY_9 -1 0 12328 0 -1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_4_110 1 0 11224 0 -1 4896
+use sky130_fd_sc_hd__fill_1 FILLER_4_118 1 0 11960 0 -1 4896
+use sky130_fd_sc_hd__decap_3 PHY_10 1 0 1104 0 1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_5_3 1 0 1380 0 1 4896
+use sky130_fd_sc_hd__decap_6 FILLER_5_15 1 0 2484 0 1 4896
+use sky130_fd_sc_hd__nor2_2 _163_ 1 0 4324 0 1 4896
+use sky130_fd_sc_hd__nor2_2 _179_ 1 0 3128 0 1 4896
+use sky130_fd_sc_hd__fill_1 FILLER_5_21 1 0 3036 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_27 1 0 3588 0 1 4896
+use sky130_fd_sc_hd__nor2_2 _148_ 1 0 5520 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_40 1 0 4784 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_53 1 0 5980 0 1 4896
+use sky130_fd_sc_hd__nand2_2 _116_ 1 0 7544 0 1 4896
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_49 1 0 6716 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_62 1 0 6808 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_75 1 0 8004 0 1 4896
+use sky130_fd_sc_hd__nor2_2 _134_ 1 0 8740 0 1 4896
+use sky130_fd_sc_hd__or2_2 _159_ 1 0 9936 0 1 4896
+use sky130_fd_sc_hd__decap_8 FILLER_5_88 1 0 9200 0 1 4896
+use sky130_fd_sc_hd__decap_3 PHY_11 -1 0 12328 0 1 4896
+use sky130_fd_sc_hd__decap_12 FILLER_5_101 1 0 10396 0 1 4896
+use sky130_fd_sc_hd__decap_6 FILLER_5_113 1 0 11500 0 1 4896
+use sky130_fd_sc_hd__inv_8 _138_ 1 0 1656 0 1 5984
+use sky130_fd_sc_hd__nand2_2 _165_ 1 0 2760 0 -1 5984
+use sky130_fd_sc_hd__decap_3 PHY_12 1 0 1104 0 -1 5984
+use sky130_fd_sc_hd__decap_3 PHY_14 1 0 1104 0 1 5984
+use sky130_fd_sc_hd__decap_12 FILLER_6_3 1 0 1380 0 -1 5984
+use sky130_fd_sc_hd__decap_3 FILLER_6_15 1 0 2484 0 -1 5984
+use sky130_fd_sc_hd__decap_3 FILLER_7_3 1 0 1380 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_15 1 0 2484 0 1 5984
+use sky130_fd_sc_hd__buf_2 _094_ 1 0 3220 0 1 5984
+use sky130_fd_sc_hd__nor2_2 _151_ 1 0 4324 0 1 5984
+use sky130_fd_sc_hd__nor2_2 _156_ 1 0 4232 0 -1 5984
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_50 1 0 3956 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_23 1 0 3220 0 -1 5984
+use sky130_fd_sc_hd__fill_2 FILLER_6_32 1 0 4048 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_39 1 0 4692 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_27 1 0 3588 0 1 5984
+use sky130_fd_sc_hd__or2_2 _114_ 1 0 5520 0 1 5984
+use sky130_fd_sc_hd__or2_2 _140_ 1 0 5428 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_52 1 0 5888 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_40 1 0 4784 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_53 1 0 5980 0 1 5984
+use sky130_fd_sc_hd__or2_2 _104_ 1 0 8372 0 1 5984
+use sky130_fd_sc_hd__nand2_2 _111_ 1 0 8096 0 -1 5984
+use sky130_fd_sc_hd__or2_2 _113_ 1 0 6624 0 -1 5984
+use sky130_fd_sc_hd__and2_2 _144_ 1 0 7084 0 1 5984
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_52 1 0 6716 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_65 1 0 7084 0 -1 5984
+use sky130_fd_sc_hd__decap_3 FILLER_6_73 1 0 7820 0 -1 5984
+use sky130_fd_sc_hd__decap_3 FILLER_7_62 1 0 6808 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_71 1 0 7636 0 1 5984
+use sky130_fd_sc_hd__nand2_2 _112_ 1 0 9568 0 1 5984
+use sky130_fd_sc_hd__nor2_2 _136_ 1 0 9660 0 -1 5984
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_51 1 0 9568 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_81 1 0 8556 0 -1 5984
+use sky130_fd_sc_hd__decap_3 FILLER_6_89 1 0 9292 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_98 1 0 10120 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_84 1 0 8832 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_97 1 0 10028 0 1 5984
+use sky130_fd_sc_hd__nand2_2 _146_ 1 0 10764 0 1 5984
+use sky130_fd_sc_hd__nand2_2 _147_ 1 0 10856 0 -1 5984
+use sky130_fd_sc_hd__decap_3 PHY_13 -1 0 12328 0 -1 5984
+use sky130_fd_sc_hd__decap_3 PHY_15 -1 0 12328 0 1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_6_111 1 0 11316 0 -1 5984
+use sky130_fd_sc_hd__decap_8 FILLER_7_110 1 0 11224 0 1 5984
+use sky130_fd_sc_hd__fill_1 FILLER_7_118 1 0 11960 0 1 5984
+use sky130_fd_sc_hd__nor2_2 _152_ 1 0 2760 0 -1 7072
+use sky130_fd_sc_hd__nor2_2 _164_ 1 0 1564 0 -1 7072
+use sky130_fd_sc_hd__decap_3 PHY_16 1 0 1104 0 -1 7072
+use sky130_fd_sc_hd__fill_2 FILLER_8_3 1 0 1380 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_10 1 0 2024 0 -1 7072
+use sky130_fd_sc_hd__nor2_2 _123_ 1 0 4140 0 -1 7072
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_53 1 0 3956 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_23 1 0 3220 0 -1 7072
+use sky130_fd_sc_hd__fill_1 FILLER_8_32 1 0 4048 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_38 1 0 4600 0 -1 7072
+use sky130_fd_sc_hd__nor2_2 _081_ 1 0 6532 0 -1 7072
+use sky130_fd_sc_hd__or2_2 _093_ 1 0 5336 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_51 1 0 5796 0 -1 7072
+use sky130_fd_sc_hd__or3_2 _107_ 1 0 7728 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_64 1 0 6992 0 -1 7072
+use sky130_fd_sc_hd__decap_12 FILLER_8_78 1 0 8280 0 -1 7072
+use sky130_fd_sc_hd__nand2_2 _110_ 1 0 9660 0 -1 7072
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_54 1 0 9568 0 -1 7072
+use sky130_fd_sc_hd__fill_2 FILLER_8_90 1 0 9384 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_98 1 0 10120 0 -1 7072
+use sky130_fd_sc_hd__nor2_2 _119_ 1 0 10856 0 -1 7072
+use sky130_fd_sc_hd__decap_3 PHY_17 -1 0 12328 0 -1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_8_111 1 0 11316 0 -1 7072
+use sky130_fd_sc_hd__nor2_2 _160_ 1 0 1932 0 1 7072
+use sky130_fd_sc_hd__decap_3 PHY_18 1 0 1104 0 1 7072
+use sky130_fd_sc_hd__decap_6 FILLER_9_3 1 0 1380 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_14 1 0 2392 0 1 7072
+use sky130_fd_sc_hd__nand2_2 _115_ 1 0 4324 0 1 7072
+use sky130_fd_sc_hd__nor2_2 _137_ 1 0 3128 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_27 1 0 3588 0 1 7072
+use sky130_fd_sc_hd__or2_2 _086_ 1 0 5520 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_40 1 0 4784 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_53 1 0 5980 0 1 7072
+use sky130_fd_sc_hd__nor3_2 _175_ 1 0 7360 0 1 7072
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_55 1 0 6716 0 1 7072
+use sky130_fd_sc_hd__decap_6 FILLER_9_62 1 0 6808 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_76 1 0 8096 0 1 7072
+use sky130_fd_sc_hd__and2_2 _108_ 1 0 8832 0 1 7072
+use sky130_fd_sc_hd__and2_2 _157_ 1 0 10120 0 1 7072
+use sky130_fd_sc_hd__decap_8 FILLER_9_90 1 0 9384 0 1 7072
+use sky130_fd_sc_hd__decap_3 PHY_19 -1 0 12328 0 1 7072
+use sky130_fd_sc_hd__decap_12 FILLER_9_104 1 0 10672 0 1 7072
+use sky130_fd_sc_hd__decap_3 FILLER_9_116 1 0 11776 0 1 7072
+use sky130_fd_sc_hd__nor2_2 _120_ 1 0 2760 0 -1 8160
+use sky130_fd_sc_hd__nor2_2 _154_ 1 0 1564 0 -1 8160
+use sky130_fd_sc_hd__decap_3 PHY_20 1 0 1104 0 -1 8160
+use sky130_fd_sc_hd__fill_2 FILLER_10_3 1 0 1380 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_10 1 0 2024 0 -1 8160
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_56 1 0 3956 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_23 1 0 3220 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_32 1 0 4048 0 -1 8160
+use sky130_fd_sc_hd__and2_2 _103_ 1 0 6072 0 -1 8160
+use sky130_fd_sc_hd__and2_2 _141_ 1 0 4784 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_46 1 0 5336 0 -1 8160
+use sky130_fd_sc_hd__and3_4 _177_ 1 0 7360 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_60 1 0 6624 0 -1 8160
+use sky130_fd_sc_hd__decap_12 FILLER_10_77 1 0 8188 0 -1 8160
+use sky130_fd_sc_hd__or2_4 _126_ 1 0 9660 0 -1 8160
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_57 1 0 9568 0 -1 8160
+use sky130_fd_sc_hd__decap_3 FILLER_10_89 1 0 9292 0 -1 8160
+use sky130_fd_sc_hd__buf_1 _109_ 1 0 11040 0 -1 8160
+use sky130_fd_sc_hd__decap_3 PHY_21 -1 0 12328 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_100 1 0 10304 0 -1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_10_111 1 0 11316 0 -1 8160
+use sky130_fd_sc_hd__nor2_2 _122_ 1 0 1748 0 1 8160
+use sky130_fd_sc_hd__decap_3 PHY_22 1 0 1104 0 1 8160
+use sky130_fd_sc_hd__decap_4 FILLER_11_3 1 0 1380 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_12 1 0 2208 0 1 8160
+use sky130_fd_sc_hd__or2_2 _092_ 1 0 2944 0 1 8160
+use sky130_fd_sc_hd__or3_2 _143_ 1 0 4140 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_25 1 0 3404 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_39 1 0 4692 0 1 8160
+use sky130_fd_sc_hd__and3_2 _117_ 1 0 5428 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_53 1 0 5980 0 1 8160
+use sky130_fd_sc_hd__or3_4 _132_ 1 0 6900 0 1 8160
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_58 1 0 6716 0 1 8160
+use sky130_fd_sc_hd__fill_1 FILLER_11_62 1 0 6808 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_72 1 0 7728 0 1 8160
+use sky130_fd_sc_hd__nor3_2 _158_ 1 0 10028 0 1 8160
+use sky130_fd_sc_hd__nor2_4 _178_ 1 0 8464 0 1 8160
+use sky130_fd_sc_hd__decap_8 FILLER_11_89 1 0 9292 0 1 8160
+use sky130_fd_sc_hd__decap_3 PHY_23 -1 0 12328 0 1 8160
+use sky130_fd_sc_hd__decap_12 FILLER_11_105 1 0 10764 0 1 8160
+use sky130_fd_sc_hd__fill_2 FILLER_11_117 1 0 11868 0 1 8160
+use sky130_fd_sc_hd__nor2_2 _087_ 1 0 2760 0 -1 9248
+use sky130_fd_sc_hd__nor2_2 _118_ 1 0 1564 0 -1 9248
+use sky130_fd_sc_hd__decap_3 PHY_24 1 0 1104 0 -1 9248
+use sky130_fd_sc_hd__fill_2 FILLER_12_3 1 0 1380 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_10 1 0 2024 0 -1 9248
+use sky130_fd_sc_hd__or2_2 _079_ 1 0 4048 0 -1 9248
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_59 1 0 3956 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_23 1 0 3220 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_37 1 0 4508 0 -1 9248
+use sky130_fd_sc_hd__or2_4 _084_ 1 0 5244 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_52 1 0 5888 0 -1 9248
+use sky130_fd_sc_hd__buf_6 _097_ 1 0 6624 0 -1 9248
+use sky130_fd_sc_hd__or2_4 _125_ 1 0 8188 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_69 1 0 7452 0 -1 9248
+use sky130_fd_sc_hd__nand3_2 _101_ 1 0 9660 0 -1 9248
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_60 1 0 9568 0 -1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_12_84 1 0 8832 0 -1 9248
+use sky130_fd_sc_hd__decap_3 PHY_25 -1 0 12328 0 -1 9248
+use sky130_fd_sc_hd__decap_12 FILLER_12_101 1 0 10396 0 -1 9248
+use sky130_fd_sc_hd__decap_6 FILLER_12_113 1 0 11500 0 -1 9248
+use sky130_fd_sc_hd__nand2_2 _088_ 1 0 1380 0 1 9248
+use sky130_fd_sc_hd__and3_2 _124_ 1 0 2668 0 -1 10336
+use sky130_fd_sc_hd__and2_2 _139_ 1 0 2576 0 1 9248
+use sky130_fd_sc_hd__or3_2 _181_ 1 0 1380 0 -1 10336
+use sky130_fd_sc_hd__decap_3 PHY_26 1 0 1104 0 1 9248
+use sky130_fd_sc_hd__decap_3 PHY_28 1 0 1104 0 -1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_13_8 1 0 1840 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_14_9 1 0 1932 0 -1 10336
+use sky130_fd_sc_hd__buf_6 _085_ 1 0 4692 0 -1 10336
+use sky130_fd_sc_hd__and2_2 _105_ 1 0 3864 0 1 9248
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_62 1 0 3956 0 -1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_13_22 1 0 3128 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_13_36 1 0 4416 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_14_23 1 0 3220 0 -1 10336
+use sky130_fd_sc_hd__decap_6 FILLER_14_32 1 0 4048 0 -1 10336
+use sky130_fd_sc_hd__fill_1 FILLER_14_38 1 0 4600 0 -1 10336
+use sky130_fd_sc_hd__o21a_4 _142_ 1 0 6256 0 -1 10336
+use sky130_fd_sc_hd__inv_8 _153_ 1 0 5152 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_13_53 1 0 5980 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_14_48 1 0 5520 0 -1 10336
+use sky130_fd_sc_hd__nor3_2 _080_ 1 0 8096 0 -1 10336
+use sky130_fd_sc_hd__inv_8 _090_ 1 0 6808 0 1 9248
+use sky130_fd_sc_hd__inv_8 _098_ 1 0 8372 0 1 9248
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_61 1 0 6716 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_13_71 1 0 7636 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_14_68 1 0 7360 0 -1 10336
+use sky130_fd_sc_hd__inv_8 _121_ 1 0 9660 0 -1 10336
+use sky130_fd_sc_hd__inv_8 _128_ 1 0 9936 0 1 9248
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_63 1 0 9568 0 -1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_13_88 1 0 9200 0 1 9248
+use sky130_fd_sc_hd__decap_8 FILLER_14_84 1 0 8832 0 -1 10336
+use sky130_fd_sc_hd__decap_3 PHY_27 -1 0 12328 0 1 9248
+use sky130_fd_sc_hd__decap_3 PHY_29 -1 0 12328 0 -1 10336
+use sky130_fd_sc_hd__decap_12 FILLER_13_105 1 0 10764 0 1 9248
+use sky130_fd_sc_hd__fill_2 FILLER_13_117 1 0 11868 0 1 9248
+use sky130_fd_sc_hd__decap_12 FILLER_14_102 1 0 10488 0 -1 10336
+use sky130_fd_sc_hd__decap_4 FILLER_14_114 1 0 11592 0 -1 10336
+use sky130_fd_sc_hd__fill_1 FILLER_14_118 1 0 11960 0 -1 10336
+use sky130_fd_sc_hd__or2_4 _096_ 1 0 2208 0 1 10336
+use sky130_fd_sc_hd__decap_3 PHY_30 1 0 1104 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_3 1 0 1380 0 1 10336
+use sky130_fd_sc_hd__fill_1 FILLER_15_11 1 0 2116 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_19 1 0 2852 0 1 10336
+use sky130_fd_sc_hd__nor2_4 _135_ 1 0 3588 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_36 1 0 4416 0 1 10336
+use sky130_fd_sc_hd__inv_8 _082_ 1 0 5152 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_53 1 0 5980 0 1 10336
+use sky130_fd_sc_hd__o21a_4 _106_ 1 0 7084 0 1 10336
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_64 1 0 6716 0 1 10336
+use sky130_fd_sc_hd__decap_3 FILLER_15_62 1 0 6808 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_77 1 0 8188 0 1 10336
+use sky130_fd_sc_hd__inv_8 _095_ 1 0 8924 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_94 1 0 9752 0 1 10336
+use sky130_fd_sc_hd__buf_6 _127_ 1 0 10488 0 1 10336
+use sky130_fd_sc_hd__decap_3 PHY_31 -1 0 12328 0 1 10336
+use sky130_fd_sc_hd__decap_8 FILLER_15_111 1 0 11316 0 1 10336
+use sky130_fd_sc_hd__or3_4 _167_ 1 0 2392 0 -1 11424
+use sky130_fd_sc_hd__decap_3 PHY_32 1 0 1104 0 -1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_16_3 1 0 1380 0 -1 11424
+use sky130_fd_sc_hd__decap_3 FILLER_16_11 1 0 2116 0 -1 11424
+use sky130_fd_sc_hd__and3_2 _091_ 1 0 4048 0 -1 11424
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_65 1 0 3956 0 -1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_16_23 1 0 3220 0 -1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_16_38 1 0 4600 0 -1 11424
+use sky130_fd_sc_hd__nor4_2 _180_ 1 0 5336 0 -1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_16_56 1 0 6256 0 -1 11424
+use sky130_fd_sc_hd__a211o_4 _149_ 1 0 6992 0 -1 11424
+use sky130_fd_sc_hd__decap_12 FILLER_16_78 1 0 8280 0 -1 11424
+use sky130_fd_sc_hd__o22a_4 _100_ 1 0 9660 0 -1 11424
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 1 0 9568 0 -1 11424
+use sky130_fd_sc_hd__fill_2 FILLER_16_90 1 0 9384 0 -1 11424
+use sky130_fd_sc_hd__decap_3 PHY_33 -1 0 12328 0 -1 11424
+use sky130_fd_sc_hd__decap_12 FILLER_16_107 1 0 10948 0 -1 11424
+use sky130_fd_sc_hd__inv_8 _083_ 1 0 2208 0 1 11424
+use sky130_fd_sc_hd__decap_3 PHY_34 1 0 1104 0 1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_17_3 1 0 1380 0 1 11424
+use sky130_fd_sc_hd__fill_1 FILLER_17_11 1 0 2116 0 1 11424
+use sky130_fd_sc_hd__dfxtp_4 _184_ 1 0 3772 0 1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_17_21 1 0 3036 0 1 11424
+use sky130_fd_sc_hd__decap_12 FILLER_17_48 1 0 5520 0 1 11424
+use sky130_fd_sc_hd__a32o_4 _099_ 1 0 7268 0 1 11424
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 1 0 6716 0 1 11424
+use sky130_fd_sc_hd__fill_1 FILLER_17_60 1 0 6624 0 1 11424
+use sky130_fd_sc_hd__decap_4 FILLER_17_62 1 0 6808 0 1 11424
+use sky130_fd_sc_hd__fill_1 FILLER_17_66 1 0 7176 0 1 11424
+use sky130_fd_sc_hd__nor4_2 _172_ 1 0 9568 0 1 11424
+use sky130_fd_sc_hd__decap_8 FILLER_17_84 1 0 8832 0 1 11424
+use sky130_fd_sc_hd__decap_3 PHY_35 -1 0 12328 0 1 11424
+use sky130_fd_sc_hd__decap_12 FILLER_17_102 1 0 10488 0 1 11424
+use sky130_fd_sc_hd__decap_4 FILLER_17_114 1 0 11592 0 1 11424
+use sky130_fd_sc_hd__fill_1 FILLER_17_118 1 0 11960 0 1 11424
+use sky130_fd_sc_hd__inv_8 _131_ 1 0 2392 0 -1 12512
+use sky130_fd_sc_hd__decap_3 PHY_36 1 0 1104 0 -1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_18_3 1 0 1380 0 -1 12512
+use sky130_fd_sc_hd__decap_3 FILLER_18_11 1 0 2116 0 -1 12512
+use sky130_fd_sc_hd__dfxtp_4 _183_ 1 0 4140 0 -1 12512
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 1 0 3956 0 -1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_18_23 1 0 3220 0 -1 12512
+use sky130_fd_sc_hd__fill_1 FILLER_18_32 1 0 4048 0 -1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_18_52 1 0 5888 0 -1 12512
+use sky130_fd_sc_hd__a32o_4 _129_ 1 0 6624 0 -1 12512
+use sky130_fd_sc_hd__decap_12 FILLER_18_77 1 0 8188 0 -1 12512
+use sky130_fd_sc_hd__inv_8 _089_ 1 0 9660 0 -1 12512
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 1 0 9568 0 -1 12512
+use sky130_fd_sc_hd__decap_3 FILLER_18_89 1 0 9292 0 -1 12512
+use sky130_fd_sc_hd__decap_3 PHY_37 -1 0 12328 0 -1 12512
+use sky130_fd_sc_hd__decap_12 FILLER_18_102 1 0 10488 0 -1 12512
+use sky130_fd_sc_hd__decap_4 FILLER_18_114 1 0 11592 0 -1 12512
+use sky130_fd_sc_hd__fill_1 FILLER_18_118 1 0 11960 0 -1 12512
+use sky130_fd_sc_hd__inv_8 _102_ 1 0 2392 0 1 12512
+use sky130_fd_sc_hd__buf_1 _145_ 1 0 1380 0 1 12512
+use sky130_fd_sc_hd__decap_3 PHY_38 1 0 1104 0 1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_19_6 1 0 1656 0 1 12512
+use sky130_fd_sc_hd__dfxtp_4 _182_ 1 0 4048 0 1 12512
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 1 0 3956 0 1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_19_23 1 0 3220 0 1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_19_51 1 0 5796 0 1 12512
+use sky130_fd_sc_hd__decap_3 FILLER_19_59 1 0 6532 0 1 12512
+use sky130_fd_sc_hd__a211o_4 _173_ 1 0 6900 0 1 12512
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 1 0 6808 0 1 12512
+use sky130_fd_sc_hd__decap_12 FILLER_19_77 1 0 8188 0 1 12512
+use sky130_fd_sc_hd__o22a_4 _130_ 1 0 9752 0 1 12512
+use sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 1 0 9660 0 1 12512
+use sky130_fd_sc_hd__decap_4 FILLER_19_89 1 0 9292 0 1 12512
+use sky130_fd_sc_hd__decap_3 PHY_39 -1 0 12328 0 1 12512
+use sky130_fd_sc_hd__decap_8 FILLER_19_108 1 0 11040 0 1 12512
+use sky130_fd_sc_hd__decap_3 FILLER_19_116 1 0 11776 0 1 12512
+port "VGND" 27 4685 2128 5005 13104 m4
+port "VPWR" 26 2815 2128 3135 13104 m4
+port "rin[0]" 16 12698 7760 13498 7880 m3
+port "din[0]" 4 6642 14842 6698 15642 m2
+port "lin[1]" 11 0 12928 800 13048 m3
+port "lempty" 9 0 2592 800 2712 m3
+port "dempty" 3 3974 0 4030 800 m2
+port "uin[1]" 22 12898 14842 12954 15642 m2
+port "dout[0]" 6 12698 4632 13498 4752 m3
+port "uin[0]" 21 6642 0 6698 800 m2
+port "rempty" 14 12698 1504 13498 1624 m3
+port "vempty" 25 5630 14842 5686 15642 m2
+port "uout[0]" 23 9402 0 9458 800 m2
+port "lin[0]" 10 0 7760 800 7880 m3
+port "hempty" 8 4618 14842 4674 15642 m2
+port "uout[1]" 24 12070 0 12126 800 m2
+port "din[1]" 5 12698 10888 13498 11008 m3
+port "lout[0]" 12 7746 14842 7802 15642 m2
+port "cbitout" 1 1306 0 1362 800 m2
+port "dout[1]" 7 9770 14842 9826 15642 m2
+port "rout[1]" 19 11886 14842 11942 15642 m2
+port "lout[1]" 13 12698 14016 13498 14136 m3
+port "cbitin" 0 2502 14842 2558 15642 m2
+port "confclk" 2 1490 14842 1546 15642 m2
+port "uempty" 20 3514 14842 3570 15642 m2
+port "rin[1]" 17 10874 14842 10930 15642 m2
+port "reset" 15 478 14842 534 15642 m2
+port "rout[0]" 18 8758 14842 8814 15642 m2
+node "VGND" 0 0 4685 2128 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "VPWR" 0 0 2815 2128 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5917_3417#" 0 0 5917 3417 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5273_4641#" 0 0 5273 4641 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7665_4777#" 0 0 7665 4777 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3157_5661#" 0 0 3157 5661 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "rin[0]" 0 0 12698 7760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "din[0]" 0 0 6642 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4537_5729#" 0 0 4537 5729 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "lin[1]" 0 0 0 12928 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8493_5797#" 0 0 8493 5797 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5825_5797#" 0 0 5825 5797 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4629_6205#" 0 0 4629 6205 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "lempty" 0 0 0 2592 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_9781_6205#" 0 0 9781 6205 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3249_6205#" 0 0 3249 6205 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "dempty" 0 0 3974 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3065_6817#" 0 0 3065 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1869_6817#" 0 0 1869 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_10149_5117#" 0 0 10149 5117 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8033_7225#" 0 0 8033 7225 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2329_7225#" 0 0 2329 7225 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "uin[1]" 0 0 12898 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8125_6681#" 0 0 8125 6681 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8769_6341#" 0 0 8769 6341 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4629_7293#" 0 0 4629 7293 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4445_7293#" 0 0 4445 7293 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3433_7293#" 0 0 3433 7293 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4721_7361#" 0 0 4721 7361 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "dout[0]" 0 0 12698 4632 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "uin[0]" 0 0 6642 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1961_7837#" 0 0 1961 7837 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_9229_7497#" 0 0 9229 7497 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "rempty" 0 0 12698 1504 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7573_7905#" 0 0 7573 7905 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3065_7905#" 0 0 3065 7905 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_9965_5049#" 0 0 9965 5049 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1869_7905#" 0 0 1869 7905 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7389_7701#" 0 0 7389 7701 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "vempty" 0 0 5630 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2145_8313#" 0 0 2145 8313 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8125_7973#" 0 0 8125 7973 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8309_3077#" 0 0 8309 3077 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4537_6885#" 0 0 4537 6885 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "uout[0]" 0 0 9402 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4537_8585#" 0 0 4537 8585 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4353_8585#" 0 0 4353 8585 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2237_7293#" 0 0 2237 7293 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5917_7429#" 0 0 5917 7429 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1869_8993#" 0 0 1869 8993 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1685_8993#" 0 0 1685 8993 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1685_7905#" 0 0 1685 7905 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4261_9401#" 0 0 4261 9401 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "lin[0]" 0 0 0 7760 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3065_9877#" 0 0 3065 9877 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1685_6817#" 0 0 1685 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "hempty" 0 0 4618 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7297_4029#" 0 0 7297 4029 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4445_9061#" 0 0 4445 9061 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4077_9061#" 0 0 4077 9061 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3433_5117#" 0 0 3433 5117 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4169_8381#" 0 0 4169 8381 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2881_10149#" 0 0 2881 10149 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6745_6817#" 0 0 6745 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7113_10489#" 0 0 7113 10489 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_10241_7973#" 0 0 10241 7973 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3065_7769#" 0 0 3065 7769 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3065_6953#" 0 0 3065 6953 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4169_10557#" 0 0 4169 10557 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2237_10557#" 0 0 2237 10557 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2513_10761#" 0 0 2513 10761 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "uout[1]" 0 0 12070 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7113_11033#" 0 0 7113 11033 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "din[1]" 0 0 12698 10888 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1501_9469#" 0 0 1501 9469 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1409_10081#" 0 0 1409 10081 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3157_11101#" 0 0 3157 11101 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8125_5729#" 0 0 8125 5729 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3433_6409#" 0 0 3433 6409 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8217_11169#" 0 0 8217 11169 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8033_11169#" 0 0 8033 11169 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_5917_5185#" 0 0 5917 5185 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2973_9333#" 0 0 2973 9333 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3525_5049#" 0 0 3525 5049 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1961_9061#" 0 0 1961 9061 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2973_8381#" 0 0 2973 8381 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2421_6273#" 0 0 2421 6273 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "lout[0]" 0 0 7746 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2697_10149#" 0 0 2697 10149 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "cbitout" 0 0 1306 0 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "dout[1]" 0 0 9770 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8401_3621#" 0 0 8401 3621 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_6469_7769#" 0 0 6469 7769 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8033_2941#" 0 0 8033 2941 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7941_6817#" 0 0 7941 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_8585_11645#" 0 0 8585 11645 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3893_9469#" 0 0 3893 9469 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3433_7157#" 0 0 3433 7157 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7297_9061#" 0 0 7297 9061 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2881_12053#" 0 0 2881 12053 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4445_5117#" 0 0 4445 5117 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3157_8381#" 0 0 3157 8381 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4261_9061#" 0 0 4261 9061 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1961_6817#" 0 0 1961 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1777_9537#" 0 0 1777 9537 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1869_8381#" 0 0 1869 8381 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2881_9877#" 0 0 2881 9877 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_2881_6817#" 0 0 2881 6817 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_3157_12665#" 0 0 3157 12665 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_10701_9401#" 0 0 10701 9401 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "rout[1]" 0 0 11886 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_10149_9469#" 0 0 10149 9469 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "lout[1]" 0 0 12698 14016 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_4261_11237#" 0 0 4261 11237 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_7573_12733#" 0 0 7573 12733 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "cbitin" 0 0 2502 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "confclk" 0 0 1490 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "uempty" 0 0 3514 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1409_12733#" 0 0 1409 12733 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "rin[1]" 0 0 10874 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_1685_9469#" 0 0 1685 9469 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "reset" 0 0 478 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "rout[0]" 0 0 8758 14842 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_1443_527#" "_099_/li_799_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_116/VPWR" "FILLER_19_116/VPB" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_116/VPB" "PHY_39/VPWR"
+merge "PHY_39/VPWR" "FILLER_19_108/VPWR"
+merge "FILLER_19_108/VPWR" "FILLER_19_108/VPB"
+merge "FILLER_19_108/VPB" "FILLER_19_89/VPWR"
+merge "FILLER_19_89/VPWR" "FILLER_19_89/VPB"
+merge "FILLER_19_89/VPB" "PHY_72/VPWR"
+merge "PHY_72/VPWR" "_130_/VPB"
+merge "_130_/VPB" "_130_/VPWR"
+merge "_130_/VPWR" "FILLER_19_77/VPWR"
+merge "FILLER_19_77/VPWR" "FILLER_19_77/VPB"
+merge "FILLER_19_77/VPB" "PHY_71/VPWR"
+merge "PHY_71/VPWR" "_173_/VPWR"
+merge "_173_/VPWR" "FILLER_19_59/VPWR"
+merge "FILLER_19_59/VPWR" "FILLER_19_59/VPB"
+merge "FILLER_19_59/VPB" "FILLER_19_51/VPWR"
+merge "FILLER_19_51/VPWR" "FILLER_19_51/VPB"
+merge "FILLER_19_51/VPB" "FILLER_19_23/VPWR"
+merge "FILLER_19_23/VPWR" "FILLER_19_23/VPB"
+merge "FILLER_19_23/VPB" "FILLER_19_6/VPB"
+merge "FILLER_19_6/VPB" "PHY_38/VPB"
+merge "PHY_38/VPB" "_145_/VPB"
+merge "_145_/VPB" "_102_/VPB"
+merge "_102_/VPB" "PHY_70/VPWR"
+merge "PHY_70/VPWR" "_182_/VPB"
+merge "_182_/VPB" "_182_/VPWR"
+merge "_182_/VPWR" "FILLER_19_6/VPWR"
+merge "FILLER_19_6/VPWR" "_102_/VPWR"
+merge "_102_/VPWR" "PHY_38/VPWR"
+merge "PHY_38/VPWR" "_145_/VPWR"
+merge "_145_/VPWR" "FILLER_18_118/VPWR"
+merge "FILLER_18_118/VPWR" "FILLER_18_118/VPB"
+merge "FILLER_18_118/VPB" "FILLER_18_114/VPWR"
+merge "FILLER_18_114/VPWR" "FILLER_18_114/VPB"
+merge "FILLER_18_114/VPB" "PHY_37/VPWR"
+merge "PHY_37/VPWR" "PHY_37/VPB"
+merge "PHY_37/VPB" "FILLER_17_118/VPWR"
+merge "FILLER_17_118/VPWR" "FILLER_17_118/VPB"
+merge "FILLER_17_118/VPB" "FILLER_17_114/VPWR"
+merge "FILLER_17_114/VPWR" "FILLER_17_114/VPB"
+merge "FILLER_17_114/VPB" "PHY_35/VPWR"
+merge "PHY_35/VPWR" "FILLER_18_102/VPWR"
+merge "FILLER_18_102/VPWR" "FILLER_18_102/VPB"
+merge "FILLER_18_102/VPB" "FILLER_17_102/VPWR"
+merge "FILLER_17_102/VPWR" "FILLER_17_102/VPB"
+merge "FILLER_17_102/VPB" "FILLER_18_89/VPWR"
+merge "FILLER_18_89/VPWR" "FILLER_18_89/VPB"
+merge "FILLER_18_89/VPB" "PHY_69/VPWR"
+merge "PHY_69/VPWR" "_089_/VPWR"
+merge "_089_/VPWR" "_089_/VPB"
+merge "_089_/VPB" "FILLER_17_84/VPWR"
+merge "FILLER_17_84/VPWR" "FILLER_17_84/VPB"
+merge "FILLER_17_84/VPB" "_172_/VPWR"
+merge "_172_/VPWR" "FILLER_18_77/VPWR"
+merge "FILLER_18_77/VPWR" "FILLER_18_77/VPB"
+merge "FILLER_18_77/VPB" "FILLER_17_66/VPWR"
+merge "FILLER_17_66/VPWR" "FILLER_17_66/VPB"
+merge "FILLER_17_66/VPB" "_099_/VPWR"
+merge "_099_/VPWR" "_129_/VPWR"
+merge "_129_/VPWR" "_129_/VPB"
+merge "_129_/VPB" "FILLER_18_52/VPWR"
+merge "FILLER_18_52/VPWR" "FILLER_18_52/VPB"
+merge "FILLER_18_52/VPB" "FILLER_17_62/VPWR"
+merge "FILLER_17_62/VPWR" "FILLER_17_62/VPB"
+merge "FILLER_17_62/VPB" "FILLER_17_60/VPWR"
+merge "FILLER_17_60/VPWR" "FILLER_17_60/VPB"
+merge "FILLER_17_60/VPB" "PHY_67/VPWR"
+merge "PHY_67/VPWR" "FILLER_17_48/VPWR"
+merge "FILLER_17_48/VPWR" "FILLER_17_48/VPB"
+merge "FILLER_17_48/VPB" "FILLER_18_32/VPWR"
+merge "FILLER_18_32/VPWR" "FILLER_18_32/VPB"
+merge "FILLER_18_32/VPB" "FILLER_18_23/VPWR"
+merge "FILLER_18_23/VPWR" "FILLER_18_23/VPB"
+merge "FILLER_18_23/VPB" "PHY_68/VPWR"
+merge "PHY_68/VPWR" "_183_/VPB"
+merge "_183_/VPB" "FILLER_17_21/VPB"
+merge "FILLER_17_21/VPB" "FILLER_18_11/VPB"
+merge "FILLER_18_11/VPB" "_131_/VPB"
+merge "_131_/VPB" "FILLER_17_11/VPB"
+merge "FILLER_17_11/VPB" "FILLER_18_3/VPB"
+merge "FILLER_18_3/VPB" "PHY_36/VPB"
+merge "PHY_36/VPB" "FILLER_17_3/VPB"
+merge "FILLER_17_3/VPB" "PHY_34/VPB"
+merge "PHY_34/VPB" "_083_/VPB"
+merge "_083_/VPB" "_184_/VPB"
+merge "_184_/VPB" "_183_/VPWR"
+merge "_183_/VPWR" "FILLER_17_21/VPWR"
+merge "FILLER_17_21/VPWR" "_184_/VPWR"
+merge "_184_/VPWR" "FILLER_18_11/VPWR"
+merge "FILLER_18_11/VPWR" "_131_/VPWR"
+merge "_131_/VPWR" "FILLER_17_11/VPWR"
+merge "FILLER_17_11/VPWR" "_083_/VPWR"
+merge "_083_/VPWR" "FILLER_18_3/VPWR"
+merge "FILLER_18_3/VPWR" "PHY_36/VPWR"
+merge "PHY_36/VPWR" "FILLER_17_3/VPWR"
+merge "FILLER_17_3/VPWR" "PHY_34/VPWR"
+merge "PHY_34/VPWR" "PHY_33/VPWR"
+merge "PHY_33/VPWR" "PHY_33/VPB"
+merge "PHY_33/VPB" "FILLER_15_111/VPWR"
+merge "FILLER_15_111/VPWR" "FILLER_15_111/VPB"
+merge "FILLER_15_111/VPB" "PHY_31/VPWR"
+merge "PHY_31/VPWR" "FILLER_16_107/VPWR"
+merge "FILLER_16_107/VPWR" "FILLER_16_107/VPB"
+merge "FILLER_16_107/VPB" "_127_/VPWR"
+merge "_127_/VPWR" "_127_/VPB"
+merge "_127_/VPB" "FILLER_16_90/VPWR"
+merge "FILLER_16_90/VPWR" "FILLER_16_90/VPB"
+merge "FILLER_16_90/VPB" "PHY_66/VPWR"
+merge "PHY_66/VPWR" "_100_/VPWR"
+merge "_100_/VPWR" "_100_/VPB"
+merge "_100_/VPB" "FILLER_15_94/VPWR"
+merge "FILLER_15_94/VPWR" "FILLER_15_94/VPB"
+merge "FILLER_15_94/VPB" "_095_/VPWR"
+merge "_095_/VPWR" "_095_/VPB"
+merge "_095_/VPB" "FILLER_16_78/VPWR"
+merge "FILLER_16_78/VPWR" "FILLER_16_78/VPB"
+merge "FILLER_16_78/VPB" "FILLER_15_77/VPWR"
+merge "FILLER_15_77/VPWR" "FILLER_15_77/VPB"
+merge "FILLER_15_77/VPB" "_106_/VPWR"
+merge "_106_/VPWR" "_149_/VPWR"
+merge "_149_/VPWR" "_149_/VPB"
+merge "_149_/VPB" "FILLER_16_56/VPWR"
+merge "FILLER_16_56/VPWR" "FILLER_16_56/VPB"
+merge "FILLER_16_56/VPB" "FILLER_15_62/VPWR"
+merge "FILLER_15_62/VPWR" "FILLER_15_62/VPB"
+merge "FILLER_15_62/VPB" "PHY_64/VPWR"
+merge "PHY_64/VPWR" "FILLER_15_53/VPWR"
+merge "FILLER_15_53/VPWR" "FILLER_15_53/VPB"
+merge "FILLER_15_53/VPB" "_180_/VPWR"
+merge "_180_/VPWR" "_180_/VPB"
+merge "_180_/VPB" "FILLER_16_38/VPWR"
+merge "FILLER_16_38/VPWR" "FILLER_16_38/VPB"
+merge "FILLER_16_38/VPB" "_082_/VPWR"
+merge "_082_/VPWR" "_082_/VPB"
+merge "_082_/VPB" "FILLER_15_36/VPWR"
+merge "FILLER_15_36/VPWR" "FILLER_15_36/VPB"
+merge "FILLER_15_36/VPB" "FILLER_16_23/VPWR"
+merge "FILLER_16_23/VPWR" "FILLER_16_23/VPB"
+merge "FILLER_16_23/VPB" "PHY_65/VPWR"
+merge "PHY_65/VPWR" "_091_/VPB"
+merge "_091_/VPB" "_135_/VPB"
+merge "_135_/VPB" "FILLER_15_19/VPB"
+merge "FILLER_15_19/VPB" "FILLER_16_11/VPB"
+merge "FILLER_16_11/VPB" "_167_/VPB"
+merge "_167_/VPB" "FILLER_15_11/VPB"
+merge "FILLER_15_11/VPB" "FILLER_16_3/VPB"
+merge "FILLER_16_3/VPB" "PHY_32/VPB"
+merge "PHY_32/VPB" "FILLER_15_3/VPB"
+merge "FILLER_15_3/VPB" "PHY_30/VPB"
+merge "PHY_30/VPB" "_096_/VPB"
+merge "_096_/VPB" "_091_/VPWR"
+merge "_091_/VPWR" "_135_/VPWR"
+merge "_135_/VPWR" "FILLER_15_19/VPWR"
+merge "FILLER_15_19/VPWR" "FILLER_16_11/VPWR"
+merge "FILLER_16_11/VPWR" "_167_/VPWR"
+merge "_167_/VPWR" "FILLER_15_11/VPWR"
+merge "FILLER_15_11/VPWR" "_096_/VPWR"
+merge "_096_/VPWR" "FILLER_16_3/VPWR"
+merge "FILLER_16_3/VPWR" "PHY_32/VPWR"
+merge "PHY_32/VPWR" "FILLER_15_3/VPWR"
+merge "FILLER_15_3/VPWR" "PHY_30/VPWR"
+merge "PHY_30/VPWR" "FILLER_14_118/VPWR"
+merge "FILLER_14_118/VPWR" "FILLER_14_118/VPB"
+merge "FILLER_14_118/VPB" "FILLER_14_114/VPWR"
+merge "FILLER_14_114/VPWR" "FILLER_14_114/VPB"
+merge "FILLER_14_114/VPB" "FILLER_13_117/VPWR"
+merge "FILLER_13_117/VPWR" "FILLER_13_117/VPB"
+merge "FILLER_13_117/VPB" "PHY_29/VPWR"
+merge "PHY_29/VPWR" "PHY_27/VPWR"
+merge "PHY_27/VPWR" "FILLER_12_113/VPWR"
+merge "FILLER_12_113/VPWR" "FILLER_12_113/VPB"
+merge "FILLER_12_113/VPB" "PHY_25/VPWR"
+merge "PHY_25/VPWR" "PHY_25/VPB"
+merge "PHY_25/VPB" "FILLER_11_117/VPWR"
+merge "FILLER_11_117/VPWR" "FILLER_11_117/VPB"
+merge "FILLER_11_117/VPB" "PHY_23/VPWR"
+merge "PHY_23/VPWR" "FILLER_14_102/VPWR"
+merge "FILLER_14_102/VPWR" "FILLER_14_102/VPB"
+merge "FILLER_14_102/VPB" "FILLER_13_105/VPWR"
+merge "FILLER_13_105/VPWR" "FILLER_13_105/VPB"
+merge "FILLER_13_105/VPB" "_128_/VPWR"
+merge "_128_/VPWR" "_128_/VPB"
+merge "_128_/VPB" "FILLER_12_101/VPWR"
+merge "FILLER_12_101/VPWR" "FILLER_12_101/VPB"
+merge "FILLER_12_101/VPB" "FILLER_11_105/VPWR"
+merge "FILLER_11_105/VPWR" "FILLER_11_105/VPB"
+merge "FILLER_11_105/VPB" "_158_/VPWR"
+merge "_158_/VPWR" "FILLER_14_84/VPWR"
+merge "FILLER_14_84/VPWR" "FILLER_14_84/VPB"
+merge "FILLER_14_84/VPB" "FILLER_13_88/VPWR"
+merge "FILLER_13_88/VPWR" "FILLER_13_88/VPB"
+merge "FILLER_13_88/VPB" "PHY_63/VPWR"
+merge "PHY_63/VPWR" "_121_/VPB"
+merge "_121_/VPB" "_121_/VPWR"
+merge "_121_/VPWR" "FILLER_12_84/VPWR"
+merge "FILLER_12_84/VPWR" "FILLER_12_84/VPB"
+merge "FILLER_12_84/VPB" "PHY_60/VPWR"
+merge "PHY_60/VPWR" "_101_/VPWR"
+merge "_101_/VPWR" "_101_/VPB"
+merge "_101_/VPB" "FILLER_11_89/VPWR"
+merge "FILLER_11_89/VPWR" "FILLER_11_89/VPB"
+merge "FILLER_11_89/VPB" "_178_/VPWR"
+merge "_178_/VPWR" "_178_/VPB"
+merge "_178_/VPB" "FILLER_14_68/VPWR"
+merge "FILLER_14_68/VPWR" "FILLER_14_68/VPB"
+merge "FILLER_14_68/VPB" "FILLER_13_71/VPWR"
+merge "FILLER_13_71/VPWR" "FILLER_13_71/VPB"
+merge "FILLER_13_71/VPB" "_098_/VPWR"
+merge "_098_/VPWR" "_080_/VPWR"
+merge "_080_/VPWR" "FILLER_12_69/VPWR"
+merge "FILLER_12_69/VPWR" "FILLER_12_69/VPB"
+merge "FILLER_12_69/VPB" "_125_/VPWR"
+merge "_125_/VPWR" "_125_/VPB"
+merge "_125_/VPB" "FILLER_11_72/VPWR"
+merge "FILLER_11_72/VPWR" "FILLER_11_72/VPB"
+merge "FILLER_11_72/VPB" "PHY_61/VPWR"
+merge "PHY_61/VPWR" "_090_/VPWR"
+merge "_090_/VPWR" "_090_/VPB"
+merge "_090_/VPB" "FILLER_13_53/VPWR"
+merge "FILLER_13_53/VPWR" "FILLER_13_53/VPB"
+merge "FILLER_13_53/VPB" "_142_/VPWR"
+merge "_142_/VPWR" "_097_/VPWR"
+merge "_097_/VPWR" "_097_/VPB"
+merge "_097_/VPB" "FILLER_12_52/VPWR"
+merge "FILLER_12_52/VPWR" "FILLER_12_52/VPB"
+merge "FILLER_12_52/VPB" "FILLER_11_62/VPWR"
+merge "FILLER_11_62/VPWR" "FILLER_11_62/VPB"
+merge "FILLER_11_62/VPB" "PHY_58/VPWR"
+merge "PHY_58/VPWR" "_132_/VPWR"
+merge "_132_/VPWR" "FILLER_11_53/VPWR"
+merge "FILLER_11_53/VPWR" "FILLER_11_53/VPB"
+merge "FILLER_11_53/VPB" "FILLER_14_48/VPWR"
+merge "FILLER_14_48/VPWR" "FILLER_14_48/VPB"
+merge "FILLER_14_48/VPB" "_153_/VPWR"
+merge "_153_/VPWR" "_153_/VPB"
+merge "_153_/VPB" "FILLER_14_38/VPWR"
+merge "FILLER_14_38/VPWR" "FILLER_14_38/VPB"
+merge "FILLER_14_38/VPB" "FILLER_13_36/VPWR"
+merge "FILLER_13_36/VPWR" "FILLER_13_36/VPB"
+merge "FILLER_13_36/VPB" "_085_/VPWR"
+merge "_085_/VPWR" "_084_/VPWR"
+merge "_084_/VPWR" "_084_/VPB"
+merge "_084_/VPB" "FILLER_12_37/VPWR"
+merge "FILLER_12_37/VPWR" "FILLER_12_37/VPB"
+merge "FILLER_12_37/VPB" "_117_/VPWR"
+merge "_117_/VPWR" "_117_/VPB"
+merge "_117_/VPB" "FILLER_11_39/VPWR"
+merge "FILLER_11_39/VPWR" "FILLER_11_39/VPB"
+merge "FILLER_11_39/VPB" "FILLER_14_32/VPWR"
+merge "FILLER_14_32/VPWR" "FILLER_14_32/VPB"
+merge "FILLER_14_32/VPB" "FILLER_14_23/VPWR"
+merge "FILLER_14_23/VPWR" "FILLER_14_23/VPB"
+merge "FILLER_14_23/VPB" "FILLER_13_22/VPWR"
+merge "FILLER_13_22/VPWR" "FILLER_13_22/VPB"
+merge "FILLER_13_22/VPB" "FILLER_14_9/VPB"
+merge "FILLER_14_9/VPB" "FILLER_13_8/VPB"
+merge "FILLER_13_8/VPB" "PHY_28/VPB"
+merge "PHY_28/VPB" "PHY_26/VPB"
+merge "PHY_26/VPB" "_181_/VPB"
+merge "_181_/VPB" "_088_/VPB"
+merge "_088_/VPB" "_139_/VPB"
+merge "_139_/VPB" "_124_/VPB"
+merge "_124_/VPB" "PHY_62/VPWR"
+merge "PHY_62/VPWR" "_105_/VPB"
+merge "_105_/VPB" "_105_/VPWR"
+merge "_105_/VPWR" "FILLER_12_23/VPWR"
+merge "FILLER_12_23/VPWR" "FILLER_12_23/VPB"
+merge "FILLER_12_23/VPB" "PHY_59/VPWR"
+merge "PHY_59/VPWR" "_079_/VPB"
+merge "_079_/VPB" "FILLER_11_25/VPB"
+merge "FILLER_11_25/VPB" "_092_/VPB"
+merge "_092_/VPB" "FILLER_12_10/VPB"
+merge "FILLER_12_10/VPB" "_118_/VPB"
+merge "_118_/VPB" "_087_/VPB"
+merge "_087_/VPB" "FILLER_11_12/VPB"
+merge "FILLER_11_12/VPB" "FILLER_12_3/VPB"
+merge "FILLER_12_3/VPB" "PHY_24/VPB"
+merge "PHY_24/VPB" "FILLER_11_3/VPB"
+merge "FILLER_11_3/VPB" "PHY_22/VPB"
+merge "PHY_22/VPB" "_122_/VPB"
+merge "_122_/VPB" "_143_/VPB"
+merge "_143_/VPB" "_079_/VPWR"
+merge "_079_/VPWR" "FILLER_11_25/VPWR"
+merge "FILLER_11_25/VPWR" "_143_/VPWR"
+merge "_143_/VPWR" "_092_/VPWR"
+merge "_092_/VPWR" "FILLER_14_9/VPWR"
+merge "FILLER_14_9/VPWR" "FILLER_13_8/VPWR"
+merge "FILLER_13_8/VPWR" "_139_/VPWR"
+merge "_139_/VPWR" "_124_/VPWR"
+merge "_124_/VPWR" "FILLER_12_10/VPWR"
+merge "FILLER_12_10/VPWR" "_118_/VPWR"
+merge "_118_/VPWR" "_087_/VPWR"
+merge "_087_/VPWR" "FILLER_11_12/VPWR"
+merge "FILLER_11_12/VPWR" "_122_/VPWR"
+merge "_122_/VPWR" "PHY_28/VPWR"
+merge "PHY_28/VPWR" "PHY_26/VPWR"
+merge "PHY_26/VPWR" "_181_/VPWR"
+merge "_181_/VPWR" "_088_/VPWR"
+merge "_088_/VPWR" "FILLER_12_3/VPWR"
+merge "FILLER_12_3/VPWR" "PHY_24/VPWR"
+merge "PHY_24/VPWR" "FILLER_11_3/VPWR"
+merge "FILLER_11_3/VPWR" "PHY_22/VPWR"
+merge "PHY_22/VPWR" "FILLER_10_111/VPWR"
+merge "FILLER_10_111/VPWR" "FILLER_10_111/VPB"
+merge "FILLER_10_111/VPB" "PHY_21/VPWR"
+merge "PHY_21/VPWR" "PHY_21/VPB"
+merge "PHY_21/VPB" "FILLER_9_116/VPWR"
+merge "FILLER_9_116/VPWR" "FILLER_9_116/VPB"
+merge "FILLER_9_116/VPB" "PHY_19/VPWR"
+merge "PHY_19/VPWR" "FILLER_10_100/VPWR"
+merge "FILLER_10_100/VPWR" "FILLER_10_100/VPB"
+merge "FILLER_10_100/VPB" "_109_/VPWR"
+merge "_109_/VPWR" "_109_/VPB"
+merge "_109_/VPB" "FILLER_9_104/VPWR"
+merge "FILLER_9_104/VPWR" "FILLER_9_104/VPB"
+merge "FILLER_9_104/VPB" "_157_/VPWR"
+merge "_157_/VPWR" "FILLER_10_89/VPWR"
+merge "FILLER_10_89/VPWR" "FILLER_10_89/VPB"
+merge "FILLER_10_89/VPB" "PHY_57/VPWR"
+merge "PHY_57/VPWR" "_126_/VPWR"
+merge "_126_/VPWR" "_126_/VPB"
+merge "_126_/VPB" "FILLER_9_90/VPWR"
+merge "FILLER_9_90/VPWR" "FILLER_9_90/VPB"
+merge "FILLER_9_90/VPB" "_108_/VPWR"
+merge "_108_/VPWR" "_108_/VPB"
+merge "_108_/VPB" "FILLER_10_77/VPWR"
+merge "FILLER_10_77/VPWR" "FILLER_10_77/VPB"
+merge "FILLER_10_77/VPB" "_177_/VPWR"
+merge "_177_/VPWR" "_177_/VPB"
+merge "_177_/VPB" "FILLER_9_76/VPWR"
+merge "FILLER_9_76/VPWR" "FILLER_9_76/VPB"
+merge "FILLER_9_76/VPB" "_175_/VPWR"
+merge "_175_/VPWR" "FILLER_10_60/VPWR"
+merge "FILLER_10_60/VPWR" "FILLER_10_60/VPB"
+merge "FILLER_10_60/VPB" "_103_/VPWR"
+merge "_103_/VPWR" "_103_/VPB"
+merge "_103_/VPB" "FILLER_9_62/VPWR"
+merge "FILLER_9_62/VPWR" "FILLER_9_62/VPB"
+merge "FILLER_9_62/VPB" "PHY_55/VPWR"
+merge "PHY_55/VPWR" "FILLER_9_53/VPWR"
+merge "FILLER_9_53/VPWR" "FILLER_9_53/VPB"
+merge "FILLER_9_53/VPB" "FILLER_10_46/VPWR"
+merge "FILLER_10_46/VPWR" "FILLER_10_46/VPB"
+merge "FILLER_10_46/VPB" "_141_/VPWR"
+merge "_141_/VPWR" "_141_/VPB"
+merge "_141_/VPB" "FILLER_9_40/VPWR"
+merge "FILLER_9_40/VPWR" "FILLER_9_40/VPB"
+merge "FILLER_9_40/VPB" "_086_/VPWR"
+merge "_086_/VPWR" "_115_/VPWR"
+merge "_115_/VPWR" "FILLER_10_32/VPWR"
+merge "FILLER_10_32/VPWR" "FILLER_10_32/VPB"
+merge "FILLER_10_32/VPB" "FILLER_10_23/VPWR"
+merge "FILLER_10_23/VPWR" "FILLER_10_23/VPB"
+merge "FILLER_10_23/VPB" "PHY_56/VPWR"
+merge "PHY_56/VPWR" "FILLER_9_27/VPB"
+merge "FILLER_9_27/VPB" "_137_/VPB"
+merge "_137_/VPB" "FILLER_10_10/VPB"
+merge "FILLER_10_10/VPB" "_154_/VPB"
+merge "_154_/VPB" "_120_/VPB"
+merge "_120_/VPB" "FILLER_9_14/VPB"
+merge "FILLER_9_14/VPB" "FILLER_10_3/VPB"
+merge "FILLER_10_3/VPB" "PHY_20/VPB"
+merge "PHY_20/VPB" "FILLER_9_3/VPB"
+merge "FILLER_9_3/VPB" "PHY_18/VPB"
+merge "PHY_18/VPB" "_160_/VPB"
+merge "_160_/VPB" "FILLER_9_27/VPWR"
+merge "FILLER_9_27/VPWR" "_137_/VPWR"
+merge "_137_/VPWR" "FILLER_10_10/VPWR"
+merge "FILLER_10_10/VPWR" "_154_/VPWR"
+merge "_154_/VPWR" "_120_/VPWR"
+merge "_120_/VPWR" "FILLER_9_14/VPWR"
+merge "FILLER_9_14/VPWR" "_160_/VPWR"
+merge "_160_/VPWR" "FILLER_10_3/VPWR"
+merge "FILLER_10_3/VPWR" "PHY_20/VPWR"
+merge "PHY_20/VPWR" "FILLER_9_3/VPWR"
+merge "FILLER_9_3/VPWR" "PHY_18/VPWR"
+merge "PHY_18/VPWR" "FILLER_8_111/VPWR"
+merge "FILLER_8_111/VPWR" "FILLER_8_111/VPB"
+merge "FILLER_8_111/VPB" "PHY_17/VPWR"
+merge "PHY_17/VPWR" "PHY_17/VPB"
+merge "PHY_17/VPB" "FILLER_7_118/VPWR"
+merge "FILLER_7_118/VPWR" "FILLER_7_118/VPB"
+merge "FILLER_7_118/VPB" "FILLER_7_110/VPWR"
+merge "FILLER_7_110/VPWR" "PHY_15/VPWR"
+merge "PHY_15/VPWR" "_119_/VPWR"
+merge "_119_/VPWR" "_119_/VPB"
+merge "_119_/VPB" "FILLER_8_98/VPWR"
+merge "FILLER_8_98/VPWR" "FILLER_8_98/VPB"
+merge "FILLER_8_98/VPB" "FILLER_7_110/VPB"
+merge "FILLER_7_110/VPB" "_146_/VPWR"
+merge "_146_/VPWR" "_146_/VPB"
+merge "_146_/VPB" "FILLER_7_97/VPWR"
+merge "FILLER_7_97/VPWR" "FILLER_7_97/VPB"
+merge "FILLER_7_97/VPB" "FILLER_8_90/VPWR"
+merge "FILLER_8_90/VPWR" "FILLER_8_90/VPB"
+merge "FILLER_8_90/VPB" "PHY_54/VPWR"
+merge "PHY_54/VPWR" "_110_/VPWR"
+merge "_110_/VPWR" "_110_/VPB"
+merge "_110_/VPB" "FILLER_7_84/VPWR"
+merge "FILLER_7_84/VPWR" "FILLER_7_84/VPB"
+merge "FILLER_7_84/VPB" "_112_/VPWR"
+merge "_112_/VPWR" "FILLER_8_78/VPWR"
+merge "FILLER_8_78/VPWR" "FILLER_8_78/VPB"
+merge "FILLER_8_78/VPB" "_107_/VPWR"
+merge "_107_/VPWR" "_107_/VPB"
+merge "_107_/VPB" "FILLER_7_71/VPWR"
+merge "FILLER_7_71/VPWR" "FILLER_7_71/VPB"
+merge "FILLER_7_71/VPB" "_144_/VPWR"
+merge "_144_/VPWR" "_104_/VPWR"
+merge "_104_/VPWR" "FILLER_8_64/VPWR"
+merge "FILLER_8_64/VPWR" "FILLER_8_64/VPB"
+merge "FILLER_8_64/VPB" "FILLER_8_51/VPWR"
+merge "FILLER_8_51/VPWR" "FILLER_8_51/VPB"
+merge "FILLER_8_51/VPB" "_081_/VPWR"
+merge "_081_/VPWR" "_081_/VPB"
+merge "_081_/VPB" "FILLER_7_62/VPWR"
+merge "FILLER_7_62/VPWR" "FILLER_7_62/VPB"
+merge "FILLER_7_62/VPB" "PHY_52/VPWR"
+merge "PHY_52/VPWR" "FILLER_7_53/VPWR"
+merge "FILLER_7_53/VPWR" "FILLER_7_53/VPB"
+merge "FILLER_7_53/VPB" "_093_/VPWR"
+merge "_093_/VPWR" "_093_/VPB"
+merge "_093_/VPB" "FILLER_8_38/VPWR"
+merge "FILLER_8_38/VPWR" "FILLER_8_38/VPB"
+merge "FILLER_8_38/VPB" "FILLER_7_40/VPWR"
+merge "FILLER_7_40/VPWR" "FILLER_7_40/VPB"
+merge "FILLER_7_40/VPB" "_114_/VPWR"
+merge "_114_/VPWR" "_151_/VPWR"
+merge "_151_/VPWR" "FILLER_8_32/VPWR"
+merge "FILLER_8_32/VPWR" "FILLER_8_32/VPB"
+merge "FILLER_8_32/VPB" "FILLER_8_23/VPWR"
+merge "FILLER_8_23/VPWR" "FILLER_8_23/VPB"
+merge "FILLER_8_23/VPB" "PHY_53/VPWR"
+merge "PHY_53/VPWR" "_123_/VPB"
+merge "_123_/VPB" "FILLER_7_27/VPB"
+merge "FILLER_7_27/VPB" "_094_/VPB"
+merge "_094_/VPB" "FILLER_8_10/VPB"
+merge "FILLER_8_10/VPB" "_164_/VPB"
+merge "_164_/VPB" "_152_/VPB"
+merge "_152_/VPB" "FILLER_7_15/VPB"
+merge "FILLER_7_15/VPB" "FILLER_8_3/VPB"
+merge "FILLER_8_3/VPB" "PHY_16/VPB"
+merge "PHY_16/VPB" "FILLER_7_3/VPB"
+merge "FILLER_7_3/VPB" "PHY_14/VPB"
+merge "PHY_14/VPB" "_138_/VPB"
+merge "_138_/VPB" "_123_/VPWR"
+merge "_123_/VPWR" "FILLER_7_27/VPWR"
+merge "FILLER_7_27/VPWR" "_094_/VPWR"
+merge "_094_/VPWR" "FILLER_8_10/VPWR"
+merge "FILLER_8_10/VPWR" "_164_/VPWR"
+merge "_164_/VPWR" "_152_/VPWR"
+merge "_152_/VPWR" "FILLER_7_15/VPWR"
+merge "FILLER_7_15/VPWR" "_138_/VPWR"
+merge "_138_/VPWR" "FILLER_8_3/VPWR"
+merge "FILLER_8_3/VPWR" "PHY_16/VPWR"
+merge "PHY_16/VPWR" "FILLER_7_3/VPWR"
+merge "FILLER_7_3/VPWR" "PHY_14/VPWR"
+merge "PHY_14/VPWR" "FILLER_6_111/VPWR"
+merge "FILLER_6_111/VPWR" "FILLER_6_111/VPB"
+merge "FILLER_6_111/VPB" "PHY_13/VPWR"
+merge "PHY_13/VPWR" "PHY_13/VPB"
+merge "PHY_13/VPB" "FILLER_5_113/VPWR"
+merge "FILLER_5_113/VPWR" "FILLER_5_113/VPB"
+merge "FILLER_5_113/VPB" "PHY_11/VPWR"
+merge "PHY_11/VPWR" "FILLER_4_118/VPWR"
+merge "FILLER_4_118/VPWR" "FILLER_4_118/VPB"
+merge "FILLER_4_118/VPB" "FILLER_4_110/VPWR"
+merge "FILLER_4_110/VPWR" "PHY_9/VPWR"
+merge "PHY_9/VPWR" "PHY_9/VPB"
+merge "PHY_9/VPB" "FILLER_3_117/VPWR"
+merge "FILLER_3_117/VPWR" "PHY_7/VPWR"
+merge "PHY_7/VPWR" "_147_/VPWR"
+merge "_147_/VPWR" "_147_/VPB"
+merge "_147_/VPB" "FILLER_6_98/VPWR"
+merge "FILLER_6_98/VPWR" "FILLER_6_98/VPB"
+merge "FILLER_6_98/VPB" "FILLER_5_101/VPWR"
+merge "FILLER_5_101/VPWR" "FILLER_5_101/VPB"
+merge "FILLER_5_101/VPB" "_159_/VPWR"
+merge "_159_/VPWR" "FILLER_4_110/VPB"
+merge "FILLER_4_110/VPB" "FILLER_4_98/VPWR"
+merge "FILLER_4_98/VPWR" "FILLER_4_98/VPB"
+merge "FILLER_4_98/VPB" "FILLER_3_109/VPWR"
+merge "FILLER_3_109/VPWR" "FILLER_3_97/VPWR"
+merge "FILLER_3_97/VPWR" "FILLER_6_89/VPWR"
+merge "FILLER_6_89/VPWR" "FILLER_6_89/VPB"
+merge "FILLER_6_89/VPB" "FILLER_6_81/VPWR"
+merge "FILLER_6_81/VPWR" "FILLER_6_81/VPB"
+merge "FILLER_6_81/VPB" "PHY_51/VPWR"
+merge "PHY_51/VPWR" "_136_/VPWR"
+merge "_136_/VPWR" "_136_/VPB"
+merge "_136_/VPB" "FILLER_5_88/VPWR"
+merge "FILLER_5_88/VPWR" "FILLER_5_88/VPB"
+merge "FILLER_5_88/VPB" "_134_/VPWR"
+merge "_134_/VPWR" "_134_/VPB"
+merge "_134_/VPB" "FILLER_4_91/VPWR"
+merge "FILLER_4_91/VPWR" "FILLER_4_91/VPB"
+merge "FILLER_4_91/VPB" "FILLER_4_85/VPWR"
+merge "FILLER_4_85/VPWR" "FILLER_4_85/VPB"
+merge "FILLER_4_85/VPB" "PHY_48/VPWR"
+merge "PHY_48/VPWR" "_174_/VPWR"
+merge "_174_/VPWR" "_174_/VPB"
+merge "_174_/VPB" "FILLER_3_85/VPWR"
+merge "FILLER_3_85/VPWR" "_166_/VPWR"
+merge "_166_/VPWR" "FILLER_6_73/VPWR"
+merge "FILLER_6_73/VPWR" "FILLER_6_73/VPB"
+merge "FILLER_6_73/VPB" "FILLER_6_65/VPWR"
+merge "FILLER_6_65/VPWR" "FILLER_6_65/VPB"
+merge "FILLER_6_65/VPB" "_111_/VPWR"
+merge "_111_/VPWR" "_111_/VPB"
+merge "_111_/VPB" "FILLER_5_75/VPWR"
+merge "FILLER_5_75/VPWR" "FILLER_5_75/VPB"
+merge "FILLER_5_75/VPB" "_116_/VPWR"
+merge "_116_/VPWR" "FILLER_4_73/VPWR"
+merge "FILLER_4_73/VPWR" "FILLER_4_73/VPB"
+merge "FILLER_4_73/VPB" "_133_/VPWR"
+merge "_133_/VPWR" "_133_/VPB"
+merge "_133_/VPB" "FILLER_3_72/VPWR"
+merge "FILLER_3_72/VPWR" "FILLER_3_66/VPWR"
+merge "FILLER_3_66/VPWR" "_150_/VPWR"
+merge "_150_/VPWR" "_113_/VPWR"
+merge "_113_/VPWR" "_113_/VPB"
+merge "_113_/VPB" "FILLER_6_52/VPWR"
+merge "FILLER_6_52/VPWR" "FILLER_6_52/VPB"
+merge "FILLER_6_52/VPB" "FILLER_5_62/VPWR"
+merge "FILLER_5_62/VPWR" "FILLER_5_62/VPB"
+merge "FILLER_5_62/VPB" "PHY_49/VPWR"
+merge "PHY_49/VPWR" "FILLER_5_53/VPWR"
+merge "FILLER_5_53/VPWR" "FILLER_5_53/VPB"
+merge "FILLER_5_53/VPB" "FILLER_4_60/VPWR"
+merge "FILLER_4_60/VPWR" "FILLER_4_60/VPB"
+merge "FILLER_4_60/VPB" "_155_/VPWR"
+merge "_155_/VPWR" "_155_/VPB"
+merge "_155_/VPB" "FILLER_3_62/VPWR"
+merge "FILLER_3_62/VPWR" "FILLER_3_60/VPWR"
+merge "FILLER_3_60/VPWR" "FILLER_3_52/VPWR"
+merge "FILLER_3_52/VPWR" "_140_/VPWR"
+merge "_140_/VPWR" "_140_/VPB"
+merge "_140_/VPB" "FILLER_6_39/VPWR"
+merge "FILLER_6_39/VPWR" "FILLER_6_39/VPB"
+merge "FILLER_6_39/VPB" "_156_/VPWR"
+merge "_156_/VPWR" "FILLER_5_40/VPWR"
+merge "FILLER_5_40/VPWR" "FILLER_5_40/VPB"
+merge "FILLER_5_40/VPB" "_148_/VPWR"
+merge "_148_/VPWR" "_163_/VPWR"
+merge "_163_/VPWR" "FILLER_4_47/VPWR"
+merge "FILLER_4_47/VPWR" "FILLER_4_47/VPB"
+merge "FILLER_4_47/VPB" "FILLER_4_40/VPWR"
+merge "FILLER_4_40/VPWR" "FILLER_4_40/VPB"
+merge "FILLER_4_40/VPB" "_162_/VPWR"
+merge "_162_/VPWR" "_162_/VPB"
+merge "_162_/VPB" "_168_/VPWR"
+merge "_168_/VPWR" "FILLER_3_39/VPWR"
+merge "FILLER_3_39/VPWR" "FILLER_6_32/VPWR"
+merge "FILLER_6_32/VPWR" "FILLER_6_32/VPB"
+merge "FILLER_6_32/VPB" "FILLER_6_23/VPWR"
+merge "FILLER_6_23/VPWR" "FILLER_6_23/VPB"
+merge "FILLER_6_23/VPB" "PHY_50/VPWR"
+merge "PHY_50/VPWR" "_156_/VPB"
+merge "_156_/VPB" "FILLER_5_27/VPB"
+merge "FILLER_5_27/VPB" "FILLER_5_21/VPB"
+merge "FILLER_5_21/VPB" "FILLER_6_15/VPB"
+merge "FILLER_6_15/VPB" "_165_/VPB"
+merge "_165_/VPB" "FILLER_5_15/VPB"
+merge "FILLER_5_15/VPB" "FILLER_6_3/VPB"
+merge "FILLER_6_3/VPB" "PHY_12/VPB"
+merge "PHY_12/VPB" "FILLER_5_3/VPB"
+merge "FILLER_5_3/VPB" "PHY_10/VPB"
+merge "PHY_10/VPB" "_179_/VPB"
+merge "_179_/VPB" "FILLER_5_27/VPWR"
+merge "FILLER_5_27/VPWR" "FILLER_5_21/VPWR"
+merge "FILLER_5_21/VPWR" "_179_/VPWR"
+merge "_179_/VPWR" "FILLER_4_32/VPWR"
+merge "FILLER_4_32/VPWR" "FILLER_4_32/VPB"
+merge "FILLER_4_32/VPB" "FILLER_4_27/VPWR"
+merge "FILLER_4_27/VPWR" "FILLER_4_27/VPB"
+merge "FILLER_4_27/VPB" "PHY_47/VPWR"
+merge "PHY_47/VPWR" "FILLER_4_15/VPB"
+merge "FILLER_4_15/VPB" "FILLER_4_3/VPB"
+merge "FILLER_4_3/VPB" "PHY_8/VPB"
+merge "PHY_8/VPB" "FILLER_3_117/VPB"
+merge "FILLER_3_117/VPB" "FILLER_3_109/VPB"
+merge "FILLER_3_109/VPB" "FILLER_3_97/VPB"
+merge "FILLER_3_97/VPB" "FILLER_3_85/VPB"
+merge "FILLER_3_85/VPB" "_166_/VPB"
+merge "_166_/VPB" "FILLER_3_72/VPB"
+merge "FILLER_3_72/VPB" "FILLER_3_66/VPB"
+merge "FILLER_3_66/VPB" "FILLER_3_62/VPB"
+merge "FILLER_3_62/VPB" "FILLER_3_60/VPB"
+merge "FILLER_3_60/VPB" "FILLER_3_52/VPB"
+merge "FILLER_3_52/VPB" "_168_/VPB"
+merge "_168_/VPB" "FILLER_3_39/VPB"
+merge "FILLER_3_39/VPB" "FILLER_3_27/VPB"
+merge "FILLER_3_27/VPB" "FILLER_3_15/VPB"
+merge "FILLER_3_15/VPB" "FILLER_3_3/VPB"
+merge "FILLER_3_3/VPB" "PHY_6/VPB"
+merge "PHY_6/VPB" "PHY_46/VPWR"
+merge "PHY_46/VPWR" "_150_/VPB"
+merge "_150_/VPB" "PHY_7/VPB"
+merge "PHY_7/VPB" "FILLER_3_27/VPWR"
+merge "FILLER_3_27/VPWR" "FILLER_6_15/VPWR"
+merge "FILLER_6_15/VPWR" "_165_/VPWR"
+merge "_165_/VPWR" "FILLER_5_15/VPWR"
+merge "FILLER_5_15/VPWR" "FILLER_4_15/VPWR"
+merge "FILLER_4_15/VPWR" "FILLER_3_15/VPWR"
+merge "FILLER_3_15/VPWR" "FILLER_6_3/VPWR"
+merge "FILLER_6_3/VPWR" "PHY_12/VPWR"
+merge "PHY_12/VPWR" "FILLER_5_3/VPWR"
+merge "FILLER_5_3/VPWR" "PHY_10/VPWR"
+merge "PHY_10/VPWR" "FILLER_4_3/VPWR"
+merge "FILLER_4_3/VPWR" "PHY_8/VPWR"
+merge "PHY_8/VPWR" "FILLER_3_3/VPWR"
+merge "FILLER_3_3/VPWR" "PHY_6/VPWR"
+merge "PHY_6/VPWR" "FILLER_2_117/VPWR"
+merge "FILLER_2_117/VPWR" "FILLER_2_117/VPB"
+merge "FILLER_2_117/VPB" "PHY_5/VPWR"
+merge "PHY_5/VPWR" "PHY_5/VPB"
+merge "PHY_5/VPB" "FILLER_1_116/VPWR"
+merge "FILLER_1_116/VPWR" "FILLER_1_116/VPB"
+merge "FILLER_1_116/VPB" "PHY_3/VPWR"
+merge "PHY_3/VPWR" "FILLER_2_105/VPWR"
+merge "FILLER_2_105/VPWR" "FILLER_2_105/VPB"
+merge "FILLER_2_105/VPB" "FILLER_1_104/VPWR"
+merge "FILLER_1_104/VPWR" "FILLER_1_104/VPB"
+merge "FILLER_1_104/VPB" "FILLER_2_93/VPWR"
+merge "FILLER_2_93/VPWR" "FILLER_2_93/VPB"
+merge "FILLER_2_93/VPB" "FILLER_2_80/VPWR"
+merge "FILLER_2_80/VPWR" "FILLER_2_80/VPB"
+merge "FILLER_2_80/VPB" "PHY_45/VPWR"
+merge "PHY_45/VPWR" "FILLER_1_92/VPWR"
+merge "FILLER_1_92/VPWR" "FILLER_1_92/VPB"
+merge "FILLER_1_92/VPB" "FILLER_1_80/VPWR"
+merge "FILLER_1_80/VPWR" "FILLER_1_80/VPB"
+merge "FILLER_1_80/VPB" "FILLER_2_67/VPWR"
+merge "FILLER_2_67/VPWR" "FILLER_2_67/VPB"
+merge "FILLER_2_67/VPB" "_171_/VPWR"
+merge "_171_/VPWR" "_171_/VPB"
+merge "_171_/VPB" "FILLER_1_67/VPWR"
+merge "FILLER_1_67/VPWR" "FILLER_1_67/VPB"
+merge "FILLER_1_67/VPB" "_176_/VPWR"
+merge "_176_/VPWR" "_161_/VPWR"
+merge "_161_/VPWR" "_161_/VPB"
+merge "_161_/VPB" "FILLER_2_54/VPWR"
+merge "FILLER_2_54/VPWR" "FILLER_2_54/VPB"
+merge "FILLER_2_54/VPB" "_169_/VPWR"
+merge "_169_/VPWR" "PHY_43/VPWR"
+merge "PHY_43/VPWR" "_170_/VPWR"
+merge "_170_/VPWR" "FILLER_1_59/VPWR"
+merge "FILLER_1_59/VPWR" "FILLER_1_59/VPB"
+merge "FILLER_1_59/VPB" "FILLER_1_51/VPWR"
+merge "FILLER_1_51/VPWR" "FILLER_1_51/VPB"
+merge "FILLER_1_51/VPB" "FILLER_2_48/VPWR"
+merge "FILLER_2_48/VPWR" "FILLER_2_48/VPB"
+merge "FILLER_2_48/VPB" "FILLER_2_44/VPWR"
+merge "FILLER_2_44/VPWR" "FILLER_2_44/VPB"
+merge "FILLER_2_44/VPB" "_169_/VPB"
+merge "_169_/VPB" "FILLER_1_39/VPWR"
+merge "FILLER_1_39/VPWR" "FILLER_1_39/VPB"
+merge "FILLER_1_39/VPB" "FILLER_2_32/VPWR"
+merge "FILLER_2_32/VPWR" "FILLER_2_32/VPB"
+merge "FILLER_2_32/VPB" "FILLER_2_27/VPWR"
+merge "FILLER_2_27/VPWR" "FILLER_2_27/VPB"
+merge "FILLER_2_27/VPB" "PHY_44/VPWR"
+merge "PHY_44/VPWR" "FILLER_1_27/VPB"
+merge "FILLER_1_27/VPB" "FILLER_2_15/VPB"
+merge "FILLER_2_15/VPB" "FILLER_1_15/VPB"
+merge "FILLER_1_15/VPB" "FILLER_2_3/VPB"
+merge "FILLER_2_3/VPB" "PHY_4/VPB"
+merge "PHY_4/VPB" "FILLER_1_3/VPB"
+merge "FILLER_1_3/VPB" "PHY_2/VPB"
+merge "PHY_2/VPB" "FILLER_1_27/VPWR"
+merge "FILLER_1_27/VPWR" "FILLER_2_15/VPWR"
+merge "FILLER_2_15/VPWR" "FILLER_1_15/VPWR"
+merge "FILLER_1_15/VPWR" "FILLER_2_3/VPWR"
+merge "FILLER_2_3/VPWR" "PHY_4/VPWR"
+merge "PHY_4/VPWR" "FILLER_1_3/VPWR"
+merge "FILLER_1_3/VPWR" "PHY_2/VPWR"
+merge "PHY_2/VPWR" "FILLER_0_118/VPWR"
+merge "FILLER_0_118/VPWR" "FILLER_0_118/VPB"
+merge "FILLER_0_118/VPB" "PHY_1/VPWR"
+merge "PHY_1/VPWR" "FILLER_0_106/VPWR"
+merge "FILLER_0_106/VPWR" "FILLER_0_106/VPB"
+merge "FILLER_0_106/VPB" "FILLER_0_94/VPWR"
+merge "FILLER_0_94/VPWR" "FILLER_0_94/VPB"
+merge "FILLER_0_94/VPB" "FILLER_0_87/VPWR"
+merge "FILLER_0_87/VPWR" "FILLER_0_87/VPB"
+merge "FILLER_0_87/VPB" "PHY_42/VPWR"
+merge "PHY_42/VPWR" "FILLER_0_75/VPWR"
+merge "FILLER_0_75/VPWR" "FILLER_0_75/VPB"
+merge "FILLER_0_75/VPB" "FILLER_0_63/VPWR"
+merge "FILLER_0_63/VPWR" "FILLER_0_63/VPB"
+merge "FILLER_0_63/VPB" "PHY_41/VPWR"
+merge "PHY_41/VPWR" "FILLER_0_56/VPWR"
+merge "FILLER_0_56/VPWR" "FILLER_0_56/VPB"
+merge "FILLER_0_56/VPB" "FILLER_0_44/VPWR"
+merge "FILLER_0_44/VPWR" "FILLER_0_44/VPB"
+merge "FILLER_0_44/VPB" "FILLER_0_32/VPWR"
+merge "FILLER_0_32/VPWR" "FILLER_0_32/VPB"
+merge "FILLER_0_32/VPB" "FILLER_0_27/VPWR"
+merge "FILLER_0_27/VPWR" "FILLER_0_27/VPB"
+merge "FILLER_0_27/VPB" "FILLER_0_15/VPB"
+merge "FILLER_0_15/VPB" "FILLER_0_3/VPB"
+merge "FILLER_0_3/VPB" "PHY_0/VPB"
+merge "PHY_0/VPB" "PHY_40/VPWR"
+merge "PHY_40/VPWR" "FILLER_0_15/VPWR"
+merge "FILLER_0_15/VPWR" "FILLER_0_3/VPWR"
+merge "FILLER_0_3/VPWR" "PHY_0/VPWR"
+merge "PHY_0/VPWR" "VPWR"
+merge "VPWR" "PHY_1/VPB"
+merge "PHY_1/VPB" "_170_/VPB"
+merge "_170_/VPB" "_176_/VPB"
+merge "_176_/VPB" "PHY_3/VPB"
+merge "PHY_3/VPB" "_163_/VPB"
+merge "_163_/VPB" "_148_/VPB"
+merge "_148_/VPB" "_116_/VPB"
+merge "_116_/VPB" "_159_/VPB"
+merge "_159_/VPB" "PHY_11/VPB"
+merge "PHY_11/VPB" "_151_/VPB"
+merge "_151_/VPB" "_114_/VPB"
+merge "_114_/VPB" "_144_/VPB"
+merge "_144_/VPB" "_104_/VPB"
+merge "_104_/VPB" "_112_/VPB"
+merge "_112_/VPB" "PHY_15/VPB"
+merge "PHY_15/VPB" "_115_/VPB"
+merge "_115_/VPB" "_086_/VPB"
+merge "_086_/VPB" "_175_/VPB"
+merge "_175_/VPB" "_157_/VPB"
+merge "_157_/VPB" "PHY_19/VPB"
+merge "PHY_19/VPB" "_085_/VPB"
+merge "_085_/VPB" "_132_/VPB"
+merge "_132_/VPB" "_142_/VPB"
+merge "_142_/VPB" "_098_/VPB"
+merge "_098_/VPB" "_080_/VPB"
+merge "_080_/VPB" "_158_/VPB"
+merge "_158_/VPB" "PHY_23/VPB"
+merge "PHY_23/VPB" "PHY_29/VPB"
+merge "PHY_29/VPB" "PHY_27/VPB"
+merge "PHY_27/VPB" "_106_/VPB"
+merge "_106_/VPB" "PHY_31/VPB"
+merge "PHY_31/VPB" "_099_/VPB"
+merge "_099_/VPB" "_172_/VPB"
+merge "_172_/VPB" "PHY_35/VPB"
+merge "PHY_35/VPB" "_173_/VPB"
+merge "_173_/VPB" "PHY_39/VPB"
+merge "FILLER_19_116/VNB" "FILLER_19_116/VGND" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_116/VGND" "PHY_39/VGND"
+merge "PHY_39/VGND" "PHY_39/VNB"
+merge "PHY_39/VNB" "FILLER_18_118/VNB"
+merge "FILLER_18_118/VNB" "FILLER_18_118/VGND"
+merge "FILLER_18_118/VGND" "FILLER_18_114/VNB"
+merge "FILLER_18_114/VNB" "FILLER_18_114/VGND"
+merge "FILLER_18_114/VGND" "PHY_37/VNB"
+merge "PHY_37/VNB" "PHY_37/VGND"
+merge "PHY_37/VGND" "FILLER_17_118/VNB"
+merge "FILLER_17_118/VNB" "FILLER_17_118/VGND"
+merge "FILLER_17_118/VGND" "FILLER_17_114/VNB"
+merge "FILLER_17_114/VNB" "FILLER_17_114/VGND"
+merge "FILLER_17_114/VGND" "PHY_35/VGND"
+merge "PHY_35/VGND" "PHY_35/VNB"
+merge "PHY_35/VNB" "PHY_33/VGND"
+merge "PHY_33/VGND" "FILLER_19_108/VNB"
+merge "FILLER_19_108/VNB" "FILLER_19_108/VGND"
+merge "FILLER_19_108/VGND" "FILLER_18_102/VNB"
+merge "FILLER_18_102/VNB" "FILLER_18_102/VGND"
+merge "FILLER_18_102/VGND" "FILLER_17_102/VNB"
+merge "FILLER_17_102/VNB" "FILLER_17_102/VGND"
+merge "FILLER_17_102/VGND" "FILLER_16_107/VGND"
+merge "FILLER_16_107/VGND" "FILLER_19_89/VGND"
+merge "FILLER_19_89/VGND" "FILLER_19_89/VNB"
+merge "FILLER_19_89/VNB" "PHY_72/VGND"
+merge "PHY_72/VGND" "_130_/VNB"
+merge "_130_/VNB" "_130_/VGND"
+merge "_130_/VGND" "FILLER_18_89/VNB"
+merge "FILLER_18_89/VNB" "FILLER_18_89/VGND"
+merge "FILLER_18_89/VGND" "PHY_69/VGND"
+merge "PHY_69/VGND" "_089_/VNB"
+merge "_089_/VNB" "_089_/VGND"
+merge "_089_/VGND" "FILLER_17_84/VNB"
+merge "FILLER_17_84/VNB" "FILLER_17_84/VGND"
+merge "FILLER_17_84/VGND" "_172_/VNB"
+merge "_172_/VNB" "_172_/VGND"
+merge "_172_/VGND" "FILLER_16_90/VGND"
+merge "FILLER_16_90/VGND" "_100_/VGND"
+merge "_100_/VGND" "FILLER_19_77/VGND"
+merge "FILLER_19_77/VGND" "FILLER_19_77/VNB"
+merge "FILLER_19_77/VNB" "FILLER_18_77/VNB"
+merge "FILLER_18_77/VNB" "FILLER_18_77/VGND"
+merge "FILLER_18_77/VGND" "FILLER_17_66/VNB"
+merge "FILLER_17_66/VNB" "FILLER_17_66/VGND"
+merge "FILLER_17_66/VGND" "_099_/VNB"
+merge "_099_/VNB" "_099_/VGND"
+merge "_099_/VGND" "FILLER_16_78/VGND"
+merge "FILLER_16_78/VGND" "PHY_71/VGND"
+merge "PHY_71/VGND" "_173_/VNB"
+merge "_173_/VNB" "_173_/VGND"
+merge "_173_/VGND" "FILLER_19_59/VNB"
+merge "FILLER_19_59/VNB" "FILLER_19_59/VGND"
+merge "FILLER_19_59/VGND" "FILLER_19_51/VNB"
+merge "FILLER_19_51/VNB" "FILLER_19_51/VGND"
+merge "FILLER_19_51/VGND" "_129_/VNB"
+merge "_129_/VNB" "_129_/VGND"
+merge "_129_/VGND" "FILLER_18_52/VNB"
+merge "FILLER_18_52/VNB" "FILLER_18_52/VGND"
+merge "FILLER_18_52/VGND" "FILLER_17_62/VNB"
+merge "FILLER_17_62/VNB" "FILLER_17_62/VGND"
+merge "FILLER_17_62/VGND" "FILLER_17_60/VNB"
+merge "FILLER_17_60/VNB" "FILLER_17_60/VGND"
+merge "FILLER_17_60/VGND" "PHY_67/VGND"
+merge "PHY_67/VGND" "_149_/VGND"
+merge "_149_/VGND" "FILLER_16_56/VGND"
+merge "FILLER_16_56/VGND" "FILLER_17_48/VNB"
+merge "FILLER_17_48/VNB" "FILLER_17_48/VGND"
+merge "FILLER_17_48/VGND" "_180_/VGND"
+merge "_180_/VGND" "FILLER_16_38/VGND"
+merge "FILLER_16_38/VGND" "FILLER_19_23/VGND"
+merge "FILLER_19_23/VGND" "FILLER_19_23/VNB"
+merge "FILLER_19_23/VNB" "PHY_70/VGND"
+merge "PHY_70/VGND" "_182_/VGND"
+merge "_182_/VGND" "_182_/VNB"
+merge "_182_/VNB" "FILLER_18_32/VNB"
+merge "FILLER_18_32/VNB" "FILLER_18_32/VGND"
+merge "FILLER_18_32/VGND" "FILLER_18_23/VNB"
+merge "FILLER_18_23/VNB" "FILLER_18_23/VGND"
+merge "FILLER_18_23/VGND" "PHY_68/VGND"
+merge "PHY_68/VGND" "_183_/VNB"
+merge "_183_/VNB" "_183_/VGND"
+merge "_183_/VGND" "FILLER_17_21/VNB"
+merge "FILLER_17_21/VNB" "FILLER_17_21/VGND"
+merge "FILLER_17_21/VGND" "_184_/VNB"
+merge "_184_/VNB" "_184_/VGND"
+merge "_184_/VGND" "FILLER_16_23/VGND"
+merge "FILLER_16_23/VGND" "_091_/VGND"
+merge "_091_/VGND" "FILLER_19_6/VNB"
+merge "FILLER_19_6/VNB" "FILLER_19_6/VGND"
+merge "FILLER_19_6/VGND" "_102_/VGND"
+merge "_102_/VGND" "_102_/VNB"
+merge "_102_/VNB" "FILLER_18_11/VNB"
+merge "FILLER_18_11/VNB" "FILLER_18_11/VGND"
+merge "FILLER_18_11/VGND" "_131_/VNB"
+merge "_131_/VNB" "_131_/VGND"
+merge "_131_/VGND" "FILLER_17_11/VGND"
+merge "FILLER_17_11/VGND" "FILLER_17_11/VNB"
+merge "FILLER_17_11/VNB" "_083_/VNB"
+merge "_083_/VNB" "_083_/VGND"
+merge "_083_/VGND" "FILLER_16_11/VGND"
+merge "FILLER_16_11/VGND" "_167_/VGND"
+merge "_167_/VGND" "PHY_38/VGND"
+merge "PHY_38/VGND" "PHY_38/VNB"
+merge "PHY_38/VNB" "_145_/VNB"
+merge "_145_/VNB" "_145_/VGND"
+merge "_145_/VGND" "FILLER_18_3/VNB"
+merge "FILLER_18_3/VNB" "FILLER_18_3/VGND"
+merge "FILLER_18_3/VGND" "PHY_36/VNB"
+merge "PHY_36/VNB" "PHY_36/VGND"
+merge "PHY_36/VGND" "FILLER_17_3/VNB"
+merge "FILLER_17_3/VNB" "FILLER_17_3/VGND"
+merge "FILLER_17_3/VGND" "PHY_34/VGND"
+merge "PHY_34/VGND" "PHY_34/VNB"
+merge "PHY_34/VNB" "FILLER_16_3/VGND"
+merge "FILLER_16_3/VGND" "PHY_32/VGND"
+merge "PHY_32/VGND" "PHY_33/VNB"
+merge "PHY_33/VNB" "FILLER_15_111/VNB"
+merge "FILLER_15_111/VNB" "FILLER_15_111/VGND"
+merge "FILLER_15_111/VGND" "PHY_31/VGND"
+merge "PHY_31/VGND" "PHY_31/VNB"
+merge "PHY_31/VNB" "FILLER_14_118/VGND"
+merge "FILLER_14_118/VGND" "FILLER_14_114/VGND"
+merge "FILLER_14_114/VGND" "PHY_29/VGND"
+merge "PHY_29/VGND" "FILLER_16_107/VNB"
+merge "FILLER_16_107/VNB" "_127_/VGND"
+merge "_127_/VGND" "_127_/VNB"
+merge "_127_/VNB" "FILLER_14_102/VGND"
+merge "FILLER_14_102/VGND" "FILLER_16_90/VNB"
+merge "FILLER_16_90/VNB" "PHY_66/VGND"
+merge "PHY_66/VGND" "_100_/VNB"
+merge "_100_/VNB" "FILLER_15_94/VNB"
+merge "FILLER_15_94/VNB" "FILLER_15_94/VGND"
+merge "FILLER_15_94/VGND" "_095_/VNB"
+merge "_095_/VNB" "_095_/VGND"
+merge "_095_/VGND" "FILLER_14_84/VGND"
+merge "FILLER_14_84/VGND" "_121_/VGND"
+merge "_121_/VGND" "FILLER_16_78/VNB"
+merge "FILLER_16_78/VNB" "FILLER_15_77/VNB"
+merge "FILLER_15_77/VNB" "FILLER_15_77/VGND"
+merge "FILLER_15_77/VGND" "_106_/VNB"
+merge "_106_/VNB" "_106_/VGND"
+merge "_106_/VGND" "FILLER_14_68/VGND"
+merge "FILLER_14_68/VGND" "_080_/VGND"
+merge "_080_/VGND" "_149_/VNB"
+merge "_149_/VNB" "FILLER_16_56/VNB"
+merge "FILLER_16_56/VNB" "FILLER_15_62/VNB"
+merge "FILLER_15_62/VNB" "FILLER_15_62/VGND"
+merge "FILLER_15_62/VGND" "PHY_64/VGND"
+merge "PHY_64/VGND" "FILLER_15_53/VNB"
+merge "FILLER_15_53/VNB" "FILLER_15_53/VGND"
+merge "FILLER_15_53/VGND" "_142_/VGND"
+merge "_142_/VGND" "_180_/VNB"
+merge "_180_/VNB" "FILLER_16_38/VNB"
+merge "FILLER_16_38/VNB" "_082_/VNB"
+merge "_082_/VNB" "_082_/VGND"
+merge "_082_/VGND" "FILLER_15_36/VNB"
+merge "FILLER_15_36/VNB" "FILLER_15_36/VGND"
+merge "FILLER_15_36/VGND" "FILLER_14_48/VGND"
+merge "FILLER_14_48/VGND" "FILLER_14_38/VGND"
+merge "FILLER_14_38/VGND" "_085_/VGND"
+merge "_085_/VGND" "FILLER_16_23/VNB"
+merge "FILLER_16_23/VNB" "PHY_65/VGND"
+merge "PHY_65/VGND" "_091_/VNB"
+merge "_091_/VNB" "_135_/VNB"
+merge "_135_/VNB" "_135_/VGND"
+merge "_135_/VGND" "FILLER_15_19/VNB"
+merge "FILLER_15_19/VNB" "FILLER_15_19/VGND"
+merge "FILLER_15_19/VGND" "FILLER_14_32/VGND"
+merge "FILLER_14_32/VGND" "FILLER_14_23/VGND"
+merge "FILLER_14_23/VGND" "FILLER_16_11/VNB"
+merge "FILLER_16_11/VNB" "_167_/VNB"
+merge "_167_/VNB" "FILLER_15_11/VNB"
+merge "FILLER_15_11/VNB" "FILLER_15_11/VGND"
+merge "FILLER_15_11/VGND" "_096_/VNB"
+merge "_096_/VNB" "_096_/VGND"
+merge "_096_/VGND" "FILLER_14_9/VGND"
+merge "FILLER_14_9/VGND" "_124_/VGND"
+merge "_124_/VGND" "FILLER_16_3/VNB"
+merge "FILLER_16_3/VNB" "PHY_32/VNB"
+merge "PHY_32/VNB" "FILLER_15_3/VNB"
+merge "FILLER_15_3/VNB" "FILLER_15_3/VGND"
+merge "FILLER_15_3/VGND" "PHY_30/VGND"
+merge "PHY_30/VGND" "PHY_30/VNB"
+merge "PHY_30/VNB" "PHY_28/VGND"
+merge "PHY_28/VGND" "_181_/VGND"
+merge "_181_/VGND" "FILLER_14_118/VNB"
+merge "FILLER_14_118/VNB" "FILLER_14_114/VNB"
+merge "FILLER_14_114/VNB" "FILLER_13_117/VNB"
+merge "FILLER_13_117/VNB" "FILLER_13_117/VGND"
+merge "FILLER_13_117/VGND" "PHY_29/VNB"
+merge "PHY_29/VNB" "PHY_27/VGND"
+merge "PHY_27/VGND" "PHY_27/VNB"
+merge "PHY_27/VNB" "FILLER_12_113/VNB"
+merge "FILLER_12_113/VNB" "FILLER_12_113/VGND"
+merge "FILLER_12_113/VGND" "PHY_25/VNB"
+merge "PHY_25/VNB" "PHY_25/VGND"
+merge "PHY_25/VGND" "FILLER_14_102/VNB"
+merge "FILLER_14_102/VNB" "FILLER_13_105/VNB"
+merge "FILLER_13_105/VNB" "FILLER_13_105/VGND"
+merge "FILLER_13_105/VGND" "_128_/VNB"
+merge "_128_/VNB" "_128_/VGND"
+merge "_128_/VGND" "FILLER_12_101/VNB"
+merge "FILLER_12_101/VNB" "FILLER_12_101/VGND"
+merge "FILLER_12_101/VGND" "FILLER_14_84/VNB"
+merge "FILLER_14_84/VNB" "FILLER_13_88/VNB"
+merge "FILLER_13_88/VNB" "FILLER_13_88/VGND"
+merge "FILLER_13_88/VGND" "PHY_63/VGND"
+merge "PHY_63/VGND" "_121_/VNB"
+merge "_121_/VNB" "FILLER_12_84/VNB"
+merge "FILLER_12_84/VNB" "FILLER_12_84/VGND"
+merge "FILLER_12_84/VGND" "PHY_60/VGND"
+merge "PHY_60/VGND" "_101_/VNB"
+merge "_101_/VNB" "_101_/VGND"
+merge "_101_/VGND" "FILLER_14_68/VNB"
+merge "FILLER_14_68/VNB" "FILLER_13_71/VNB"
+merge "FILLER_13_71/VNB" "FILLER_13_71/VGND"
+merge "FILLER_13_71/VGND" "_098_/VNB"
+merge "_098_/VNB" "_098_/VGND"
+merge "_098_/VGND" "_080_/VNB"
+merge "_080_/VNB" "FILLER_12_69/VNB"
+merge "FILLER_12_69/VNB" "FILLER_12_69/VGND"
+merge "FILLER_12_69/VGND" "_125_/VNB"
+merge "_125_/VNB" "_125_/VGND"
+merge "_125_/VGND" "PHY_61/VGND"
+merge "PHY_61/VGND" "_090_/VNB"
+merge "_090_/VNB" "_090_/VGND"
+merge "_090_/VGND" "FILLER_13_53/VNB"
+merge "FILLER_13_53/VNB" "FILLER_13_53/VGND"
+merge "FILLER_13_53/VGND" "_142_/VNB"
+merge "_142_/VNB" "_097_/VNB"
+merge "_097_/VNB" "_097_/VGND"
+merge "_097_/VGND" "FILLER_12_52/VNB"
+merge "FILLER_12_52/VNB" "FILLER_12_52/VGND"
+merge "FILLER_12_52/VGND" "FILLER_14_48/VNB"
+merge "FILLER_14_48/VNB" "_153_/VNB"
+merge "_153_/VNB" "_153_/VGND"
+merge "_153_/VGND" "FILLER_14_38/VNB"
+merge "FILLER_14_38/VNB" "FILLER_13_36/VNB"
+merge "FILLER_13_36/VNB" "FILLER_13_36/VGND"
+merge "FILLER_13_36/VGND" "_085_/VNB"
+merge "_085_/VNB" "_084_/VNB"
+merge "_084_/VNB" "_084_/VGND"
+merge "_084_/VGND" "FILLER_12_37/VNB"
+merge "FILLER_12_37/VNB" "FILLER_12_37/VGND"
+merge "FILLER_12_37/VGND" "FILLER_14_32/VNB"
+merge "FILLER_14_32/VNB" "FILLER_14_23/VNB"
+merge "FILLER_14_23/VNB" "FILLER_13_22/VNB"
+merge "FILLER_13_22/VNB" "FILLER_13_22/VGND"
+merge "FILLER_13_22/VGND" "PHY_62/VGND"
+merge "PHY_62/VGND" "_105_/VNB"
+merge "_105_/VNB" "_105_/VGND"
+merge "_105_/VGND" "FILLER_12_23/VNB"
+merge "FILLER_12_23/VNB" "FILLER_12_23/VGND"
+merge "FILLER_12_23/VGND" "PHY_59/VGND"
+merge "PHY_59/VGND" "_079_/VNB"
+merge "_079_/VNB" "_079_/VGND"
+merge "_079_/VGND" "FILLER_14_9/VNB"
+merge "FILLER_14_9/VNB" "FILLER_13_8/VNB"
+merge "FILLER_13_8/VNB" "FILLER_13_8/VGND"
+merge "FILLER_13_8/VGND" "_139_/VNB"
+merge "_139_/VNB" "_139_/VGND"
+merge "_139_/VGND" "_124_/VNB"
+merge "_124_/VNB" "FILLER_12_10/VNB"
+merge "FILLER_12_10/VNB" "FILLER_12_10/VGND"
+merge "FILLER_12_10/VGND" "_118_/VNB"
+merge "_118_/VNB" "_118_/VGND"
+merge "_118_/VGND" "_087_/VNB"
+merge "_087_/VNB" "_087_/VGND"
+merge "_087_/VGND" "PHY_28/VNB"
+merge "PHY_28/VNB" "PHY_26/VGND"
+merge "PHY_26/VGND" "PHY_26/VNB"
+merge "PHY_26/VNB" "_181_/VNB"
+merge "_181_/VNB" "_088_/VNB"
+merge "_088_/VNB" "_088_/VGND"
+merge "_088_/VGND" "FILLER_12_3/VNB"
+merge "FILLER_12_3/VNB" "FILLER_12_3/VGND"
+merge "FILLER_12_3/VGND" "PHY_24/VNB"
+merge "PHY_24/VNB" "PHY_24/VGND"
+merge "PHY_24/VGND" "FILLER_11_117/VNB"
+merge "FILLER_11_117/VNB" "FILLER_11_117/VGND"
+merge "FILLER_11_117/VGND" "PHY_23/VGND"
+merge "PHY_23/VGND" "PHY_23/VNB"
+merge "PHY_23/VNB" "FILLER_10_111/VNB"
+merge "FILLER_10_111/VNB" "FILLER_10_111/VGND"
+merge "FILLER_10_111/VGND" "PHY_21/VNB"
+merge "PHY_21/VNB" "PHY_21/VGND"
+merge "PHY_21/VGND" "FILLER_9_116/VNB"
+merge "FILLER_9_116/VNB" "FILLER_9_116/VGND"
+merge "FILLER_9_116/VGND" "PHY_19/VGND"
+merge "PHY_19/VGND" "PHY_19/VNB"
+merge "PHY_19/VNB" "FILLER_8_111/VGND"
+merge "FILLER_8_111/VGND" "PHY_17/VGND"
+merge "PHY_17/VGND" "FILLER_11_105/VNB"
+merge "FILLER_11_105/VNB" "FILLER_11_105/VGND"
+merge "FILLER_11_105/VGND" "_158_/VNB"
+merge "_158_/VNB" "_158_/VGND"
+merge "_158_/VGND" "FILLER_10_100/VNB"
+merge "FILLER_10_100/VNB" "FILLER_10_100/VGND"
+merge "FILLER_10_100/VGND" "_109_/VNB"
+merge "_109_/VNB" "_109_/VGND"
+merge "_109_/VGND" "FILLER_9_104/VNB"
+merge "FILLER_9_104/VNB" "FILLER_9_104/VGND"
+merge "FILLER_9_104/VGND" "_157_/VGND"
+merge "_157_/VGND" "_157_/VNB"
+merge "_157_/VNB" "_119_/VGND"
+merge "_119_/VGND" "FILLER_8_98/VGND"
+merge "FILLER_8_98/VGND" "FILLER_11_89/VGND"
+merge "FILLER_11_89/VGND" "FILLER_11_89/VNB"
+merge "FILLER_11_89/VNB" "_178_/VNB"
+merge "_178_/VNB" "_178_/VGND"
+merge "_178_/VGND" "FILLER_10_89/VNB"
+merge "FILLER_10_89/VNB" "FILLER_10_89/VGND"
+merge "FILLER_10_89/VGND" "PHY_57/VGND"
+merge "PHY_57/VGND" "_126_/VNB"
+merge "_126_/VNB" "_126_/VGND"
+merge "_126_/VGND" "FILLER_9_90/VGND"
+merge "FILLER_9_90/VGND" "FILLER_9_90/VNB"
+merge "FILLER_9_90/VNB" "_108_/VNB"
+merge "_108_/VNB" "_108_/VGND"
+merge "_108_/VGND" "FILLER_8_90/VGND"
+merge "FILLER_8_90/VGND" "_110_/VGND"
+merge "_110_/VGND" "FILLER_11_72/VNB"
+merge "FILLER_11_72/VNB" "FILLER_11_72/VGND"
+merge "FILLER_11_72/VGND" "FILLER_10_77/VNB"
+merge "FILLER_10_77/VNB" "FILLER_10_77/VGND"
+merge "FILLER_10_77/VGND" "_177_/VNB"
+merge "_177_/VNB" "_177_/VGND"
+merge "_177_/VGND" "FILLER_9_76/VNB"
+merge "FILLER_9_76/VNB" "FILLER_9_76/VGND"
+merge "FILLER_9_76/VGND" "_175_/VNB"
+merge "_175_/VNB" "_175_/VGND"
+merge "_175_/VGND" "FILLER_8_78/VGND"
+merge "FILLER_8_78/VGND" "_107_/VGND"
+merge "_107_/VGND" "FILLER_11_62/VNB"
+merge "FILLER_11_62/VNB" "FILLER_11_62/VGND"
+merge "FILLER_11_62/VGND" "PHY_58/VGND"
+merge "PHY_58/VGND" "_132_/VNB"
+merge "_132_/VNB" "_132_/VGND"
+merge "_132_/VGND" "FILLER_11_53/VNB"
+merge "FILLER_11_53/VNB" "FILLER_11_53/VGND"
+merge "FILLER_11_53/VGND" "FILLER_10_60/VNB"
+merge "FILLER_10_60/VNB" "FILLER_10_60/VGND"
+merge "FILLER_10_60/VGND" "_103_/VNB"
+merge "_103_/VNB" "_103_/VGND"
+merge "_103_/VGND" "FILLER_9_62/VNB"
+merge "FILLER_9_62/VNB" "FILLER_9_62/VGND"
+merge "FILLER_9_62/VGND" "PHY_55/VGND"
+merge "PHY_55/VGND" "FILLER_9_53/VNB"
+merge "FILLER_9_53/VNB" "FILLER_9_53/VGND"
+merge "FILLER_9_53/VGND" "FILLER_8_64/VGND"
+merge "FILLER_8_64/VGND" "FILLER_8_51/VGND"
+merge "FILLER_8_51/VGND" "_081_/VGND"
+merge "_081_/VGND" "_117_/VNB"
+merge "_117_/VNB" "_117_/VGND"
+merge "_117_/VGND" "FILLER_11_39/VNB"
+merge "FILLER_11_39/VNB" "FILLER_11_39/VGND"
+merge "FILLER_11_39/VGND" "FILLER_10_46/VNB"
+merge "FILLER_10_46/VNB" "FILLER_10_46/VGND"
+merge "FILLER_10_46/VGND" "_141_/VNB"
+merge "_141_/VNB" "_141_/VGND"
+merge "_141_/VGND" "FILLER_9_40/VNB"
+merge "FILLER_9_40/VNB" "FILLER_9_40/VGND"
+merge "FILLER_9_40/VGND" "_086_/VNB"
+merge "_086_/VNB" "_086_/VGND"
+merge "_086_/VGND" "_115_/VNB"
+merge "_115_/VNB" "_115_/VGND"
+merge "_115_/VGND" "_093_/VGND"
+merge "_093_/VGND" "FILLER_8_38/VGND"
+merge "FILLER_8_38/VGND" "FILLER_11_25/VNB"
+merge "FILLER_11_25/VNB" "FILLER_11_25/VGND"
+merge "FILLER_11_25/VGND" "_143_/VNB"
+merge "_143_/VNB" "_143_/VGND"
+merge "_143_/VGND" "_092_/VNB"
+merge "_092_/VNB" "_092_/VGND"
+merge "_092_/VGND" "FILLER_10_32/VNB"
+merge "FILLER_10_32/VNB" "FILLER_10_32/VGND"
+merge "FILLER_10_32/VGND" "FILLER_10_23/VNB"
+merge "FILLER_10_23/VNB" "FILLER_10_23/VGND"
+merge "FILLER_10_23/VGND" "PHY_56/VGND"
+merge "PHY_56/VGND" "FILLER_9_27/VNB"
+merge "FILLER_9_27/VNB" "FILLER_9_27/VGND"
+merge "FILLER_9_27/VGND" "_137_/VNB"
+merge "_137_/VNB" "_137_/VGND"
+merge "_137_/VGND" "FILLER_8_32/VGND"
+merge "FILLER_8_32/VGND" "FILLER_8_23/VGND"
+merge "FILLER_8_23/VGND" "_123_/VGND"
+merge "_123_/VGND" "FILLER_11_12/VNB"
+merge "FILLER_11_12/VNB" "FILLER_11_12/VGND"
+merge "FILLER_11_12/VGND" "_122_/VNB"
+merge "_122_/VNB" "_122_/VGND"
+merge "_122_/VGND" "FILLER_10_10/VNB"
+merge "FILLER_10_10/VNB" "FILLER_10_10/VGND"
+merge "FILLER_10_10/VGND" "_154_/VNB"
+merge "_154_/VNB" "_154_/VGND"
+merge "_154_/VGND" "_120_/VNB"
+merge "_120_/VNB" "_120_/VGND"
+merge "_120_/VGND" "FILLER_9_14/VNB"
+merge "FILLER_9_14/VNB" "FILLER_9_14/VGND"
+merge "FILLER_9_14/VGND" "_160_/VNB"
+merge "_160_/VNB" "_160_/VGND"
+merge "_160_/VGND" "FILLER_8_10/VGND"
+merge "FILLER_8_10/VGND" "_164_/VGND"
+merge "_164_/VGND" "_152_/VGND"
+merge "_152_/VGND" "FILLER_11_3/VNB"
+merge "FILLER_11_3/VNB" "FILLER_11_3/VGND"
+merge "FILLER_11_3/VGND" "PHY_22/VGND"
+merge "PHY_22/VGND" "PHY_22/VNB"
+merge "PHY_22/VNB" "FILLER_10_3/VNB"
+merge "FILLER_10_3/VNB" "FILLER_10_3/VGND"
+merge "FILLER_10_3/VGND" "PHY_20/VNB"
+merge "PHY_20/VNB" "PHY_20/VGND"
+merge "PHY_20/VGND" "FILLER_9_3/VNB"
+merge "FILLER_9_3/VNB" "FILLER_9_3/VGND"
+merge "FILLER_9_3/VGND" "PHY_18/VGND"
+merge "PHY_18/VGND" "PHY_18/VNB"
+merge "PHY_18/VNB" "FILLER_8_3/VGND"
+merge "FILLER_8_3/VGND" "PHY_16/VGND"
+merge "PHY_16/VGND" "FILLER_8_111/VNB"
+merge "FILLER_8_111/VNB" "PHY_17/VNB"
+merge "PHY_17/VNB" "FILLER_7_118/VNB"
+merge "FILLER_7_118/VNB" "FILLER_7_118/VGND"
+merge "FILLER_7_118/VGND" "FILLER_7_110/VGND"
+merge "FILLER_7_110/VGND" "FILLER_6_111/VGND"
+merge "FILLER_6_111/VGND" "PHY_15/VGND"
+merge "PHY_15/VGND" "PHY_15/VNB"
+merge "PHY_15/VNB" "PHY_13/VGND"
+merge "PHY_13/VGND" "_119_/VNB"
+merge "_119_/VNB" "FILLER_8_98/VNB"
+merge "FILLER_8_98/VNB" "FILLER_7_110/VNB"
+merge "FILLER_7_110/VNB" "_147_/VGND"
+merge "_147_/VGND" "_146_/VNB"
+merge "_146_/VNB" "_146_/VGND"
+merge "_146_/VGND" "FILLER_7_97/VNB"
+merge "FILLER_7_97/VNB" "FILLER_7_97/VGND"
+merge "FILLER_7_97/VGND" "FILLER_6_98/VGND"
+merge "FILLER_6_98/VGND" "FILLER_8_90/VNB"
+merge "FILLER_8_90/VNB" "PHY_54/VGND"
+merge "PHY_54/VGND" "_110_/VNB"
+merge "_110_/VNB" "FILLER_7_84/VNB"
+merge "FILLER_7_84/VNB" "FILLER_7_84/VGND"
+merge "FILLER_7_84/VGND" "FILLER_6_89/VGND"
+merge "FILLER_6_89/VGND" "FILLER_6_81/VGND"
+merge "FILLER_6_81/VGND" "_136_/VGND"
+merge "_136_/VGND" "_112_/VNB"
+merge "_112_/VNB" "_112_/VGND"
+merge "_112_/VGND" "FILLER_8_78/VNB"
+merge "FILLER_8_78/VNB" "_107_/VNB"
+merge "_107_/VNB" "FILLER_7_71/VNB"
+merge "FILLER_7_71/VNB" "FILLER_7_71/VGND"
+merge "FILLER_7_71/VGND" "FILLER_6_73/VGND"
+merge "FILLER_6_73/VGND" "FILLER_6_65/VGND"
+merge "FILLER_6_65/VGND" "_144_/VNB"
+merge "_144_/VNB" "_144_/VGND"
+merge "_144_/VGND" "_111_/VGND"
+merge "_111_/VGND" "_104_/VNB"
+merge "_104_/VNB" "_104_/VGND"
+merge "_104_/VGND" "FILLER_8_64/VNB"
+merge "FILLER_8_64/VNB" "FILLER_8_51/VNB"
+merge "FILLER_8_51/VNB" "_081_/VNB"
+merge "_081_/VNB" "FILLER_7_62/VNB"
+merge "FILLER_7_62/VNB" "FILLER_7_62/VGND"
+merge "FILLER_7_62/VGND" "PHY_52/VGND"
+merge "PHY_52/VGND" "_113_/VGND"
+merge "_113_/VGND" "FILLER_7_53/VNB"
+merge "FILLER_7_53/VNB" "FILLER_7_53/VGND"
+merge "FILLER_7_53/VGND" "FILLER_6_52/VGND"
+merge "FILLER_6_52/VGND" "_093_/VNB"
+merge "_093_/VNB" "FILLER_8_38/VNB"
+merge "FILLER_8_38/VNB" "FILLER_7_40/VNB"
+merge "FILLER_7_40/VNB" "FILLER_7_40/VGND"
+merge "FILLER_7_40/VGND" "_140_/VGND"
+merge "_140_/VGND" "_114_/VNB"
+merge "_114_/VNB" "_114_/VGND"
+merge "_114_/VGND" "FILLER_6_39/VGND"
+merge "FILLER_6_39/VGND" "_156_/VGND"
+merge "_156_/VGND" "_151_/VNB"
+merge "_151_/VNB" "_151_/VGND"
+merge "_151_/VGND" "FILLER_8_32/VNB"
+merge "FILLER_8_32/VNB" "FILLER_8_23/VNB"
+merge "FILLER_8_23/VNB" "PHY_53/VGND"
+merge "PHY_53/VGND" "_123_/VNB"
+merge "_123_/VNB" "FILLER_7_27/VNB"
+merge "FILLER_7_27/VNB" "FILLER_7_27/VGND"
+merge "FILLER_7_27/VGND" "FILLER_6_32/VGND"
+merge "FILLER_6_32/VGND" "FILLER_6_23/VGND"
+merge "FILLER_6_23/VGND" "_094_/VNB"
+merge "_094_/VNB" "_094_/VGND"
+merge "_094_/VGND" "FILLER_8_10/VNB"
+merge "FILLER_8_10/VNB" "_164_/VNB"
+merge "_164_/VNB" "_152_/VNB"
+merge "_152_/VNB" "FILLER_7_15/VGND"
+merge "FILLER_7_15/VGND" "FILLER_7_15/VNB"
+merge "FILLER_7_15/VNB" "FILLER_6_15/VGND"
+merge "FILLER_6_15/VGND" "_165_/VGND"
+merge "_165_/VGND" "_138_/VNB"
+merge "_138_/VNB" "_138_/VGND"
+merge "_138_/VGND" "FILLER_8_3/VNB"
+merge "FILLER_8_3/VNB" "PHY_16/VNB"
+merge "PHY_16/VNB" "FILLER_7_3/VNB"
+merge "FILLER_7_3/VNB" "FILLER_7_3/VGND"
+merge "FILLER_7_3/VGND" "FILLER_6_3/VGND"
+merge "FILLER_6_3/VGND" "PHY_14/VGND"
+merge "PHY_14/VGND" "PHY_14/VNB"
+merge "PHY_14/VNB" "PHY_12/VGND"
+merge "PHY_12/VGND" "FILLER_6_111/VNB"
+merge "FILLER_6_111/VNB" "PHY_13/VNB"
+merge "PHY_13/VNB" "FILLER_5_113/VNB"
+merge "FILLER_5_113/VNB" "FILLER_5_113/VGND"
+merge "FILLER_5_113/VGND" "PHY_11/VGND"
+merge "PHY_11/VGND" "PHY_11/VNB"
+merge "PHY_11/VNB" "FILLER_4_118/VNB"
+merge "FILLER_4_118/VNB" "FILLER_4_118/VGND"
+merge "FILLER_4_118/VGND" "FILLER_4_110/VGND"
+merge "FILLER_4_110/VGND" "PHY_9/VNB"
+merge "PHY_9/VNB" "PHY_9/VGND"
+merge "PHY_9/VGND" "_147_/VNB"
+merge "_147_/VNB" "FILLER_6_98/VNB"
+merge "FILLER_6_98/VNB" "FILLER_5_101/VNB"
+merge "FILLER_5_101/VNB" "FILLER_5_101/VGND"
+merge "FILLER_5_101/VGND" "_159_/VNB"
+merge "_159_/VNB" "_159_/VGND"
+merge "_159_/VGND" "FILLER_4_110/VNB"
+merge "FILLER_4_110/VNB" "FILLER_4_98/VNB"
+merge "FILLER_4_98/VNB" "FILLER_4_98/VGND"
+merge "FILLER_4_98/VGND" "FILLER_6_89/VNB"
+merge "FILLER_6_89/VNB" "FILLER_6_81/VNB"
+merge "FILLER_6_81/VNB" "PHY_51/VGND"
+merge "PHY_51/VGND" "_136_/VNB"
+merge "_136_/VNB" "FILLER_5_88/VNB"
+merge "FILLER_5_88/VNB" "FILLER_5_88/VGND"
+merge "FILLER_5_88/VGND" "_134_/VNB"
+merge "_134_/VNB" "_134_/VGND"
+merge "_134_/VGND" "FILLER_4_91/VNB"
+merge "FILLER_4_91/VNB" "FILLER_4_91/VGND"
+merge "FILLER_4_91/VGND" "FILLER_4_85/VNB"
+merge "FILLER_4_85/VNB" "FILLER_4_85/VGND"
+merge "FILLER_4_85/VGND" "PHY_48/VGND"
+merge "PHY_48/VGND" "_174_/VNB"
+merge "_174_/VNB" "_174_/VGND"
+merge "_174_/VGND" "FILLER_6_73/VNB"
+merge "FILLER_6_73/VNB" "FILLER_6_65/VNB"
+merge "FILLER_6_65/VNB" "_111_/VNB"
+merge "_111_/VNB" "FILLER_5_75/VNB"
+merge "FILLER_5_75/VNB" "FILLER_5_75/VGND"
+merge "FILLER_5_75/VGND" "_116_/VNB"
+merge "_116_/VNB" "_116_/VGND"
+merge "_116_/VGND" "FILLER_4_73/VNB"
+merge "FILLER_4_73/VNB" "FILLER_4_73/VGND"
+merge "FILLER_4_73/VGND" "_133_/VNB"
+merge "_133_/VNB" "_133_/VGND"
+merge "_133_/VGND" "_113_/VNB"
+merge "_113_/VNB" "FILLER_6_52/VNB"
+merge "FILLER_6_52/VNB" "FILLER_5_62/VNB"
+merge "FILLER_5_62/VNB" "FILLER_5_62/VGND"
+merge "FILLER_5_62/VGND" "PHY_49/VGND"
+merge "PHY_49/VGND" "FILLER_5_53/VNB"
+merge "FILLER_5_53/VNB" "FILLER_5_53/VGND"
+merge "FILLER_5_53/VGND" "FILLER_4_60/VNB"
+merge "FILLER_4_60/VNB" "FILLER_4_60/VGND"
+merge "FILLER_4_60/VGND" "_155_/VNB"
+merge "_155_/VNB" "_155_/VGND"
+merge "_155_/VGND" "_140_/VNB"
+merge "_140_/VNB" "FILLER_6_39/VNB"
+merge "FILLER_6_39/VNB" "FILLER_5_40/VGND"
+merge "FILLER_5_40/VGND" "FILLER_5_40/VNB"
+merge "FILLER_5_40/VNB" "_148_/VNB"
+merge "_148_/VNB" "_148_/VGND"
+merge "_148_/VGND" "_163_/VNB"
+merge "_163_/VNB" "_163_/VGND"
+merge "_163_/VGND" "FILLER_4_47/VNB"
+merge "FILLER_4_47/VNB" "FILLER_4_47/VGND"
+merge "FILLER_4_47/VGND" "FILLER_4_40/VNB"
+merge "FILLER_4_40/VNB" "FILLER_4_40/VGND"
+merge "FILLER_4_40/VGND" "_162_/VNB"
+merge "_162_/VNB" "_162_/VGND"
+merge "_162_/VGND" "FILLER_6_32/VNB"
+merge "FILLER_6_32/VNB" "FILLER_6_23/VNB"
+merge "FILLER_6_23/VNB" "PHY_50/VGND"
+merge "PHY_50/VGND" "_156_/VNB"
+merge "_156_/VNB" "FILLER_5_27/VGND"
+merge "FILLER_5_27/VGND" "FILLER_5_27/VNB"
+merge "FILLER_5_27/VNB" "FILLER_5_21/VNB"
+merge "FILLER_5_21/VNB" "FILLER_5_21/VGND"
+merge "FILLER_5_21/VGND" "_179_/VNB"
+merge "_179_/VNB" "_179_/VGND"
+merge "_179_/VGND" "FILLER_4_32/VNB"
+merge "FILLER_4_32/VNB" "FILLER_4_32/VGND"
+merge "FILLER_4_32/VGND" "FILLER_4_27/VNB"
+merge "FILLER_4_27/VNB" "FILLER_4_27/VGND"
+merge "FILLER_4_27/VGND" "PHY_47/VGND"
+merge "PHY_47/VGND" "FILLER_6_15/VNB"
+merge "FILLER_6_15/VNB" "_165_/VNB"
+merge "_165_/VNB" "FILLER_5_15/VGND"
+merge "FILLER_5_15/VGND" "FILLER_5_15/VNB"
+merge "FILLER_5_15/VNB" "FILLER_4_15/VNB"
+merge "FILLER_4_15/VNB" "FILLER_4_15/VGND"
+merge "FILLER_4_15/VGND" "FILLER_6_3/VNB"
+merge "FILLER_6_3/VNB" "PHY_12/VNB"
+merge "PHY_12/VNB" "FILLER_5_3/VNB"
+merge "FILLER_5_3/VNB" "FILLER_5_3/VGND"
+merge "FILLER_5_3/VGND" "PHY_10/VGND"
+merge "PHY_10/VGND" "PHY_10/VNB"
+merge "PHY_10/VNB" "FILLER_4_3/VNB"
+merge "FILLER_4_3/VNB" "FILLER_4_3/VGND"
+merge "FILLER_4_3/VGND" "PHY_8/VNB"
+merge "PHY_8/VNB" "PHY_8/VGND"
+merge "PHY_8/VGND" "FILLER_3_117/VGND"
+merge "FILLER_3_117/VGND" "FILLER_3_117/VNB"
+merge "FILLER_3_117/VNB" "PHY_7/VGND"
+merge "PHY_7/VGND" "PHY_7/VNB"
+merge "PHY_7/VNB" "FILLER_2_117/VNB"
+merge "FILLER_2_117/VNB" "FILLER_2_117/VGND"
+merge "FILLER_2_117/VGND" "PHY_5/VNB"
+merge "PHY_5/VNB" "PHY_5/VGND"
+merge "PHY_5/VGND" "FILLER_3_109/VNB"
+merge "FILLER_3_109/VNB" "FILLER_3_109/VGND"
+merge "FILLER_3_109/VGND" "FILLER_3_97/VNB"
+merge "FILLER_3_97/VNB" "FILLER_3_97/VGND"
+merge "FILLER_3_97/VGND" "FILLER_2_105/VNB"
+merge "FILLER_2_105/VNB" "FILLER_2_105/VGND"
+merge "FILLER_2_105/VGND" "FILLER_3_85/VNB"
+merge "FILLER_3_85/VNB" "FILLER_3_85/VGND"
+merge "FILLER_3_85/VGND" "_166_/VGND"
+merge "_166_/VGND" "_166_/VNB"
+merge "_166_/VNB" "FILLER_2_93/VNB"
+merge "FILLER_2_93/VNB" "FILLER_2_93/VGND"
+merge "FILLER_2_93/VGND" "FILLER_2_80/VNB"
+merge "FILLER_2_80/VNB" "FILLER_2_80/VGND"
+merge "FILLER_2_80/VGND" "PHY_45/VGND"
+merge "PHY_45/VGND" "FILLER_3_72/VNB"
+merge "FILLER_3_72/VNB" "FILLER_3_72/VGND"
+merge "FILLER_3_72/VGND" "FILLER_3_66/VNB"
+merge "FILLER_3_66/VNB" "FILLER_3_66/VGND"
+merge "FILLER_3_66/VGND" "_150_/VGND"
+merge "_150_/VGND" "_150_/VNB"
+merge "_150_/VNB" "FILLER_2_67/VNB"
+merge "FILLER_2_67/VNB" "FILLER_2_67/VGND"
+merge "FILLER_2_67/VGND" "_171_/VNB"
+merge "_171_/VNB" "_171_/VGND"
+merge "_171_/VGND" "FILLER_3_62/VGND"
+merge "FILLER_3_62/VGND" "FILLER_3_62/VNB"
+merge "FILLER_3_62/VNB" "FILLER_3_60/VNB"
+merge "FILLER_3_60/VNB" "FILLER_3_60/VGND"
+merge "FILLER_3_60/VGND" "PHY_46/VGND"
+merge "PHY_46/VGND" "FILLER_3_52/VNB"
+merge "FILLER_3_52/VNB" "FILLER_3_52/VGND"
+merge "FILLER_3_52/VGND" "_161_/VNB"
+merge "_161_/VNB" "_161_/VGND"
+merge "_161_/VGND" "FILLER_2_54/VNB"
+merge "FILLER_2_54/VNB" "FILLER_2_54/VGND"
+merge "FILLER_2_54/VGND" "_169_/VGND"
+merge "_169_/VGND" "_168_/VNB"
+merge "_168_/VNB" "_168_/VGND"
+merge "_168_/VGND" "FILLER_3_39/VNB"
+merge "FILLER_3_39/VNB" "FILLER_3_39/VGND"
+merge "FILLER_3_39/VGND" "FILLER_2_48/VNB"
+merge "FILLER_2_48/VNB" "FILLER_2_48/VGND"
+merge "FILLER_2_48/VGND" "FILLER_2_44/VNB"
+merge "FILLER_2_44/VNB" "FILLER_2_44/VGND"
+merge "FILLER_2_44/VGND" "_169_/VNB"
+merge "_169_/VNB" "FILLER_3_27/VGND"
+merge "FILLER_3_27/VGND" "FILLER_3_27/VNB"
+merge "FILLER_3_27/VNB" "FILLER_2_32/VNB"
+merge "FILLER_2_32/VNB" "FILLER_2_32/VGND"
+merge "FILLER_2_32/VGND" "FILLER_2_27/VNB"
+merge "FILLER_2_27/VNB" "FILLER_2_27/VGND"
+merge "FILLER_2_27/VGND" "PHY_44/VGND"
+merge "PHY_44/VGND" "FILLER_3_15/VGND"
+merge "FILLER_3_15/VGND" "FILLER_3_15/VNB"
+merge "FILLER_3_15/VNB" "FILLER_2_15/VNB"
+merge "FILLER_2_15/VNB" "FILLER_2_15/VGND"
+merge "FILLER_2_15/VGND" "FILLER_3_3/VNB"
+merge "FILLER_3_3/VNB" "FILLER_3_3/VGND"
+merge "FILLER_3_3/VGND" "PHY_6/VGND"
+merge "PHY_6/VGND" "PHY_6/VNB"
+merge "PHY_6/VNB" "FILLER_2_3/VNB"
+merge "FILLER_2_3/VNB" "FILLER_2_3/VGND"
+merge "FILLER_2_3/VGND" "PHY_4/VNB"
+merge "PHY_4/VNB" "PHY_4/VGND"
+merge "PHY_4/VGND" "FILLER_1_116/VNB"
+merge "FILLER_1_116/VNB" "FILLER_1_116/VGND"
+merge "FILLER_1_116/VGND" "FILLER_0_118/VNB"
+merge "FILLER_0_118/VNB" "FILLER_0_118/VGND"
+merge "FILLER_0_118/VGND" "PHY_3/VGND"
+merge "PHY_3/VGND" "PHY_3/VNB"
+merge "PHY_3/VNB" "PHY_1/VNB"
+merge "PHY_1/VNB" "PHY_1/VGND"
+merge "PHY_1/VGND" "FILLER_1_104/VNB"
+merge "FILLER_1_104/VNB" "FILLER_1_104/VGND"
+merge "FILLER_1_104/VGND" "FILLER_0_106/VNB"
+merge "FILLER_0_106/VNB" "FILLER_0_106/VGND"
+merge "FILLER_0_106/VGND" "FILLER_1_92/VNB"
+merge "FILLER_1_92/VNB" "FILLER_1_92/VGND"
+merge "FILLER_1_92/VGND" "FILLER_1_80/VNB"
+merge "FILLER_1_80/VNB" "FILLER_1_80/VGND"
+merge "FILLER_1_80/VGND" "FILLER_0_94/VNB"
+merge "FILLER_0_94/VNB" "FILLER_0_94/VGND"
+merge "FILLER_0_94/VGND" "FILLER_0_87/VNB"
+merge "FILLER_0_87/VNB" "FILLER_0_87/VGND"
+merge "FILLER_0_87/VGND" "PHY_42/VGND"
+merge "PHY_42/VGND" "FILLER_1_67/VNB"
+merge "FILLER_1_67/VNB" "FILLER_1_67/VGND"
+merge "FILLER_1_67/VGND" "FILLER_0_75/VGND"
+merge "FILLER_0_75/VGND" "FILLER_0_75/VNB"
+merge "FILLER_0_75/VNB" "_176_/VNB"
+merge "_176_/VNB" "_176_/VGND"
+merge "_176_/VGND" "FILLER_0_63/VNB"
+merge "FILLER_0_63/VNB" "FILLER_0_63/VGND"
+merge "FILLER_0_63/VGND" "PHY_43/VGND"
+merge "PHY_43/VGND" "PHY_41/VGND"
+merge "PHY_41/VGND" "_170_/VNB"
+merge "_170_/VNB" "_170_/VGND"
+merge "_170_/VGND" "FILLER_1_59/VNB"
+merge "FILLER_1_59/VNB" "FILLER_1_59/VGND"
+merge "FILLER_1_59/VGND" "FILLER_1_51/VNB"
+merge "FILLER_1_51/VNB" "FILLER_1_51/VGND"
+merge "FILLER_1_51/VGND" "FILLER_0_56/VNB"
+merge "FILLER_0_56/VNB" "FILLER_0_56/VGND"
+merge "FILLER_0_56/VGND" "FILLER_0_44/VNB"
+merge "FILLER_0_44/VNB" "FILLER_0_44/VGND"
+merge "FILLER_0_44/VGND" "FILLER_1_39/VNB"
+merge "FILLER_1_39/VNB" "FILLER_1_39/VGND"
+merge "FILLER_1_39/VGND" "FILLER_1_27/VGND"
+merge "FILLER_1_27/VGND" "FILLER_1_27/VNB"
+merge "FILLER_1_27/VNB" "FILLER_0_32/VNB"
+merge "FILLER_0_32/VNB" "FILLER_0_32/VGND"
+merge "FILLER_0_32/VGND" "FILLER_0_27/VNB"
+merge "FILLER_0_27/VNB" "FILLER_0_27/VGND"
+merge "FILLER_0_27/VGND" "PHY_40/VGND"
+merge "PHY_40/VGND" "FILLER_1_15/VGND"
+merge "FILLER_1_15/VGND" "FILLER_0_15/VGND"
+merge "FILLER_0_15/VGND" "FILLER_1_3/VGND"
+merge "FILLER_1_3/VGND" "FILLER_0_3/VGND"
+merge "FILLER_0_3/VGND" "PHY_2/VGND"
+merge "PHY_2/VGND" "PHY_0/VGND"
+merge "PHY_0/VGND" "VGND"
+merge "VGND" "FILLER_1_15/VNB"
+merge "FILLER_1_15/VNB" "FILLER_0_15/VNB"
+merge "FILLER_0_15/VNB" "FILLER_1_3/VNB"
+merge "FILLER_1_3/VNB" "FILLER_0_3/VNB"
+merge "FILLER_0_3/VNB" "PHY_2/VNB"
+merge "PHY_2/VNB" "PHY_0/VNB"
+merge "PHY_0/VNB" "VSUBS"
+merge "_111_/li_339_n17#" "FILLER_7_71/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_7_71/li_0_n17#" "FILLER_6_73/li_0_n17#"
+merge "FILLER_6_73/li_0_n17#" "FILLER_6_65/li_0_n17#"
+merge "FILLER_6_65/li_0_n17#" "_144_/li_0_n17#"
+merge "_144_/li_0_n17#" "FILLER_7_62/li_0_n17#"
+merge "FILLER_7_62/li_0_n17#" "PHY_52/li_0_n17#"
+merge "PHY_52/li_0_n17#" "_113_/li_0_n17#"
+merge "_113_/li_0_n17#" "FILLER_7_53/li_0_n17#"
+merge "FILLER_7_53/li_0_n17#" "FILLER_6_52/li_0_n17#"
+merge "FILLER_6_52/li_0_n17#" "_140_/li_339_n17#"
+merge "_140_/li_339_n17#" "FILLER_7_40/li_0_n17#"
+merge "FILLER_7_40/li_0_n17#" "_140_/li_155_n17#"
+merge "_140_/li_155_n17#" "_140_/li_0_n17#"
+merge "_140_/li_0_n17#" "FILLER_6_39/li_0_n17#"
+merge "FILLER_6_39/li_0_n17#" "_156_/li_339_n17#"
+merge "_156_/li_339_n17#" "_151_/li_247_n17#"
+merge "_151_/li_247_n17#" "_151_/li_339_n17#"
+merge "_151_/li_339_n17#" "_114_/li_0_n17#"
+merge "_114_/li_0_n17#" "_114_/li_155_n17#"
+merge "_114_/li_155_n17#" "_114_/li_339_n17#"
+merge "_114_/li_339_n17#" "_113_/li_155_n17#"
+merge "_113_/li_155_n17#" "_113_/li_339_n17#"
+merge "_113_/li_339_n17#" "_144_/li_63_n17#"
+merge "_144_/li_63_n17#" "_144_/li_155_n17#"
+merge "_144_/li_155_n17#" "_144_/li_247_n17#"
+merge "_144_/li_247_n17#" "_144_/li_339_n17#"
+merge "_144_/li_339_n17#" "_144_/li_431_n17#"
+merge "_144_/li_431_n17#" "_111_/li_0_n17#"
+merge "_111_/li_0_n17#" "_111_/li_63_n17#"
+merge "_111_/li_63_n17#" "_111_/li_155_n17#"
+merge "_111_/li_155_n17#" "_111_/li_247_n17#"
+merge "_111_/li_247_n17#" "_104_/li_0_n17#"
+merge "FILLER_9_27/li_0_n17#" "_137_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_137_/li_247_n17#" "_137_/li_339_n17#"
+merge "_137_/li_339_n17#" "_137_/li_155_n17#"
+merge "_137_/li_155_n17#" "_137_/li_0_n17#"
+merge "_137_/li_0_n17#" "FILLER_9_14/li_0_n17#"
+merge "FILLER_9_14/li_0_n17#" "FILLER_9_3/li_0_n17#"
+merge "FILLER_9_3/li_0_n17#" "PHY_18/li_0_n17#"
+merge "PHY_18/li_0_n17#" "FILLER_8_3/li_0_n17#"
+merge "FILLER_8_3/li_0_n17#" "PHY_16/li_0_n17#"
+merge "PHY_16/li_0_n17#" "FILLER_8_3/li_63_n17#"
+merge "FILLER_8_3/li_63_n17#" "FILLER_8_3/li_155_n17#"
+merge "FILLER_8_3/li_155_n17#" "_164_/li_0_n17#"
+merge "_164_/li_0_n17#" "_164_/li_155_n17#"
+merge "_164_/li_155_n17#" "_164_/li_247_n17#"
+merge "_164_/li_247_n17#" "_160_/li_247_n17#"
+merge "_160_/li_247_n17#" "_160_/li_339_n17#"
+merge "_160_/li_339_n17#" "_160_/li_155_n17#"
+merge "_160_/li_155_n17#" "_160_/li_0_n17#"
+merge "_160_/li_0_n17#" "FILLER_8_10/li_0_n17#"
+merge "FILLER_8_10/li_0_n17#" "_164_/li_339_n17#"
+merge "_164_/li_339_n17#" "_152_/li_0_n17#"
+merge "_152_/li_0_n17#" "_152_/li_155_n17#"
+merge "_152_/li_155_n17#" "_152_/li_247_n17#"
+merge "_152_/li_247_n17#" "FILLER_8_32/li_0_n17#"
+merge "FILLER_8_32/li_0_n17#" "FILLER_8_23/li_0_n17#"
+merge "FILLER_8_23/li_0_n17#" "_152_/li_339_n17#"
+merge "_152_/li_339_n17#" "PHY_53/li_0_n17#"
+merge "PHY_53/li_0_n17#" "FILLER_8_32/li_63_n17#"
+merge "FILLER_8_32/li_63_n17#" "_123_/li_0_n17#"
+merge "_123_/li_0_n17#" "_115_/li_0_n17#"
+merge "_115_/li_0_n17#" "_123_/li_155_n17#"
+merge "_144_/A" "_140_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/X" "li_5825_5797#"
+merge "FILLER_11_89/li_0_n17#" "_178_/li_799_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_178_/li_799_n17#" "_178_/li_615_n17#"
+merge "_178_/li_615_n17#" "_178_/li_431_n17#"
+merge "_178_/li_431_n17#" "_178_/li_247_n17#"
+merge "_178_/li_247_n17#" "_178_/li_707_n17#"
+merge "_178_/li_707_n17#" "_178_/li_523_n17#"
+merge "_178_/li_523_n17#" "_178_/li_339_n17#"
+merge "_178_/li_339_n17#" "_178_/li_155_n17#"
+merge "_178_/li_155_n17#" "_178_/li_0_n17#"
+merge "_178_/li_0_n17#" "FILLER_10_89/li_0_n17#"
+merge "FILLER_10_89/li_0_n17#" "FILLER_11_72/li_0_n17#"
+merge "FILLER_11_72/li_0_n17#" "_132_/li_799_n17#"
+merge "_132_/li_799_n17#" "_177_/li_339_n17#"
+merge "_177_/li_339_n17#" "_177_/li_523_n17#"
+merge "_177_/li_523_n17#" "FILLER_10_77/li_0_n17#"
+merge "FILLER_10_77/li_0_n17#" "_177_/li_707_n17#"
+merge "_177_/li_707_n17#" "PHY_57/li_0_n17#"
+merge "PHY_57/li_0_n17#" "_126_/li_0_n17#"
+merge "_126_/li_0_n17#" "_126_/li_155_n17#"
+merge "_126_/li_155_n17#" "_126_/li_247_n17#"
+merge "_126_/li_247_n17#" "_158_/li_0_n17#"
+merge "_158_/li_0_n17#" "_126_/li_339_n17#"
+merge "_126_/li_339_n17#" "_126_/li_431_n17#"
+merge "_151_/B" "_150_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_150_/Y" "li_4629_6205#"
+merge "FILLER_16_23/li_0_527#" "_167_/li_799_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_167_/li_799_527#" "_167_/li_615_527#"
+merge "_167_/li_615_527#" "_167_/li_431_527#"
+merge "_167_/li_431_527#" "_167_/li_707_527#"
+merge "_167_/li_707_527#" "_167_/li_523_527#"
+merge "_167_/li_523_527#" "_135_/li_0_527#"
+merge "_135_/li_0_527#" "FILLER_15_19/li_0_527#"
+merge "FILLER_15_19/li_0_527#" "_096_/li_615_527#"
+merge "_096_/li_615_527#" "_135_/li_63_527#"
+merge "_135_/li_63_527#" "_135_/li_247_527#"
+merge "_135_/li_247_527#" "PHY_65/li_0_527#"
+merge "PHY_65/li_0_527#" "_135_/li_339_527#"
+merge "_135_/li_339_527#" "_091_/li_0_527#"
+merge "_091_/li_0_527#" "_135_/li_431_527#"
+merge "_135_/li_431_527#" "_135_/li_523_527#"
+merge "_111_/A" "din[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_130_/A2" "_129_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/X" "rout[1]"
+merge "_173_/li_523_n17#" "_129_/li_799_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_5_62/li_0_n17#" "PHY_49/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_49/li_0_n17#" "FILLER_5_53/li_0_n17#"
+merge "FILLER_5_53/li_0_n17#" "_148_/li_247_n17#"
+merge "_148_/li_247_n17#" "_148_/li_339_n17#"
+merge "_148_/li_339_n17#" "_148_/li_155_n17#"
+merge "_148_/li_155_n17#" "FILLER_5_40/li_0_n17#"
+merge "FILLER_5_40/li_0_n17#" "_163_/li_247_n17#"
+merge "_163_/li_247_n17#" "_163_/li_339_n17#"
+merge "_163_/li_339_n17#" "_163_/li_155_n17#"
+merge "_163_/li_155_n17#" "_163_/li_0_n17#"
+merge "_163_/li_0_n17#" "FILLER_4_40/li_0_n17#"
+merge "FILLER_4_40/li_0_n17#" "FILLER_5_27/li_0_n17#"
+merge "FILLER_5_27/li_0_n17#" "FILLER_5_21/li_63_n17#"
+merge "FILLER_5_21/li_63_n17#" "FILLER_5_21/li_0_n17#"
+merge "FILLER_5_21/li_0_n17#" "_179_/li_247_n17#"
+merge "_179_/li_247_n17#" "_179_/li_339_n17#"
+merge "_179_/li_339_n17#" "_179_/li_155_n17#"
+merge "_179_/li_155_n17#" "_179_/li_0_n17#"
+merge "_179_/li_0_n17#" "FILLER_4_32/li_0_n17#"
+merge "FILLER_4_32/li_0_n17#" "FILLER_4_27/li_0_n17#"
+merge "FILLER_4_27/li_0_n17#" "FILLER_5_15/li_0_n17#"
+merge "FILLER_5_15/li_0_n17#" "FILLER_4_15/li_0_n17#"
+merge "FILLER_4_15/li_0_n17#" "FILLER_5_3/li_0_n17#"
+merge "FILLER_5_3/li_0_n17#" "PHY_10/li_0_n17#"
+merge "PHY_10/li_0_n17#" "FILLER_4_3/li_0_n17#"
+merge "FILLER_4_3/li_0_n17#" "PHY_8/li_0_n17#"
+merge "PHY_8/li_0_n17#" "PHY_47/li_0_n17#"
+merge "PHY_47/li_0_n17#" "FILLER_4_40/li_63_n17#"
+merge "FILLER_4_40/li_63_n17#" "FILLER_4_40/li_155_n17#"
+merge "FILLER_4_40/li_155_n17#" "_162_/li_0_n17#"
+merge "_162_/li_0_n17#" "_162_/li_155_n17#"
+merge "_162_/li_155_n17#" "_162_/li_247_n17#"
+merge "_162_/li_247_n17#" "_148_/li_0_n17#"
+merge "_148_/li_0_n17#" "FILLER_4_47/li_0_n17#"
+merge "FILLER_4_47/li_0_n17#" "_162_/li_339_n17#"
+merge "_162_/li_339_n17#" "_155_/li_0_n17#"
+merge "_155_/li_0_n17#" "_155_/li_155_n17#"
+merge "_155_/li_155_n17#" "_155_/li_247_n17#"
+merge "_155_/li_247_n17#" "FILLER_4_60/li_0_n17#"
+merge "FILLER_4_60/li_0_n17#" "_155_/li_339_n17#"
+merge "_155_/li_339_n17#" "_133_/li_0_n17#"
+merge "_133_/li_0_n17#" "_116_/li_0_n17#"
+merge "_116_/li_0_n17#" "_133_/li_155_n17#"
+merge "_100_/li_983_527#" "_127_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_123_/li_63_527#" "FILLER_8_32/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_8_32/li_63_527#" "FILLER_8_32/li_0_527#"
+merge "FILLER_8_32/li_0_527#" "FILLER_8_23/li_0_527#"
+merge "FILLER_8_23/li_0_527#" "_152_/li_431_527#"
+merge "_152_/li_431_527#" "_152_/li_339_527#"
+merge "_152_/li_339_527#" "_152_/li_247_527#"
+merge "_152_/li_247_527#" "_152_/li_63_527#"
+merge "_152_/li_63_527#" "_094_/li_0_527#"
+merge "_094_/li_0_527#" "FILLER_8_10/li_0_527#"
+merge "FILLER_8_10/li_0_527#" "_164_/li_431_527#"
+merge "_164_/li_431_527#" "_138_/li_339_527#"
+merge "_138_/li_339_527#" "_138_/li_404_367#"
+merge "_138_/li_404_367#" "_138_/li_523_527#"
+merge "_138_/li_523_527#" "_138_/li_615_527#"
+merge "_138_/li_615_527#" "_152_/li_0_527#"
+merge "_152_/li_0_527#" "FILLER_7_15/li_0_527#"
+merge "FILLER_7_15/li_0_527#" "_138_/li_707_527#"
+merge "_138_/li_707_527#" "_094_/li_63_527#"
+merge "_094_/li_63_527#" "PHY_53/li_0_527#"
+merge "PHY_53/li_0_527#" "_123_/li_0_527#"
+merge "_123_/li_0_527#" "FILLER_7_27/li_0_527#"
+merge "FILLER_7_27/li_0_527#" "_094_/li_247_527#"
+merge "_094_/li_247_527#" "_151_/li_0_527#"
+merge "FILLER_7_118/li_63_n17#" "FILLER_7_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_7_118/li_0_n17#" "FILLER_7_110/li_0_n17#"
+merge "FILLER_7_110/li_0_n17#" "_147_/li_339_n17#"
+merge "_147_/li_339_n17#" "_146_/li_431_n17#"
+merge "_146_/li_431_n17#" "FILLER_6_111/li_0_n17#"
+merge "FILLER_6_111/li_0_n17#" "_147_/li_431_n17#"
+merge "_147_/li_431_n17#" "PHY_15/li_0_n17#"
+merge "PHY_15/li_0_n17#" "PHY_13/li_0_n17#"
+merge "_139_/A" "lin[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_10_3/li_155_527#" "FILLER_10_3/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_10_3/li_63_527#" "_154_/li_339_527#"
+merge "_154_/li_339_527#" "_154_/li_247_527#"
+merge "_154_/li_247_527#" "_154_/li_0_527#"
+merge "_154_/li_0_527#" "_154_/li_63_527#"
+merge "_154_/li_63_527#" "FILLER_10_3/li_0_527#"
+merge "FILLER_10_3/li_0_527#" "PHY_20/li_0_527#"
+merge "PHY_20/li_0_527#" "FILLER_9_3/li_0_527#"
+merge "FILLER_9_3/li_0_527#" "PHY_18/li_0_527#"
+merge "PHY_18/li_0_527#" "_160_/li_0_527#"
+merge "FILLER_15_11/li_63_n17#" "FILLER_15_11/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_15_11/li_0_n17#" "FILLER_15_3/li_0_n17#"
+merge "FILLER_15_3/li_0_n17#" "PHY_30/li_0_n17#"
+merge "PHY_30/li_0_n17#" "PHY_28/li_0_n17#"
+merge "PHY_28/li_0_n17#" "_181_/li_0_n17#"
+merge "_181_/li_0_n17#" "_181_/li_63_n17#"
+merge "_181_/li_63_n17#" "_181_/li_247_n17#"
+merge "_181_/li_247_n17#" "_096_/li_431_n17#"
+merge "_096_/li_431_n17#" "_096_/li_247_n17#"
+merge "_096_/li_247_n17#" "_096_/li_339_n17#"
+merge "_096_/li_339_n17#" "_096_/li_155_n17#"
+merge "_096_/li_155_n17#" "_096_/li_0_n17#"
+merge "_096_/li_0_n17#" "FILLER_14_9/li_0_n17#"
+merge "FILLER_14_9/li_0_n17#" "_181_/li_431_n17#"
+merge "_181_/li_431_n17#" "_124_/li_0_n17#"
+merge "_096_/B" "_101_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_101_/A" "_084_/X"
+merge "_084_/X" "_113_/B"
+merge "_113_/B" "li_2237_10557#"
+merge "FILLER_17_84/li_0_n17#" "_099_/li_1535_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_1535_n17#" "_099_/li_1259_n17#"
+merge "_099_/li_1259_n17#" "_099_/li_1167_n17#"
+merge "_099_/li_1167_n17#" "_099_/li_1351_n17#"
+merge "_099_/li_1351_n17#" "FILLER_16_90/li_0_n17#"
+merge "FILLER_16_90/li_0_n17#" "_099_/li_1075_n17#"
+merge "_099_/li_1075_n17#" "_099_/li_983_n17#"
+merge "_099_/li_983_n17#" "_099_/li_891_n17#"
+merge "_099_/li_891_n17#" "FILLER_16_78/li_0_n17#"
+merge "FILLER_16_78/li_0_n17#" "_149_/li_1167_n17#"
+merge "_149_/li_1167_n17#" "FILLER_16_90/li_63_n17#"
+merge "FILLER_16_90/li_63_n17#" "_172_/li_0_n17#"
+merge "_172_/li_0_n17#" "FILLER_16_90/li_155_n17#"
+merge "FILLER_16_90/li_155_n17#" "PHY_66/li_0_n17#"
+merge "PHY_66/li_0_n17#" "_100_/li_0_n17#"
+merge "_149_/li_0_527#" "FILLER_16_56/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_16_56/li_0_527#" "_180_/li_891_527#"
+merge "_180_/li_891_527#" "_180_/li_799_527#"
+merge "_180_/li_799_527#" "_180_/li_707_527#"
+merge "_180_/li_707_527#" "_180_/li_615_527#"
+merge "_180_/li_615_527#" "_180_/li_523_527#"
+merge "_180_/li_523_527#" "FILLER_15_62/li_0_527#"
+merge "FILLER_15_62/li_0_527#" "PHY_64/li_0_527#"
+merge "PHY_64/li_0_527#" "FILLER_15_53/li_0_527#"
+merge "FILLER_15_53/li_0_527#" "_082_/li_707_527#"
+merge "_082_/li_707_527#" "_106_/li_0_527#"
+merge "_183_/li_615_527#" "_184_/li_983_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_6/li_0_n17#" "_145_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_145_/li_247_n17#" "_145_/li_63_n17#"
+merge "_145_/li_63_n17#" "_102_/li_0_n17#"
+merge "_102_/li_0_n17#" "FILLER_18_11/li_0_n17#"
+merge "FILLER_18_11/li_0_n17#" "PHY_38/li_0_n17#"
+merge "PHY_38/li_0_n17#" "_145_/li_0_n17#"
+merge "_145_/li_0_n17#" "FILLER_18_3/li_0_n17#"
+merge "FILLER_18_3/li_0_n17#" "PHY_36/li_0_n17#"
+merge "PHY_36/li_0_n17#" "_131_/li_0_n17#"
+merge "_099_/li_155_n17#" "_149_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/li_431_n17#" "_149_/li_523_n17#"
+merge "FILLER_1_116/li_0_n17#" "FILLER_0_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_0_118/li_0_n17#" "FILLER_1_104/li_0_n17#"
+merge "FILLER_1_104/li_0_n17#" "FILLER_0_106/li_0_n17#"
+merge "FILLER_0_106/li_0_n17#" "FILLER_1_92/li_0_n17#"
+merge "FILLER_1_92/li_0_n17#" "FILLER_1_80/li_0_n17#"
+merge "FILLER_1_80/li_0_n17#" "FILLER_0_94/li_0_n17#"
+merge "FILLER_0_94/li_0_n17#" "FILLER_0_87/li_0_n17#"
+merge "FILLER_0_87/li_0_n17#" "FILLER_1_67/li_0_n17#"
+merge "FILLER_1_67/li_0_n17#" "FILLER_0_75/li_0_n17#"
+merge "FILLER_0_75/li_0_n17#" "FILLER_0_63/li_0_n17#"
+merge "FILLER_0_63/li_0_n17#" "PHY_43/li_0_n17#"
+merge "PHY_43/li_0_n17#" "PHY_41/li_0_n17#"
+merge "PHY_41/li_0_n17#" "_170_/li_0_n17#"
+merge "_170_/li_0_n17#" "FILLER_1_59/li_155_n17#"
+merge "FILLER_1_59/li_155_n17#" "FILLER_1_59/li_63_n17#"
+merge "FILLER_1_59/li_63_n17#" "FILLER_1_59/li_0_n17#"
+merge "FILLER_1_59/li_0_n17#" "FILLER_1_51/li_0_n17#"
+merge "FILLER_1_51/li_0_n17#" "FILLER_0_56/li_0_n17#"
+merge "FILLER_0_56/li_0_n17#" "FILLER_0_44/li_0_n17#"
+merge "FILLER_0_44/li_0_n17#" "FILLER_1_39/li_0_n17#"
+merge "FILLER_1_39/li_0_n17#" "FILLER_1_27/li_0_n17#"
+merge "FILLER_1_27/li_0_n17#" "FILLER_0_32/li_0_n17#"
+merge "FILLER_0_32/li_0_n17#" "FILLER_0_27/li_0_n17#"
+merge "FILLER_0_27/li_0_n17#" "FILLER_1_15/li_0_n17#"
+merge "FILLER_1_15/li_0_n17#" "FILLER_0_15/li_0_n17#"
+merge "FILLER_0_15/li_0_n17#" "FILLER_1_3/li_0_n17#"
+merge "FILLER_1_3/li_0_n17#" "FILLER_0_3/li_0_n17#"
+merge "FILLER_0_3/li_0_n17#" "PHY_2/li_0_n17#"
+merge "PHY_2/li_0_n17#" "PHY_0/li_0_n17#"
+merge "PHY_0/li_0_n17#" "PHY_40/li_0_n17#"
+merge "PHY_40/li_0_n17#" "_170_/li_155_n17#"
+merge "_170_/li_155_n17#" "_170_/li_247_n17#"
+merge "_170_/li_247_n17#" "_170_/li_339_n17#"
+merge "_170_/li_339_n17#" "_176_/li_0_n17#"
+merge "_176_/li_0_n17#" "_176_/li_155_n17#"
+merge "_176_/li_155_n17#" "_176_/li_247_n17#"
+merge "_176_/li_247_n17#" "_176_/li_339_n17#"
+merge "_176_/li_339_n17#" "PHY_42/li_0_n17#"
+merge "PHY_42/li_0_n17#" "FILLER_0_118/li_63_n17#"
+merge "FILLER_0_118/li_63_n17#" "PHY_3/li_0_n17#"
+merge "PHY_3/li_0_n17#" "PHY_1/li_0_n17#"
+merge "_182_/li_891_n17#" "_183_/li_799_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/li_707_527#" "_100_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/li_615_527#" "_100_/li_799_527#"
+merge "_100_/li_799_527#" "_100_/li_339_527#"
+merge "_100_/li_339_527#" "_100_/li_155_527#"
+merge "_100_/li_155_527#" "_127_/li_0_527#"
+merge "_127_/li_0_527#" "FILLER_16_90/li_155_527#"
+merge "FILLER_16_90/li_155_527#" "PHY_66/li_0_527#"
+merge "PHY_66/li_0_527#" "_095_/li_615_527#"
+merge "_095_/li_615_527#" "_100_/li_0_527#"
+merge "_100_/li_0_527#" "_100_/li_44_365#"
+merge "_100_/li_44_365#" "FILLER_15_94/li_0_527#"
+merge "FILLER_15_94/li_0_527#" "_095_/li_707_527#"
+merge "_132_/li_431_n17#" "_132_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_132_/li_155_n17#" "_132_/li_247_n17#"
+merge "_132_/li_247_n17#" "FILLER_11_62/li_63_n17#"
+merge "FILLER_11_62/li_63_n17#" "FILLER_11_62/li_0_n17#"
+merge "FILLER_11_62/li_0_n17#" "PHY_58/li_0_n17#"
+merge "PHY_58/li_0_n17#" "_132_/li_63_n17#"
+merge "_132_/li_63_n17#" "_132_/li_0_n17#"
+merge "_132_/li_0_n17#" "FILLER_11_53/li_0_n17#"
+merge "FILLER_11_53/li_0_n17#" "_117_/li_431_n17#"
+merge "_117_/li_431_n17#" "_117_/li_247_n17#"
+merge "_117_/li_247_n17#" "_117_/li_155_n17#"
+merge "_117_/li_155_n17#" "_117_/li_63_n17#"
+merge "_117_/li_63_n17#" "_117_/li_0_n17#"
+merge "_117_/li_0_n17#" "FILLER_11_39/li_0_n17#"
+merge "FILLER_11_39/li_0_n17#" "_143_/li_431_n17#"
+merge "_143_/li_431_n17#" "_143_/li_247_n17#"
+merge "_143_/li_247_n17#" "_143_/li_63_n17#"
+merge "_143_/li_63_n17#" "_141_/li_0_n17#"
+merge "_141_/li_0_n17#" "FILLER_11_25/li_0_n17#"
+merge "FILLER_11_25/li_0_n17#" "_143_/li_0_n17#"
+merge "_143_/li_0_n17#" "_092_/li_339_n17#"
+merge "_092_/li_339_n17#" "_092_/li_155_n17#"
+merge "_092_/li_155_n17#" "FILLER_10_32/li_0_n17#"
+merge "FILLER_10_32/li_0_n17#" "FILLER_10_23/li_0_n17#"
+merge "FILLER_10_23/li_0_n17#" "_120_/li_339_n17#"
+merge "_120_/li_339_n17#" "PHY_56/li_0_n17#"
+merge "PHY_56/li_0_n17#" "_141_/li_63_n17#"
+merge "_141_/li_63_n17#" "_141_/li_155_n17#"
+merge "_141_/li_155_n17#" "_141_/li_247_n17#"
+merge "_141_/li_247_n17#" "_141_/li_339_n17#"
+merge "_141_/li_339_n17#" "FILLER_10_46/li_0_n17#"
+merge "FILLER_10_46/li_0_n17#" "_141_/li_431_n17#"
+merge "_141_/li_431_n17#" "_103_/li_0_n17#"
+merge "_103_/li_0_n17#" "_103_/li_63_n17#"
+merge "_103_/li_63_n17#" "_103_/li_155_n17#"
+merge "_103_/li_155_n17#" "_103_/li_247_n17#"
+merge "_103_/li_247_n17#" "_103_/li_339_n17#"
+merge "_103_/li_339_n17#" "FILLER_10_60/li_0_n17#"
+merge "FILLER_10_60/li_0_n17#" "_103_/li_431_n17#"
+merge "_103_/li_431_n17#" "_177_/li_0_n17#"
+merge "_183_/li_891_527#" "_184_/li_1259_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/li_0_527#" "FILLER_14_38/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_38/li_63_527#" "FILLER_14_38/li_0_527#"
+merge "FILLER_14_38/li_0_527#" "FILLER_14_32/li_0_527#"
+merge "FILLER_14_32/li_0_527#" "FILLER_14_23/li_0_527#"
+merge "FILLER_14_23/li_0_527#" "FILLER_13_22/li_0_527#"
+merge "FILLER_13_22/li_0_527#" "_139_/li_431_527#"
+merge "_139_/li_431_527#" "_124_/li_311_358#"
+merge "_124_/li_311_358#" "_124_/li_431_527#"
+merge "_124_/li_431_527#" "_105_/li_0_527#"
+merge "_105_/li_0_527#" "PHY_62/li_0_527#"
+merge "PHY_62/li_0_527#" "_105_/li_57_393#"
+merge "_105_/li_57_393#" "_105_/li_155_527#"
+merge "_105_/li_155_527#" "_105_/li_247_527#"
+merge "_105_/li_247_527#" "_105_/li_339_527#"
+merge "_105_/li_339_527#" "FILLER_13_36/li_0_527#"
+merge "FILLER_13_36/li_0_527#" "_105_/li_431_527#"
+merge "_105_/li_431_527#" "_085_/li_0_527#"
+merge "_085_/li_0_527#" "_085_/li_63_527#"
+merge "_085_/li_63_527#" "_085_/li_155_527#"
+merge "_085_/li_155_527#" "_085_/li_247_527#"
+merge "_085_/li_247_527#" "_085_/li_339_527#"
+merge "_085_/li_339_527#" "_085_/li_407_367#"
+merge "_080_/B" "_142_/A2" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_142_/A2" "_141_/B"
+merge "_141_/B" "_179_/B"
+merge "_179_/B" "_170_/Y"
+merge "_170_/Y" "_169_/A"
+merge "_169_/A" "li_3433_5117#"
+merge "FILLER_17_11/li_63_n17#" "FILLER_17_11/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_11/li_0_n17#" "_083_/li_155_n17#"
+merge "_083_/li_155_n17#" "_083_/li_0_n17#"
+merge "_083_/li_0_n17#" "_083_/li_51_17#"
+merge "_083_/li_51_17#" "FILLER_16_11/li_0_n17#"
+merge "FILLER_16_11/li_0_n17#" "FILLER_17_3/li_0_n17#"
+merge "FILLER_17_3/li_0_n17#" "PHY_34/li_0_n17#"
+merge "PHY_34/li_0_n17#" "FILLER_16_3/li_0_n17#"
+merge "FILLER_16_3/li_0_n17#" "PHY_32/li_0_n17#"
+merge "PHY_32/li_0_n17#" "_167_/li_0_n17#"
+merge "FILLER_11_117/li_155_n17#" "FILLER_11_117/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_11_117/li_63_n17#" "FILLER_11_117/li_0_n17#"
+merge "FILLER_11_117/li_0_n17#" "FILLER_11_105/li_0_n17#"
+merge "FILLER_11_105/li_0_n17#" "_158_/li_523_n17#"
+merge "_158_/li_523_n17#" "_158_/li_247_n17#"
+merge "_158_/li_247_n17#" "_158_/li_615_n17#"
+merge "_158_/li_615_n17#" "_158_/li_339_n17#"
+merge "_158_/li_339_n17#" "FILLER_10_100/li_0_n17#"
+merge "FILLER_10_100/li_0_n17#" "_126_/li_615_n17#"
+merge "_126_/li_615_n17#" "_109_/li_0_n17#"
+merge "_109_/li_0_n17#" "_109_/li_63_n17#"
+merge "_109_/li_63_n17#" "PHY_23/li_0_n17#"
+merge "PHY_23/li_0_n17#" "FILLER_10_111/li_0_n17#"
+merge "FILLER_10_111/li_0_n17#" "_109_/li_247_n17#"
+merge "_109_/li_247_n17#" "PHY_21/li_0_n17#"
+merge "FILLER_9_116/li_0_n17#" "PHY_19/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_19/li_0_n17#" "FILLER_8_111/li_0_n17#"
+merge "FILLER_8_111/li_0_n17#" "FILLER_9_104/li_0_n17#"
+merge "FILLER_9_104/li_0_n17#" "FILLER_9_90/li_0_n17#"
+merge "FILLER_9_90/li_0_n17#" "_108_/li_339_n17#"
+merge "_108_/li_339_n17#" "_108_/li_155_n17#"
+merge "_108_/li_155_n17#" "_108_/li_63_n17#"
+merge "_108_/li_63_n17#" "_108_/li_0_n17#"
+merge "_108_/li_0_n17#" "_108_/li_431_n17#"
+merge "_108_/li_431_n17#" "_108_/li_247_n17#"
+merge "_108_/li_247_n17#" "FILLER_8_90/li_0_n17#"
+merge "FILLER_8_90/li_0_n17#" "FILLER_9_76/li_0_n17#"
+merge "FILLER_9_76/li_0_n17#" "_175_/li_615_n17#"
+merge "_175_/li_615_n17#" "_107_/li_247_n17#"
+merge "_107_/li_247_n17#" "FILLER_8_78/li_0_n17#"
+merge "FILLER_8_78/li_0_n17#" "_107_/li_431_n17#"
+merge "_107_/li_431_n17#" "FILLER_8_90/li_63_n17#"
+merge "FILLER_8_90/li_63_n17#" "FILLER_8_90/li_155_n17#"
+merge "FILLER_8_90/li_155_n17#" "PHY_54/li_0_n17#"
+merge "PHY_54/li_0_n17#" "_110_/li_0_n17#"
+merge "_110_/li_0_n17#" "_110_/li_63_n17#"
+merge "_110_/li_63_n17#" "_110_/li_155_n17#"
+merge "_110_/li_155_n17#" "_110_/li_247_n17#"
+merge "_110_/li_247_n17#" "_110_/li_339_n17#"
+merge "_110_/li_339_n17#" "_157_/li_339_n17#"
+merge "_157_/li_339_n17#" "_157_/li_155_n17#"
+merge "_157_/li_155_n17#" "_157_/li_63_n17#"
+merge "_157_/li_63_n17#" "_157_/li_0_n17#"
+merge "_157_/li_0_n17#" "_157_/li_431_n17#"
+merge "_157_/li_431_n17#" "_157_/li_247_n17#"
+merge "_157_/li_247_n17#" "_119_/li_0_n17#"
+merge "_119_/li_0_n17#" "FILLER_8_98/li_0_n17#"
+merge "FILLER_8_98/li_0_n17#" "_110_/li_431_n17#"
+merge "_110_/li_431_n17#" "_119_/li_155_n17#"
+merge "_119_/li_155_n17#" "_119_/li_247_n17#"
+merge "_119_/li_247_n17#" "_119_/li_339_n17#"
+merge "_119_/li_339_n17#" "PHY_17/li_0_n17#"
+merge "_136_/li_247_527#" "FILLER_6_89/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_6_89/li_0_527#" "FILLER_6_81/li_0_527#"
+merge "FILLER_6_81/li_0_527#" "_111_/li_431_527#"
+merge "_111_/li_431_527#" "_111_/li_339_527#"
+merge "_111_/li_339_527#" "_134_/li_0_527#"
+merge "_134_/li_0_527#" "FILLER_6_73/li_0_527#"
+merge "FILLER_6_73/li_0_527#" "FILLER_6_65/li_0_527#"
+merge "FILLER_6_65/li_0_527#" "_113_/li_431_527#"
+merge "_113_/li_431_527#" "_113_/li_339_527#"
+merge "_113_/li_339_527#" "_113_/li_155_527#"
+merge "_113_/li_155_527#" "_113_/li_63_527#"
+merge "_113_/li_63_527#" "_113_/li_0_527#"
+merge "_113_/li_0_527#" "_113_/li_220_367#"
+merge "_113_/li_220_367#" "FILLER_6_52/li_0_527#"
+merge "FILLER_6_52/li_0_527#" "_140_/li_431_527#"
+merge "_140_/li_431_527#" "_148_/li_339_527#"
+merge "_148_/li_339_527#" "FILLER_5_62/li_0_527#"
+merge "FILLER_5_62/li_0_527#" "PHY_49/li_0_527#"
+merge "PHY_49/li_0_527#" "FILLER_5_53/li_0_527#"
+merge "FILLER_5_53/li_0_527#" "_148_/li_431_527#"
+merge "_148_/li_431_527#" "_116_/li_0_527#"
+merge "_116_/li_0_527#" "_116_/li_155_527#"
+merge "_116_/li_155_527#" "_116_/li_247_527#"
+merge "_116_/li_247_527#" "_116_/li_339_527#"
+merge "_116_/li_339_527#" "_111_/li_247_527#"
+merge "_111_/li_247_527#" "_111_/li_155_527#"
+merge "_111_/li_155_527#" "_111_/li_0_527#"
+merge "_111_/li_0_527#" "FILLER_5_75/li_0_527#"
+merge "FILLER_5_75/li_0_527#" "_116_/li_431_527#"
+merge "_116_/li_431_527#" "_134_/li_63_527#"
+merge "_134_/li_63_527#" "_134_/li_247_527#"
+merge "_134_/li_247_527#" "_134_/li_339_527#"
+merge "_134_/li_339_527#" "PHY_51/li_0_527#"
+merge "PHY_51/li_0_527#" "_136_/li_0_527#"
+merge "_136_/li_0_527#" "_136_/li_63_527#"
+merge "_136_/li_63_527#" "FILLER_5_88/li_0_527#"
+merge "FILLER_5_88/li_0_527#" "_134_/li_431_527#"
+merge "_134_/li_431_527#" "_159_/li_0_527#"
+merge "_184_/li_1535_n17#" "_184_/li_1259_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/li_1259_n17#" "_184_/li_1167_n17#"
+merge "_184_/li_1167_n17#" "_184_/li_983_n17#"
+merge "_184_/li_983_n17#" "_184_/li_891_n17#"
+merge "_184_/li_891_n17#" "_184_/li_799_n17#"
+merge "_184_/li_799_n17#" "_184_/li_1627_n17#"
+merge "_184_/li_1627_n17#" "_184_/li_1443_n17#"
+merge "_184_/li_1443_n17#" "_184_/li_1325_17#"
+merge "_184_/li_1325_17#" "_184_/li_1075_n17#"
+merge "_184_/li_1075_n17#" "_184_/li_680_17#"
+merge "_184_/li_680_17#" "_180_/li_0_n17#"
+merge "_180_/li_0_n17#" "FILLER_16_38/li_0_n17#"
+merge "FILLER_16_38/li_0_n17#" "_091_/li_431_n17#"
+merge "FILLER_17_66/li_0_n17#" "FILLER_17_62/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_62/li_0_n17#" "FILLER_17_60/li_63_n17#"
+merge "FILLER_17_60/li_63_n17#" "FILLER_17_60/li_0_n17#"
+merge "FILLER_17_60/li_0_n17#" "PHY_67/li_0_n17#"
+merge "PHY_67/li_0_n17#" "FILLER_17_48/li_0_n17#"
+merge "FILLER_17_48/li_0_n17#" "_184_/li_1719_n17#"
+merge "_184_/li_1719_n17#" "_180_/li_155_n17#"
+merge "_180_/li_155_n17#" "_180_/li_247_n17#"
+merge "_180_/li_247_n17#" "_180_/li_339_n17#"
+merge "_180_/li_339_n17#" "_180_/li_523_n17#"
+merge "_180_/li_523_n17#" "_180_/li_615_n17#"
+merge "_180_/li_615_n17#" "_180_/li_707_n17#"
+merge "_180_/li_707_n17#" "_180_/li_799_n17#"
+merge "_180_/li_799_n17#" "_149_/li_0_n17#"
+merge "_149_/li_0_n17#" "FILLER_16_56/li_0_n17#"
+merge "FILLER_16_56/li_0_n17#" "_180_/li_891_n17#"
+merge "_180_/li_891_n17#" "_149_/li_63_n17#"
+merge "_149_/li_891_527#" "_106_/li_799_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_106_/li_799_527#" "_106_/li_891_527#"
+merge "_099_/A2" "_106_/A2" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_106_/A2" "_175_/B"
+merge "_175_/B" "_137_/Y"
+merge "_137_/Y" "_136_/B"
+merge "_136_/B" "_171_/B"
+merge "_171_/B" "li_3433_7157#"
+merge "_100_/li_1167_527#" "_127_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/X" "_135_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_135_/A" "_120_/A"
+merge "_120_/A" "_137_/A"
+merge "_137_/A" "_152_/A"
+merge "_152_/A" "li_2881_6817#"
+merge "_153_/li_615_n17#" "_084_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_4_118/li_0_527#" "FILLER_4_110/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_4_110/li_0_527#" "FILLER_3_117/li_0_527#"
+merge "FILLER_3_117/li_0_527#" "FILLER_4_98/li_0_527#"
+merge "FILLER_4_98/li_0_527#" "_174_/li_431_527#"
+merge "_174_/li_431_527#" "_174_/li_155_527#"
+merge "_174_/li_155_527#" "_174_/li_339_527#"
+merge "_174_/li_339_527#" "_174_/li_220_367#"
+merge "_174_/li_220_367#" "FILLER_3_109/li_0_527#"
+merge "FILLER_3_109/li_0_527#" "FILLER_3_97/li_0_527#"
+merge "FILLER_3_97/li_0_527#" "FILLER_4_91/li_63_527#"
+merge "FILLER_4_91/li_63_527#" "FILLER_4_91/li_0_527#"
+merge "FILLER_4_91/li_0_527#" "FILLER_4_85/li_0_527#"
+merge "FILLER_4_85/li_0_527#" "PHY_48/li_0_527#"
+merge "PHY_48/li_0_527#" "_174_/li_63_527#"
+merge "_174_/li_63_527#" "_174_/li_0_527#"
+merge "_174_/li_0_527#" "_166_/li_0_527#"
+merge "_166_/li_0_527#" "FILLER_4_73/li_0_527#"
+merge "FILLER_4_73/li_0_527#" "_133_/li_431_527#"
+merge "_133_/li_431_527#" "_133_/li_339_527#"
+merge "_133_/li_339_527#" "FILLER_3_72/li_0_527#"
+merge "FILLER_3_72/li_0_527#" "_150_/li_431_527#"
+merge "_150_/li_431_527#" "_166_/li_155_527#"
+merge "_166_/li_155_527#" "_166_/li_247_527#"
+merge "_166_/li_247_527#" "_166_/li_339_527#"
+merge "_166_/li_339_527#" "FILLER_3_85/li_0_527#"
+merge "FILLER_3_85/li_0_527#" "_166_/li_431_527#"
+merge "_166_/li_431_527#" "FILLER_3_117/li_63_527#"
+merge "_093_/li_155_527#" "_093_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_093_/li_63_527#" "_093_/li_0_527#"
+merge "_093_/li_0_527#" "FILLER_8_38/li_0_527#"
+merge "FILLER_8_38/li_0_527#" "_123_/li_431_527#"
+merge "_123_/li_431_527#" "_151_/li_247_527#"
+merge "_151_/li_247_527#" "_151_/li_339_527#"
+merge "_151_/li_339_527#" "FILLER_7_40/li_0_527#"
+merge "FILLER_7_40/li_0_527#" "_151_/li_431_527#"
+merge "_151_/li_431_527#" "_114_/li_0_527#"
+merge "FILLER_4_118/li_63_527#" "PHY_9/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_9/li_0_527#" "FILLER_3_117/li_155_527#"
+merge "FILLER_3_117/li_155_527#" "PHY_7/li_0_527#"
+merge "_080_/Y" "_081_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_081_/B" "li_6745_6817#"
+merge "_183_/li_63_527#" "_184_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/li_431_527#" "_184_/li_523_527#"
+merge "FILLER_13_88/li_0_n17#" "_098_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/li_615_n17#" "_098_/li_707_n17#"
+merge "_098_/li_707_n17#" "_098_/li_523_n17#"
+merge "_098_/li_523_n17#" "_098_/li_404_17#"
+merge "_098_/li_404_17#" "FILLER_12_84/li_0_n17#"
+merge "FILLER_12_84/li_0_n17#" "_125_/li_615_n17#"
+merge "_125_/li_615_n17#" "PHY_60/li_0_n17#"
+merge "PHY_60/li_0_n17#" "_101_/li_0_n17#"
+merge "_101_/li_0_n17#" "_101_/li_63_n17#"
+merge "_101_/li_63_n17#" "_101_/li_155_n17#"
+merge "_101_/li_155_n17#" "_128_/li_0_n17#"
+merge "_128_/li_0_n17#" "_101_/li_247_n17#"
+merge "FILLER_7_27/li_0_n17#" "FILLER_6_32/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_6_32/li_0_n17#" "FILLER_6_23/li_0_n17#"
+merge "FILLER_6_23/li_0_n17#" "_094_/li_0_n17#"
+merge "_094_/li_0_n17#" "FILLER_7_15/li_0_n17#"
+merge "FILLER_7_15/li_0_n17#" "FILLER_6_15/li_0_n17#"
+merge "FILLER_6_15/li_0_n17#" "FILLER_7_3/li_0_n17#"
+merge "FILLER_7_3/li_0_n17#" "FILLER_6_3/li_0_n17#"
+merge "FILLER_6_3/li_0_n17#" "PHY_14/li_0_n17#"
+merge "PHY_14/li_0_n17#" "PHY_12/li_0_n17#"
+merge "PHY_12/li_0_n17#" "_138_/li_0_n17#"
+merge "_138_/li_0_n17#" "_138_/li_51_17#"
+merge "_138_/li_51_17#" "_138_/li_155_n17#"
+merge "_138_/li_155_n17#" "_138_/li_236_17#"
+merge "_138_/li_236_17#" "_138_/li_339_n17#"
+merge "_138_/li_339_n17#" "_138_/li_404_17#"
+merge "_138_/li_404_17#" "_138_/li_523_n17#"
+merge "_138_/li_523_n17#" "_138_/li_615_n17#"
+merge "_138_/li_615_n17#" "_138_/li_707_n17#"
+merge "_138_/li_707_n17#" "_165_/li_0_n17#"
+merge "_165_/li_0_n17#" "_165_/li_63_n17#"
+merge "_165_/li_63_n17#" "_165_/li_155_n17#"
+merge "_165_/li_155_n17#" "_165_/li_247_n17#"
+merge "_165_/li_247_n17#" "_165_/li_339_n17#"
+merge "_165_/li_339_n17#" "_165_/li_431_n17#"
+merge "_165_/li_431_n17#" "_094_/li_63_n17#"
+merge "_094_/li_63_n17#" "_094_/li_247_n17#"
+merge "_094_/li_247_n17#" "PHY_50/li_0_n17#"
+merge "PHY_50/li_0_n17#" "FILLER_6_32/li_63_n17#"
+merge "FILLER_6_32/li_63_n17#" "FILLER_6_32/li_155_n17#"
+merge "FILLER_6_32/li_155_n17#" "_156_/li_155_n17#"
+merge "_156_/li_155_n17#" "_156_/li_0_n17#"
+merge "_156_/li_0_n17#" "_151_/li_0_n17#"
+merge "_090_/li_155_527#" "_090_/li_51_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_51_367#" "_142_/li_603_455#"
+merge "_097_/li_247_527#" "FILLER_11_62/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_11_62/li_63_527#" "_132_/li_0_527#"
+merge "_090_/li_339_n17#" "_090_/li_404_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_404_17#" "_097_/li_523_n17#"
+merge "_147_/A" "rin[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/Y" "_154_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_154_/A" "_160_/A"
+merge "_160_/A" "li_1685_7905#"
+merge "FILLER_2_67/li_0_527#" "_171_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_171_/li_0_527#" "_161_/li_431_527#"
+merge "_161_/li_431_527#" "FILLER_1_67/li_0_527#"
+merge "FILLER_1_67/li_0_527#" "_170_/li_431_527#"
+merge "_170_/li_431_527#" "_176_/li_0_527#"
+merge "FILLER_18_32/li_63_527#" "FILLER_18_32/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_18_32/li_0_527#" "FILLER_18_23/li_0_527#"
+merge "FILLER_18_23/li_0_527#" "_131_/li_615_527#"
+merge "_131_/li_615_527#" "_131_/li_707_527#"
+merge "_131_/li_707_527#" "_131_/li_523_527#"
+merge "_131_/li_523_527#" "FILLER_17_21/li_0_527#"
+merge "FILLER_17_21/li_0_527#" "_083_/li_707_527#"
+merge "_083_/li_707_527#" "_184_/li_0_527#"
+merge "_184_/li_0_527#" "PHY_68/li_0_527#"
+merge "PHY_68/li_0_527#" "_184_/li_63_527#"
+merge "_184_/li_63_527#" "_183_/li_0_527#"
+merge "_183_/li_0_527#" "_184_/li_247_527#"
+merge "_083_/li_523_n17#" "_083_/li_404_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_083_/li_404_17#" "_167_/li_247_n17#"
+merge "_099_/li_615_n17#" "_099_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_523_n17#" "_149_/li_799_n17#"
+merge "_084_/li_247_527#" "_084_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_084_/li_63_527#" "_084_/li_0_527#"
+merge "_084_/li_0_527#" "_084_/li_155_527#"
+merge "_084_/li_155_527#" "FILLER_12_37/li_0_527#"
+merge "FILLER_12_37/li_0_527#" "_079_/li_431_527#"
+merge "_079_/li_431_527#" "_079_/li_339_527#"
+merge "_079_/li_339_527#" "_143_/li_247_527#"
+merge "_143_/li_247_527#" "_117_/li_0_527#"
+merge "_117_/li_0_527#" "FILLER_11_39/li_0_527#"
+merge "FILLER_11_39/li_0_527#" "_143_/li_431_527#"
+merge "_149_/C1" "_148_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_148_/Y" "li_5917_5185#"
+merge "_142_/B1" "_079_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_079_/B" "_155_/A"
+merge "_155_/A" "_156_/Y"
+merge "_156_/Y" "li_4077_9061#"
+merge "_180_/li_0_527#" "FILLER_16_38/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_16_38/li_0_527#" "_091_/li_431_527#"
+merge "_091_/li_431_527#" "_091_/li_311_358#"
+merge "_091_/li_311_358#" "_082_/li_0_527#"
+merge "_082_/li_0_527#" "FILLER_15_36/li_0_527#"
+merge "FILLER_15_36/li_0_527#" "_135_/li_799_527#"
+merge "_135_/li_799_527#" "_082_/li_51_367#"
+merge "_082_/li_51_367#" "_082_/li_155_527#"
+merge "FILLER_3_117/li_0_n17#" "FILLER_2_117/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_2_117/li_0_n17#" "FILLER_3_109/li_0_n17#"
+merge "FILLER_3_109/li_0_n17#" "FILLER_3_97/li_0_n17#"
+merge "FILLER_3_97/li_0_n17#" "FILLER_2_105/li_0_n17#"
+merge "FILLER_2_105/li_0_n17#" "FILLER_3_85/li_0_n17#"
+merge "FILLER_3_85/li_0_n17#" "_166_/li_431_n17#"
+merge "_166_/li_431_n17#" "_166_/li_339_n17#"
+merge "_166_/li_339_n17#" "_166_/li_247_n17#"
+merge "_166_/li_247_n17#" "_166_/li_155_n17#"
+merge "_166_/li_155_n17#" "_166_/li_0_n17#"
+merge "_166_/li_0_n17#" "_166_/li_63_n17#"
+merge "_166_/li_63_n17#" "FILLER_2_93/li_0_n17#"
+merge "FILLER_2_93/li_0_n17#" "FILLER_2_80/li_0_n17#"
+merge "FILLER_2_80/li_0_n17#" "FILLER_3_72/li_0_n17#"
+merge "FILLER_3_72/li_0_n17#" "FILLER_3_66/li_63_n17#"
+merge "FILLER_3_66/li_63_n17#" "FILLER_3_66/li_0_n17#"
+merge "FILLER_3_66/li_0_n17#" "FILLER_3_62/li_0_n17#"
+merge "FILLER_3_62/li_0_n17#" "FILLER_3_60/li_63_n17#"
+merge "FILLER_3_60/li_63_n17#" "FILLER_3_60/li_0_n17#"
+merge "FILLER_3_60/li_0_n17#" "PHY_46/li_0_n17#"
+merge "PHY_46/li_0_n17#" "FILLER_3_52/li_0_n17#"
+merge "FILLER_3_52/li_0_n17#" "_168_/li_431_n17#"
+merge "_168_/li_431_n17#" "_169_/li_247_n17#"
+merge "_169_/li_247_n17#" "_161_/li_0_n17#"
+merge "_161_/li_0_n17#" "FILLER_2_54/li_0_n17#"
+merge "FILLER_2_54/li_0_n17#" "_169_/li_339_n17#"
+merge "_169_/li_339_n17#" "_161_/li_155_n17#"
+merge "_161_/li_155_n17#" "_161_/li_247_n17#"
+merge "_161_/li_247_n17#" "_150_/li_247_n17#"
+merge "_150_/li_247_n17#" "_150_/li_339_n17#"
+merge "_150_/li_339_n17#" "_150_/li_155_n17#"
+merge "_150_/li_155_n17#" "_150_/li_0_n17#"
+merge "_150_/li_0_n17#" "FILLER_2_67/li_0_n17#"
+merge "FILLER_2_67/li_0_n17#" "_161_/li_339_n17#"
+merge "_161_/li_339_n17#" "_171_/li_0_n17#"
+merge "_171_/li_0_n17#" "_171_/li_155_n17#"
+merge "_171_/li_155_n17#" "_171_/li_247_n17#"
+merge "_171_/li_247_n17#" "_171_/li_339_n17#"
+merge "_171_/li_339_n17#" "PHY_45/li_0_n17#"
+merge "FILLER_8_90/li_155_527#" "FILLER_8_90/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_8_90/li_63_527#" "FILLER_8_90/li_0_527#"
+merge "FILLER_8_90/li_0_527#" "PHY_54/li_0_527#"
+merge "PHY_54/li_0_527#" "_110_/li_0_527#"
+merge "_110_/li_0_527#" "FILLER_8_78/li_0_527#"
+merge "FILLER_8_78/li_0_527#" "FILLER_8_64/li_0_527#"
+merge "FILLER_8_64/li_0_527#" "FILLER_8_51/li_0_527#"
+merge "FILLER_8_51/li_0_527#" "_093_/li_431_527#"
+merge "_093_/li_431_527#" "_114_/li_220_367#"
+merge "_114_/li_220_367#" "_114_/li_339_527#"
+merge "_114_/li_339_527#" "_081_/li_431_527#"
+merge "_081_/li_431_527#" "_081_/li_339_527#"
+merge "_081_/li_339_527#" "_081_/li_247_527#"
+merge "_081_/li_247_527#" "_081_/li_0_527#"
+merge "_081_/li_0_527#" "_081_/li_63_527#"
+merge "_081_/li_63_527#" "FILLER_7_62/li_0_527#"
+merge "FILLER_7_62/li_0_527#" "PHY_52/li_0_527#"
+merge "PHY_52/li_0_527#" "FILLER_7_53/li_0_527#"
+merge "FILLER_7_53/li_0_527#" "_114_/li_431_527#"
+merge "_114_/li_431_527#" "_144_/li_0_527#"
+merge "_144_/li_0_527#" "_144_/li_57_393#"
+merge "_144_/li_57_393#" "_144_/li_155_527#"
+merge "_144_/li_155_527#" "_144_/li_247_527#"
+merge "_144_/li_247_527#" "_144_/li_339_527#"
+merge "_144_/li_339_527#" "_107_/li_155_527#"
+merge "_107_/li_155_527#" "_107_/li_63_527#"
+merge "_107_/li_63_527#" "_107_/li_0_527#"
+merge "_107_/li_0_527#" "_107_/li_431_527#"
+merge "_107_/li_431_527#" "_107_/li_247_527#"
+merge "_107_/li_247_527#" "FILLER_7_71/li_0_527#"
+merge "FILLER_7_71/li_0_527#" "_144_/li_431_527#"
+merge "_144_/li_431_527#" "_104_/li_0_527#"
+merge "_104_/li_0_527#" "_104_/li_63_527#"
+merge "_104_/li_63_527#" "_104_/li_155_527#"
+merge "_104_/li_155_527#" "_104_/li_220_367#"
+merge "_104_/li_220_367#" "_104_/li_339_527#"
+merge "_104_/li_339_527#" "FILLER_7_84/li_0_527#"
+merge "FILLER_7_84/li_0_527#" "_104_/li_431_527#"
+merge "_104_/li_431_527#" "_112_/li_0_527#"
+merge "_112_/li_0_527#" "_112_/li_155_527#"
+merge "_182_/li_431_n17#" "_182_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_247_n17#" "_182_/li_63_n17#"
+merge "_182_/li_63_n17#" "FILLER_18_32/li_63_n17#"
+merge "FILLER_18_32/li_63_n17#" "_183_/li_0_n17#"
+merge "_183_/li_0_n17#" "_183_/li_63_n17#"
+merge "_183_/li_63_n17#" "_183_/li_247_n17#"
+merge "_168_/li_0_n17#" "_168_/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/li_63_n17#" "FILLER_3_39/li_0_n17#"
+merge "FILLER_3_39/li_0_n17#" "FILLER_2_48/li_0_n17#"
+merge "FILLER_2_48/li_0_n17#" "FILLER_2_44/li_0_n17#"
+merge "FILLER_2_44/li_0_n17#" "FILLER_3_27/li_0_n17#"
+merge "FILLER_3_27/li_0_n17#" "FILLER_2_32/li_0_n17#"
+merge "FILLER_2_32/li_0_n17#" "FILLER_2_27/li_0_n17#"
+merge "FILLER_2_27/li_0_n17#" "FILLER_3_15/li_0_n17#"
+merge "FILLER_3_15/li_0_n17#" "FILLER_2_15/li_0_n17#"
+merge "FILLER_2_15/li_0_n17#" "FILLER_3_3/li_0_n17#"
+merge "FILLER_3_3/li_0_n17#" "PHY_6/li_0_n17#"
+merge "PHY_6/li_0_n17#" "FILLER_2_3/li_0_n17#"
+merge "FILLER_2_3/li_0_n17#" "PHY_4/li_0_n17#"
+merge "PHY_4/li_0_n17#" "PHY_44/li_0_n17#"
+merge "_182_/CLK" "_183_/CLK" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/CLK" "_184_/CLK"
+merge "_184_/CLK" "confclk"
+merge "FILLER_18_89/li_0_527#" "PHY_69/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_69/li_0_527#" "FILLER_18_77/li_0_527#"
+merge "FILLER_18_77/li_0_527#" "_129_/li_1535_527#"
+merge "_129_/li_1535_527#" "_099_/li_879_451#"
+merge "_099_/li_879_451#" "_099_/li_983_527#"
+merge "_099_/li_983_527#" "_099_/li_1075_527#"
+merge "_099_/li_1075_527#" "_099_/li_1167_527#"
+merge "_099_/li_1167_527#" "_099_/li_1259_527#"
+merge "_099_/li_1259_527#" "_099_/li_1351_527#"
+merge "_099_/li_1351_527#" "_099_/li_1443_527#"
+merge "_099_/li_1443_527#" "FILLER_17_84/li_0_527#"
+merge "FILLER_17_84/li_0_527#" "_099_/li_1535_527#"
+merge "_099_/li_1535_527#" "_172_/li_0_527#"
+merge "_172_/li_0_527#" "_089_/li_0_527#"
+merge "_089_/li_0_527#" "_089_/li_51_367#"
+merge "_089_/li_51_367#" "_172_/li_63_527#"
+merge "_143_/X" "_144_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_144_/B" "li_4537_8585#"
+merge "_172_/A" "_099_/B1" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/B1" "_136_/A"
+merge "_136_/A" "_159_/X"
+merge "_159_/X" "li_8585_11645#"
+merge "FILLER_16_90/li_0_527#" "_095_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_095_/li_0_527#" "FILLER_16_78/li_0_527#"
+merge "FILLER_16_78/li_0_527#" "_149_/li_1259_527#"
+merge "_149_/li_1259_527#" "_149_/li_1075_527#"
+merge "_149_/li_1075_527#" "FILLER_15_77/li_0_527#"
+merge "FILLER_15_77/li_0_527#" "_106_/li_983_527#"
+merge "_106_/li_983_527#" "_095_/li_51_367#"
+merge "_095_/li_51_367#" "_095_/li_155_527#"
+merge "_095_/li_155_527#" "_095_/li_236_367#"
+merge "_095_/li_236_367#" "_095_/li_339_527#"
+merge "_095_/li_339_527#" "_095_/li_404_367#"
+merge "FILLER_15_111/li_0_n17#" "FILLER_14_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_118/li_0_n17#" "FILLER_14_114/li_0_n17#"
+merge "FILLER_14_114/li_0_n17#" "_127_/li_707_n17#"
+merge "_127_/li_707_n17#" "_127_/li_339_n17#"
+merge "_127_/li_339_n17#" "_127_/li_155_n17#"
+merge "_127_/li_155_n17#" "_127_/li_0_n17#"
+merge "_127_/li_0_n17#" "_127_/li_523_n17#"
+merge "_127_/li_523_n17#" "_127_/li_407_17#"
+merge "_127_/li_407_17#" "_127_/li_247_n17#"
+merge "_127_/li_247_n17#" "_127_/li_63_n17#"
+merge "_127_/li_63_n17#" "FILLER_15_94/li_0_n17#"
+merge "FILLER_15_94/li_0_n17#" "_095_/li_615_n17#"
+merge "_095_/li_615_n17#" "_095_/li_339_n17#"
+merge "_095_/li_339_n17#" "_095_/li_155_n17#"
+merge "_095_/li_155_n17#" "_095_/li_0_n17#"
+merge "_095_/li_0_n17#" "_095_/li_707_n17#"
+merge "_095_/li_707_n17#" "_095_/li_523_n17#"
+merge "_095_/li_523_n17#" "_095_/li_404_17#"
+merge "_095_/li_404_17#" "_095_/li_236_17#"
+merge "_095_/li_236_17#" "_095_/li_51_17#"
+merge "_095_/li_51_17#" "FILLER_15_77/li_0_n17#"
+merge "FILLER_15_77/li_0_n17#" "_106_/li_1075_n17#"
+merge "_106_/li_1075_n17#" "_106_/li_615_n17#"
+merge "_106_/li_615_n17#" "_106_/li_523_n17#"
+merge "_106_/li_523_n17#" "_106_/li_431_n17#"
+merge "_106_/li_431_n17#" "_106_/li_891_n17#"
+merge "_106_/li_891_n17#" "_106_/li_707_n17#"
+merge "_106_/li_707_n17#" "_106_/li_339_n17#"
+merge "_106_/li_339_n17#" "_106_/li_155_n17#"
+merge "_106_/li_155_n17#" "_106_/li_0_n17#"
+merge "_106_/li_0_n17#" "FILLER_15_62/li_0_n17#"
+merge "FILLER_15_62/li_0_n17#" "PHY_64/li_0_n17#"
+merge "PHY_64/li_0_n17#" "FILLER_15_53/li_0_n17#"
+merge "FILLER_15_53/li_0_n17#" "_082_/li_615_n17#"
+merge "_082_/li_615_n17#" "_082_/li_707_n17#"
+merge "_082_/li_707_n17#" "_082_/li_523_n17#"
+merge "_082_/li_523_n17#" "_082_/li_339_n17#"
+merge "_082_/li_339_n17#" "_082_/li_404_17#"
+merge "_082_/li_404_17#" "_082_/li_236_17#"
+merge "_082_/li_236_17#" "FILLER_14_48/li_0_n17#"
+merge "FILLER_14_48/li_0_n17#" "_085_/li_707_n17#"
+merge "_085_/li_707_n17#" "_142_/li_0_n17#"
+merge "_142_/li_0_n17#" "_142_/li_155_n17#"
+merge "_142_/li_155_n17#" "_142_/li_339_n17#"
+merge "_142_/li_339_n17#" "_142_/li_431_n17#"
+merge "_142_/li_431_n17#" "_142_/li_523_n17#"
+merge "_142_/li_523_n17#" "_142_/li_615_n17#"
+merge "_142_/li_615_n17#" "_142_/li_707_n17#"
+merge "_142_/li_707_n17#" "_142_/li_891_n17#"
+merge "_142_/li_891_n17#" "FILLER_14_68/li_0_n17#"
+merge "FILLER_14_68/li_0_n17#" "_142_/li_1075_n17#"
+merge "_142_/li_1075_n17#" "_080_/li_0_n17#"
+merge "_080_/li_0_n17#" "_080_/li_155_n17#"
+merge "_080_/li_155_n17#" "_080_/li_247_n17#"
+merge "_080_/li_247_n17#" "_080_/li_339_n17#"
+merge "_080_/li_339_n17#" "_080_/li_523_n17#"
+merge "_080_/li_523_n17#" "FILLER_14_84/li_0_n17#"
+merge "FILLER_14_84/li_0_n17#" "_080_/li_615_n17#"
+merge "_080_/li_615_n17#" "PHY_63/li_0_n17#"
+merge "PHY_63/li_0_n17#" "_121_/li_0_n17#"
+merge "_121_/li_0_n17#" "_121_/li_51_17#"
+merge "_121_/li_51_17#" "_121_/li_155_n17#"
+merge "_121_/li_155_n17#" "_121_/li_236_17#"
+merge "_121_/li_236_17#" "_121_/li_339_n17#"
+merge "_121_/li_339_n17#" "_121_/li_404_17#"
+merge "_121_/li_404_17#" "_121_/li_523_n17#"
+merge "_121_/li_523_n17#" "_121_/li_615_n17#"
+merge "_121_/li_615_n17#" "FILLER_14_102/li_0_n17#"
+merge "FILLER_14_102/li_0_n17#" "_121_/li_707_n17#"
+merge "_121_/li_707_n17#" "PHY_31/li_0_n17#"
+merge "PHY_31/li_0_n17#" "FILLER_14_118/li_63_n17#"
+merge "FILLER_14_118/li_63_n17#" "PHY_29/li_0_n17#"
+merge "FILLER_7_84/li_0_n17#" "FILLER_6_89/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_6_89/li_0_n17#" "FILLER_6_81/li_0_n17#"
+merge "FILLER_6_81/li_0_n17#" "_111_/li_431_n17#"
+merge "_111_/li_431_n17#" "_104_/li_155_n17#"
+merge "_104_/li_155_n17#" "_104_/li_339_n17#"
+merge "_104_/li_339_n17#" "PHY_51/li_0_n17#"
+merge "PHY_51/li_0_n17#" "_112_/li_0_n17#"
+merge "_112_/li_0_n17#" "_136_/li_0_n17#"
+merge "_136_/li_0_n17#" "_112_/li_63_n17#"
+merge "_112_/li_63_n17#" "_112_/li_155_n17#"
+merge "_106_/B1" "_151_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_151_/A" "_152_/Y"
+merge "_152_/Y" "_174_/B"
+merge "_174_/B" "li_3065_6953#"
+merge "_167_/A" "_139_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_139_/B" "_165_/A"
+merge "_165_/A" "_138_/Y"
+merge "_138_/Y" "li_2421_6273#"
+merge "FILLER_2_117/li_155_527#" "FILLER_2_117/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_2_117/li_63_527#" "FILLER_2_117/li_0_527#"
+merge "FILLER_2_117/li_0_527#" "PHY_5/li_0_527#"
+merge "PHY_5/li_0_527#" "FILLER_1_116/li_0_527#"
+merge "FILLER_1_116/li_0_527#" "FILLER_2_105/li_0_527#"
+merge "FILLER_2_105/li_0_527#" "FILLER_1_104/li_0_527#"
+merge "FILLER_1_104/li_0_527#" "FILLER_2_93/li_0_527#"
+merge "FILLER_2_93/li_0_527#" "FILLER_2_80/li_0_527#"
+merge "FILLER_2_80/li_0_527#" "PHY_45/li_0_527#"
+merge "PHY_45/li_0_527#" "_171_/li_431_527#"
+merge "_171_/li_431_527#" "FILLER_1_92/li_0_527#"
+merge "FILLER_1_92/li_0_527#" "FILLER_1_80/li_0_527#"
+merge "FILLER_1_80/li_0_527#" "_176_/li_431_527#"
+merge "_176_/li_431_527#" "PHY_3/li_0_527#"
+merge "FILLER_13_71/li_0_n17#" "_090_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_615_n17#" "_090_/li_707_n17#"
+merge "_090_/li_707_n17#" "_090_/li_523_n17#"
+merge "_090_/li_523_n17#" "FILLER_12_69/li_0_n17#"
+merge "FILLER_12_69/li_0_n17#" "_097_/li_707_n17#"
+merge "_097_/li_707_n17#" "_125_/li_0_n17#"
+merge "_125_/li_0_n17#" "_098_/li_0_n17#"
+merge "_098_/li_0_n17#" "_125_/li_155_n17#"
+merge "_162_/B" "_161_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_161_/Y" "li_5273_4641#"
+merge "_126_/A" "rempty" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/li_339_527#" "_148_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_125_/li_523_527#" "_178_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/li_155_n17#" "_100_/li_52_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_33/li_0_527#" "FILLER_15_111/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_15_111/li_0_527#" "FILLER_16_107/li_0_527#"
+merge "FILLER_16_107/li_0_527#" "_100_/li_1259_527#"
+merge "_100_/li_1259_527#" "_127_/li_407_367#"
+merge "_127_/li_407_367#" "_127_/li_523_527#"
+merge "_127_/li_523_527#" "_127_/li_707_527#"
+merge "_127_/li_707_527#" "PHY_31/li_0_527#"
+merge "_145_/A" "_144_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_144_/X" "li_1409_12733#"
+merge "_097_/li_155_527#" "_097_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_097_/li_0_527#" "_097_/li_63_527#"
+merge "_097_/li_63_527#" "FILLER_12_52/li_0_527#"
+merge "FILLER_12_52/li_0_527#" "_084_/li_615_527#"
+merge "_084_/li_615_527#" "FILLER_11_62/li_0_527#"
+merge "FILLER_11_62/li_0_527#" "PHY_58/li_0_527#"
+merge "PHY_58/li_0_527#" "FILLER_11_53/li_0_527#"
+merge "FILLER_11_53/li_0_527#" "_117_/li_431_527#"
+merge "FILLER_12_3/li_155_527#" "FILLER_12_3/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_12_3/li_63_527#" "_118_/li_0_527#"
+merge "_118_/li_0_527#" "_118_/li_63_527#"
+merge "_118_/li_63_527#" "FILLER_12_3/li_0_527#"
+merge "FILLER_12_3/li_0_527#" "PHY_24/li_0_527#"
+merge "PHY_24/li_0_527#" "FILLER_11_3/li_0_527#"
+merge "FILLER_11_3/li_0_527#" "PHY_22/li_0_527#"
+merge "PHY_22/li_0_527#" "_122_/li_0_527#"
+merge "FILLER_14_9/li_0_527#" "FILLER_13_8/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_13_8/li_0_527#" "_181_/li_431_527#"
+merge "_181_/li_431_527#" "_088_/li_431_527#"
+merge "_088_/li_431_527#" "_139_/li_0_527#"
+merge "_139_/li_0_527#" "_139_/li_155_527#"
+merge "_139_/li_155_527#" "_139_/li_57_393#"
+merge "_139_/li_57_393#" "_124_/li_0_527#"
+merge "_151_/Y" "_152_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_152_/B" "li_3065_6817#"
+merge "_161_/li_0_527#" "FILLER_2_54/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_2_54/li_0_527#" "_169_/li_431_527#"
+merge "_169_/li_431_527#" "_169_/li_339_527#"
+merge "_169_/li_339_527#" "_169_/li_247_527#"
+merge "_169_/li_247_527#" "_169_/li_0_527#"
+merge "_169_/li_0_527#" "_169_/li_63_527#"
+merge "_169_/li_63_527#" "FILLER_1_59/li_0_527#"
+merge "FILLER_1_59/li_0_527#" "FILLER_1_51/li_0_527#"
+merge "FILLER_1_51/li_0_527#" "FILLER_2_48/li_63_527#"
+merge "FILLER_2_48/li_63_527#" "FILLER_2_48/li_0_527#"
+merge "FILLER_2_48/li_0_527#" "FILLER_2_44/li_0_527#"
+merge "FILLER_2_44/li_0_527#" "FILLER_1_39/li_0_527#"
+merge "FILLER_1_39/li_0_527#" "FILLER_2_32/li_0_527#"
+merge "FILLER_2_32/li_0_527#" "FILLER_2_27/li_0_527#"
+merge "FILLER_2_27/li_0_527#" "PHY_44/li_0_527#"
+merge "PHY_44/li_0_527#" "FILLER_1_27/li_0_527#"
+merge "FILLER_1_27/li_0_527#" "FILLER_2_15/li_0_527#"
+merge "FILLER_2_15/li_0_527#" "FILLER_1_15/li_0_527#"
+merge "FILLER_1_15/li_0_527#" "FILLER_2_3/li_0_527#"
+merge "FILLER_2_3/li_0_527#" "PHY_4/li_0_527#"
+merge "PHY_4/li_0_527#" "FILLER_1_3/li_0_527#"
+merge "FILLER_1_3/li_0_527#" "PHY_2/li_0_527#"
+merge "PHY_2/li_0_527#" "FILLER_1_59/li_63_527#"
+merge "FILLER_1_59/li_63_527#" "PHY_43/li_0_527#"
+merge "PHY_43/li_0_527#" "FILLER_1_59/li_155_527#"
+merge "FILLER_1_59/li_155_527#" "_170_/li_0_527#"
+merge "_129_/li_615_527#" "_129_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_707_527#" "FILLER_17_66/li_63_527#"
+merge "FILLER_17_66/li_63_527#" "_099_/li_0_527#"
+merge "_129_/li_431_527#" "_129_/li_523_451#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_523_451#" "FILLER_17_66/li_0_527#"
+merge "FILLER_17_66/li_0_527#" "_129_/li_339_527#"
+merge "_129_/li_339_527#" "_129_/li_155_527#"
+merge "_129_/li_155_527#" "_129_/li_0_527#"
+merge "_129_/li_0_527#" "FILLER_18_52/li_0_527#"
+merge "FILLER_18_52/li_0_527#" "_183_/li_1719_527#"
+merge "_183_/li_1719_527#" "_183_/li_1535_527#"
+merge "_183_/li_1535_527#" "_183_/li_1627_527#"
+merge "_183_/li_1627_527#" "FILLER_17_60/li_0_527#"
+merge "FILLER_17_60/li_0_527#" "_183_/li_1443_527#"
+merge "_183_/li_1443_527#" "_183_/li_1325_371#"
+merge "_183_/li_1325_371#" "FILLER_17_48/li_0_527#"
+merge "FILLER_17_48/li_0_527#" "_184_/li_1719_527#"
+merge "_184_/li_1719_527#" "FILLER_17_62/li_0_527#"
+merge "FILLER_17_62/li_0_527#" "FILLER_17_60/li_63_527#"
+merge "FILLER_17_60/li_63_527#" "PHY_67/li_0_527#"
+merge "_167_/X" "_168_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/B" "li_3157_11101#"
+merge "_177_/li_0_527#" "FILLER_10_60/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_10_60/li_0_527#" "FILLER_10_46/li_0_527#"
+merge "FILLER_10_46/li_0_527#" "_141_/li_339_527#"
+merge "_141_/li_339_527#" "_141_/li_155_527#"
+merge "_141_/li_155_527#" "_141_/li_0_527#"
+merge "_141_/li_0_527#" "_141_/li_431_527#"
+merge "_141_/li_431_527#" "_141_/li_247_527#"
+merge "_141_/li_247_527#" "_141_/li_57_393#"
+merge "_141_/li_57_393#" "FILLER_10_32/li_0_527#"
+merge "FILLER_10_32/li_0_527#" "FILLER_10_23/li_0_527#"
+merge "FILLER_10_23/li_0_527#" "_120_/li_431_527#"
+merge "_120_/li_431_527#" "_137_/li_63_527#"
+merge "_137_/li_63_527#" "_137_/li_247_527#"
+merge "_137_/li_247_527#" "_137_/li_339_527#"
+merge "_137_/li_339_527#" "PHY_56/li_0_527#"
+merge "PHY_56/li_0_527#" "FILLER_9_27/li_0_527#"
+merge "FILLER_9_27/li_0_527#" "_137_/li_431_527#"
+merge "_137_/li_431_527#" "_115_/li_0_527#"
+merge "_115_/li_0_527#" "_115_/li_155_527#"
+merge "_115_/li_155_527#" "_115_/li_247_527#"
+merge "_115_/li_247_527#" "_115_/li_339_527#"
+merge "_115_/li_339_527#" "FILLER_9_40/li_0_527#"
+merge "FILLER_9_40/li_0_527#" "_115_/li_431_527#"
+merge "_115_/li_431_527#" "_086_/li_0_527#"
+merge "_086_/li_0_527#" "_086_/li_63_527#"
+merge "_086_/li_63_527#" "_086_/li_155_527#"
+merge "_086_/li_155_527#" "_086_/li_220_367#"
+merge "_086_/li_220_367#" "_086_/li_339_527#"
+merge "_086_/li_339_527#" "_103_/li_339_527#"
+merge "_103_/li_339_527#" "_103_/li_155_527#"
+merge "_103_/li_155_527#" "_103_/li_0_527#"
+merge "_103_/li_0_527#" "_103_/li_431_527#"
+merge "_103_/li_431_527#" "_103_/li_247_527#"
+merge "_103_/li_247_527#" "_103_/li_57_393#"
+merge "_103_/li_57_393#" "FILLER_9_62/li_0_527#"
+merge "FILLER_9_62/li_0_527#" "PHY_55/li_0_527#"
+merge "PHY_55/li_0_527#" "FILLER_9_53/li_0_527#"
+merge "FILLER_9_53/li_0_527#" "_086_/li_431_527#"
+merge "_086_/li_431_527#" "_175_/li_0_527#"
+merge "FILLER_19_116/li_0_n17#" "FILLER_18_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_18_118/li_0_n17#" "FILLER_18_114/li_0_n17#"
+merge "FILLER_18_114/li_0_n17#" "FILLER_19_108/li_0_n17#"
+merge "FILLER_19_108/li_0_n17#" "_130_/li_1259_n17#"
+merge "_130_/li_1259_n17#" "_130_/li_1167_n17#"
+merge "_130_/li_1167_n17#" "_130_/li_983_n17#"
+merge "_130_/li_983_n17#" "_130_/li_799_n17#"
+merge "_130_/li_799_n17#" "_130_/li_707_n17#"
+merge "_130_/li_707_n17#" "_130_/li_615_n17#"
+merge "_130_/li_615_n17#" "_130_/li_1075_n17#"
+merge "_130_/li_1075_n17#" "_130_/li_891_n17#"
+merge "_130_/li_891_n17#" "FILLER_18_102/li_0_n17#"
+merge "FILLER_18_102/li_0_n17#" "_089_/li_707_n17#"
+merge "_089_/li_707_n17#" "PHY_39/li_0_n17#"
+merge "PHY_39/li_0_n17#" "FILLER_18_118/li_63_n17#"
+merge "FILLER_18_118/li_63_n17#" "PHY_37/li_0_n17#"
+merge "FILLER_3_66/li_0_527#" "FILLER_4_60/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_4_60/li_0_527#" "FILLER_4_47/li_0_527#"
+merge "FILLER_4_47/li_0_527#" "FILLER_4_40/li_155_527#"
+merge "FILLER_4_40/li_155_527#" "FILLER_4_40/li_63_527#"
+merge "FILLER_4_40/li_63_527#" "FILLER_4_40/li_0_527#"
+merge "FILLER_4_40/li_0_527#" "_162_/li_431_527#"
+merge "_162_/li_431_527#" "_162_/li_339_527#"
+merge "_162_/li_339_527#" "_162_/li_247_527#"
+merge "_162_/li_247_527#" "_162_/li_0_527#"
+merge "_162_/li_0_527#" "_162_/li_63_527#"
+merge "_162_/li_63_527#" "_168_/li_0_527#"
+merge "_168_/li_0_527#" "FILLER_3_39/li_0_527#"
+merge "FILLER_3_39/li_0_527#" "FILLER_4_32/li_0_527#"
+merge "FILLER_4_32/li_0_527#" "FILLER_4_27/li_0_527#"
+merge "FILLER_4_27/li_0_527#" "PHY_47/li_0_527#"
+merge "PHY_47/li_0_527#" "FILLER_3_27/li_0_527#"
+merge "FILLER_3_27/li_0_527#" "FILLER_4_15/li_0_527#"
+merge "FILLER_4_15/li_0_527#" "FILLER_3_15/li_0_527#"
+merge "FILLER_3_15/li_0_527#" "FILLER_4_3/li_0_527#"
+merge "FILLER_4_3/li_0_527#" "PHY_8/li_0_527#"
+merge "PHY_8/li_0_527#" "FILLER_3_3/li_0_527#"
+merge "FILLER_3_3/li_0_527#" "PHY_6/li_0_527#"
+merge "PHY_6/li_0_527#" "_168_/li_155_527#"
+merge "_168_/li_155_527#" "_168_/li_247_527#"
+merge "_168_/li_247_527#" "_168_/li_339_527#"
+merge "_168_/li_339_527#" "_155_/li_431_527#"
+merge "_155_/li_431_527#" "_155_/li_339_527#"
+merge "_155_/li_339_527#" "_155_/li_247_527#"
+merge "_155_/li_247_527#" "_155_/li_0_527#"
+merge "_155_/li_0_527#" "_155_/li_63_527#"
+merge "_155_/li_63_527#" "FILLER_3_60/li_0_527#"
+merge "FILLER_3_60/li_0_527#" "FILLER_3_52/li_0_527#"
+merge "FILLER_3_52/li_0_527#" "_168_/li_431_527#"
+merge "_168_/li_431_527#" "FILLER_3_62/li_0_527#"
+merge "FILLER_3_62/li_0_527#" "FILLER_3_60/li_63_527#"
+merge "FILLER_3_60/li_63_527#" "PHY_46/li_0_527#"
+merge "PHY_46/li_0_527#" "FILLER_3_66/li_63_527#"
+merge "FILLER_3_66/li_63_527#" "_150_/li_0_527#"
+merge "_150_/li_0_527#" "_133_/li_0_527#"
+merge "_133_/li_0_527#" "_133_/li_63_527#"
+merge "_133_/li_63_527#" "_150_/li_63_527#"
+merge "_150_/li_63_527#" "_150_/li_247_527#"
+merge "_130_/A1" "_128_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/Y" "_147_/B"
+merge "_147_/B" "li_10701_9401#"
+merge "_129_/B1" "_180_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_180_/A" "_163_/A"
+merge "_163_/A" "_168_/Y"
+merge "_168_/Y" "li_4445_5117#"
+merge "_182_/D" "cbitin" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_1167_n17#" "_183_/li_1075_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_118/li_63_n17#" "FILLER_17_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_118/li_0_n17#" "FILLER_17_114/li_0_n17#"
+merge "FILLER_17_114/li_0_n17#" "PHY_35/li_0_n17#"
+merge "PHY_35/li_0_n17#" "FILLER_17_102/li_0_n17#"
+merge "FILLER_17_102/li_0_n17#" "_172_/li_891_n17#"
+merge "_172_/li_891_n17#" "_100_/li_799_n17#"
+merge "_100_/li_799_n17#" "_100_/li_891_n17#"
+merge "_100_/li_891_n17#" "_100_/li_983_n17#"
+merge "_100_/li_983_n17#" "_100_/li_1075_n17#"
+merge "_100_/li_1075_n17#" "_100_/li_1167_n17#"
+merge "_100_/li_1167_n17#" "FILLER_16_107/li_0_n17#"
+merge "FILLER_16_107/li_0_n17#" "_100_/li_1259_n17#"
+merge "_100_/li_1259_n17#" "PHY_33/li_0_n17#"
+merge "_102_/Y" "_158_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_158_/A" "_157_/A"
+merge "_157_/A" "_103_/B"
+merge "_103_/B" "li_3157_12665#"
+merge "FILLER_6_32/li_155_527#" "_156_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_156_/li_247_527#" "_156_/li_0_527#"
+merge "_156_/li_0_527#" "_156_/li_63_527#"
+merge "_156_/li_63_527#" "FILLER_6_32/li_63_527#"
+merge "FILLER_6_32/li_63_527#" "FILLER_6_32/li_0_527#"
+merge "FILLER_6_32/li_0_527#" "FILLER_6_23/li_0_527#"
+merge "FILLER_6_23/li_0_527#" "_165_/li_431_527#"
+merge "_165_/li_431_527#" "_179_/li_63_527#"
+merge "_179_/li_63_527#" "_179_/li_247_527#"
+merge "_179_/li_247_527#" "_179_/li_339_527#"
+merge "_179_/li_339_527#" "PHY_50/li_0_527#"
+merge "PHY_50/li_0_527#" "FILLER_5_27/li_0_527#"
+merge "FILLER_5_27/li_0_527#" "_179_/li_431_527#"
+merge "_179_/li_431_527#" "_163_/li_0_527#"
+merge "_163_/li_0_527#" "_163_/li_63_527#"
+merge "_098_/li_236_17#" "_125_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/A2" "_080_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_080_/A" "_142_/A1"
+merge "_142_/A1" "_164_/Y"
+merge "_164_/Y" "_163_/B"
+merge "_163_/B" "_179_/A"
+merge "_179_/A" "li_1961_6817#"
+merge "FILLER_14_84/li_0_527#" "_098_/li_404_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/li_404_367#" "_080_/li_707_527#"
+merge "_080_/li_707_527#" "_098_/li_523_527#"
+merge "_098_/li_523_527#" "_098_/li_615_527#"
+merge "_098_/li_615_527#" "FILLER_13_88/li_0_527#"
+merge "FILLER_13_88/li_0_527#" "_098_/li_707_527#"
+merge "_098_/li_707_527#" "PHY_63/li_0_527#"
+merge "PHY_63/li_0_527#" "_121_/li_0_527#"
+merge "_121_/li_0_527#" "_121_/li_51_367#"
+merge "_121_/li_51_367#" "_121_/li_155_527#"
+merge "_121_/li_155_527#" "_128_/li_0_527#"
+merge "_128_/li_0_527#" "_121_/li_236_367#"
+merge "PHY_71/li_0_n17#" "_173_/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_0_n17#" "FILLER_19_59/li_0_n17#"
+merge "FILLER_19_59/li_0_n17#" "FILLER_19_51/li_0_n17#"
+merge "FILLER_19_51/li_0_n17#" "_182_/li_1719_n17#"
+merge "_182_/li_1719_n17#" "_183_/li_1627_n17#"
+merge "_183_/li_1627_n17#" "_129_/li_0_n17#"
+merge "_129_/li_0_n17#" "FILLER_18_52/li_0_n17#"
+merge "FILLER_18_52/li_0_n17#" "_183_/li_1719_n17#"
+merge "_183_/li_1719_n17#" "_129_/li_155_n17#"
+merge "_101_/li_523_527#" "_101_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_101_/li_247_527#" "_101_/li_339_527#"
+merge "_101_/li_339_527#" "_101_/li_155_527#"
+merge "_101_/li_155_527#" "FILLER_12_84/li_0_527#"
+merge "FILLER_12_84/li_0_527#" "_125_/li_615_527#"
+merge "_125_/li_615_527#" "_178_/li_339_527#"
+merge "_178_/li_339_527#" "_178_/li_431_527#"
+merge "_178_/li_431_527#" "_178_/li_523_527#"
+merge "_178_/li_523_527#" "_178_/li_615_527#"
+merge "_178_/li_615_527#" "_178_/li_707_527#"
+merge "_178_/li_707_527#" "PHY_60/li_0_527#"
+merge "PHY_60/li_0_527#" "_101_/li_0_527#"
+merge "_101_/li_0_527#" "FILLER_11_89/li_0_527#"
+merge "FILLER_11_89/li_0_527#" "_178_/li_799_527#"
+merge "_178_/li_799_527#" "_158_/li_0_527#"
+merge "_158_/li_0_527#" "_158_/li_63_527#"
+merge "_125_/li_247_527#" "_178_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_178_/li_0_527#" "FILLER_12_69/li_0_527#"
+merge "FILLER_12_69/li_0_527#" "_097_/li_707_527#"
+merge "_097_/li_707_527#" "_132_/li_431_527#"
+merge "_132_/li_431_527#" "_132_/li_523_527#"
+merge "_132_/li_523_527#" "_132_/li_615_527#"
+merge "_132_/li_615_527#" "_132_/li_707_527#"
+merge "_132_/li_707_527#" "_125_/li_63_527#"
+merge "_125_/li_63_527#" "_125_/li_0_527#"
+merge "_125_/li_0_527#" "_125_/li_155_527#"
+merge "_125_/li_155_527#" "FILLER_11_72/li_0_527#"
+merge "FILLER_11_72/li_0_527#" "_132_/li_799_527#"
+merge "_132_/li_615_n17#" "_177_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_799_n17#" "_129_/li_1075_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_1075_n17#" "_129_/li_1167_n17#"
+merge "FILLER_17_21/li_0_n17#" "_083_/li_707_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_083_/li_707_n17#" "_167_/li_523_n17#"
+merge "_167_/li_523_n17#" "_167_/li_615_n17#"
+merge "_167_/li_615_n17#" "_167_/li_707_n17#"
+merge "_167_/li_707_n17#" "_184_/li_0_n17#"
+merge "_184_/li_0_n17#" "_184_/li_247_n17#"
+merge "_184_/li_247_n17#" "_184_/li_63_n17#"
+merge "_184_/li_63_n17#" "FILLER_16_23/li_0_n17#"
+merge "FILLER_16_23/li_0_n17#" "_167_/li_799_n17#"
+merge "_167_/li_799_n17#" "PHY_65/li_0_n17#"
+merge "PHY_65/li_0_n17#" "_091_/li_0_n17#"
+merge "_091_/li_0_n17#" "_091_/li_63_n17#"
+merge "PHY_26/li_0_n17#" "_088_/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_0_n17#" "FILLER_12_3/li_0_n17#"
+merge "FILLER_12_3/li_0_n17#" "PHY_24/li_0_n17#"
+merge "FILLER_13_117/li_155_n17#" "FILLER_13_117/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_13_117/li_63_n17#" "FILLER_13_117/li_0_n17#"
+merge "FILLER_13_117/li_0_n17#" "PHY_27/li_0_n17#"
+merge "PHY_27/li_0_n17#" "FILLER_12_113/li_0_n17#"
+merge "FILLER_12_113/li_0_n17#" "FILLER_13_105/li_0_n17#"
+merge "FILLER_13_105/li_0_n17#" "_128_/li_615_n17#"
+merge "_128_/li_615_n17#" "_128_/li_339_n17#"
+merge "_128_/li_339_n17#" "_128_/li_707_n17#"
+merge "_128_/li_707_n17#" "_128_/li_523_n17#"
+merge "_128_/li_523_n17#" "_128_/li_404_17#"
+merge "_128_/li_404_17#" "FILLER_12_101/li_0_n17#"
+merge "FILLER_12_101/li_0_n17#" "_101_/li_615_n17#"
+merge "_101_/li_615_n17#" "PHY_25/li_0_n17#"
+merge "_101_/Y" "_117_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_117_/B" "_160_/B"
+merge "_160_/B" "li_2237_7293#"
+merge "_172_/li_523_n17#" "_100_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_147_/li_63_n17#" "_146_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_085_/X" "_124_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_124_/C" "_090_/A"
+merge "_090_/A" "_087_/B"
+merge "_087_/B" "_086_/A"
+merge "_086_/A" "_123_/A"
+merge "_123_/A" "li_2881_10149#"
+merge "_091_/li_247_527#" "_135_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_9_40/li_0_n17#" "_115_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_115_/li_431_n17#" "_115_/li_339_n17#"
+merge "_115_/li_339_n17#" "_115_/li_247_n17#"
+merge "_115_/li_247_n17#" "_115_/li_155_n17#"
+merge "_115_/li_155_n17#" "_093_/li_0_n17#"
+merge "_093_/li_0_n17#" "FILLER_8_38/li_0_n17#"
+merge "FILLER_8_38/li_0_n17#" "_123_/li_339_n17#"
+merge "_123_/li_339_n17#" "_086_/li_0_n17#"
+merge "_086_/li_0_n17#" "_093_/li_155_n17#"
+merge "_106_/A1" "_105_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_105_/B" "_175_/A"
+merge "_175_/A" "_120_/Y"
+merge "_120_/Y" "_119_/A"
+merge "_119_/A" "_171_/A"
+merge "_171_/A" "li_3065_7769#"
+merge "_154_/Y" "_155_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_155_/B" "li_1961_7837#"
+merge "_102_/li_523_n17#" "_131_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_119_/li_63_527#" "_146_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_146_/li_155_527#" "_146_/li_247_527#"
+merge "FILLER_6_111/li_0_527#" "PHY_13/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_13/li_0_527#" "_147_/li_431_527#"
+merge "_147_/li_431_527#" "FILLER_5_113/li_0_527#"
+merge "FILLER_5_113/li_0_527#" "_147_/li_339_527#"
+merge "_147_/li_339_527#" "_147_/li_247_527#"
+merge "_147_/li_247_527#" "_147_/li_155_527#"
+merge "_147_/li_155_527#" "_147_/li_0_527#"
+merge "_147_/li_0_527#" "FILLER_6_98/li_0_527#"
+merge "FILLER_6_98/li_0_527#" "_136_/li_431_527#"
+merge "_136_/li_431_527#" "_159_/li_155_527#"
+merge "_159_/li_155_527#" "_159_/li_220_367#"
+merge "_159_/li_220_367#" "_159_/li_339_527#"
+merge "_159_/li_339_527#" "FILLER_5_101/li_0_527#"
+merge "FILLER_5_101/li_0_527#" "_159_/li_431_527#"
+merge "_159_/li_431_527#" "PHY_11/li_0_527#"
+merge "_180_/B" "_153_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/A" "_118_/Y"
+merge "_118_/Y" "_081_/A"
+merge "_081_/A" "li_1961_9061#"
+merge "_093_/li_339_527#" "_114_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/A1" "_146_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_146_/Y" "_166_/A"
+merge "_166_/A" "li_8033_11169#"
+merge "_173_/C1" "_172_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/Y" "li_7573_12733#"
+merge "_098_/li_236_367#" "_080_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_18_118/li_63_527#" "PHY_37/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_37/li_0_527#" "FILLER_17_118/li_63_527#"
+merge "FILLER_17_118/li_63_527#" "PHY_35/li_0_527#"
+merge "_091_/A" "_082_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_082_/Y" "_124_/A"
+merge "_124_/A" "_084_/A"
+merge "_084_/A" "_087_/A"
+merge "_087_/A" "li_2697_10149#"
+merge "_097_/li_339_527#" "_132_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_5_113/li_0_n17#" "FILLER_4_118/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_4_118/li_0_n17#" "FILLER_4_110/li_0_n17#"
+merge "FILLER_4_110/li_0_n17#" "FILLER_5_101/li_0_n17#"
+merge "FILLER_5_101/li_0_n17#" "FILLER_5_88/li_0_n17#"
+merge "FILLER_5_88/li_0_n17#" "_134_/li_247_n17#"
+merge "_134_/li_247_n17#" "_134_/li_339_n17#"
+merge "_134_/li_339_n17#" "_134_/li_155_n17#"
+merge "_134_/li_155_n17#" "_134_/li_0_n17#"
+merge "_134_/li_0_n17#" "FILLER_4_91/li_0_n17#"
+merge "FILLER_4_91/li_0_n17#" "FILLER_4_85/li_0_n17#"
+merge "FILLER_4_85/li_0_n17#" "FILLER_5_75/li_0_n17#"
+merge "FILLER_5_75/li_0_n17#" "_116_/li_431_n17#"
+merge "_116_/li_431_n17#" "_116_/li_339_n17#"
+merge "_116_/li_339_n17#" "_116_/li_247_n17#"
+merge "_116_/li_247_n17#" "_116_/li_155_n17#"
+merge "_116_/li_155_n17#" "FILLER_4_73/li_0_n17#"
+merge "FILLER_4_73/li_0_n17#" "_133_/li_339_n17#"
+merge "_133_/li_339_n17#" "FILLER_4_91/li_63_n17#"
+merge "FILLER_4_91/li_63_n17#" "PHY_48/li_0_n17#"
+merge "PHY_48/li_0_n17#" "_174_/li_0_n17#"
+merge "_174_/li_0_n17#" "_159_/li_339_n17#"
+merge "_159_/li_339_n17#" "_159_/li_155_n17#"
+merge "_159_/li_155_n17#" "_159_/li_0_n17#"
+merge "_159_/li_0_n17#" "_174_/li_155_n17#"
+merge "_174_/li_155_n17#" "FILLER_4_98/li_0_n17#"
+merge "FILLER_4_98/li_0_n17#" "_174_/li_339_n17#"
+merge "_174_/li_339_n17#" "PHY_11/li_0_n17#"
+merge "PHY_11/li_0_n17#" "FILLER_4_118/li_63_n17#"
+merge "FILLER_4_118/li_63_n17#" "PHY_9/li_0_n17#"
+merge "_180_/li_431_527#" "_082_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/li_615_n17#" "_100_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_139_/li_247_n17#" "FILLER_13_8/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_13_8/li_0_n17#" "_088_/li_431_n17#"
+merge "_088_/li_431_n17#" "_118_/li_247_n17#"
+merge "_118_/li_247_n17#" "_139_/li_155_n17#"
+merge "_139_/li_155_n17#" "_139_/li_63_n17#"
+merge "_139_/li_63_n17#" "_139_/li_0_n17#"
+merge "_139_/li_0_n17#" "FILLER_12_10/li_0_n17#"
+merge "FILLER_12_10/li_0_n17#" "_118_/li_339_n17#"
+merge "_118_/li_339_n17#" "_087_/li_0_n17#"
+merge "_093_/X" "_094_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_094_/A" "li_3249_6205#"
+merge "_153_/li_155_n17#" "_153_/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/li_0_n17#" "_153_/li_51_17#"
+merge "_153_/li_51_17#" "FILLER_13_36/li_0_n17#"
+merge "FILLER_13_36/li_0_n17#" "_105_/li_339_n17#"
+merge "_105_/li_339_n17#" "_105_/li_431_n17#"
+merge "_105_/li_431_n17#" "_079_/li_155_n17#"
+merge "_079_/li_155_n17#" "_084_/li_0_n17#"
+merge "_084_/li_0_n17#" "FILLER_12_37/li_0_n17#"
+merge "FILLER_12_37/li_0_n17#" "_079_/li_339_n17#"
+merge "_092_/li_0_n17#" "FILLER_11_12/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_11_12/li_0_n17#" "_122_/li_247_n17#"
+merge "_122_/li_247_n17#" "_122_/li_339_n17#"
+merge "_122_/li_339_n17#" "_122_/li_155_n17#"
+merge "_122_/li_155_n17#" "FILLER_10_10/li_0_n17#"
+merge "FILLER_10_10/li_0_n17#" "_154_/li_339_n17#"
+merge "_154_/li_339_n17#" "_120_/li_0_n17#"
+merge "_120_/li_0_n17#" "_120_/li_155_n17#"
+merge "_120_/li_155_n17#" "_120_/li_247_n17#"
+merge "_167_/li_63_527#" "_096_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/X" "_101_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_101_/C" "_158_/B"
+merge "_158_/B" "uout[1]"
+merge "_099_/A1" "_135_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_135_/Y" "_105_/A"
+merge "_105_/A" "_174_/A"
+merge "_174_/A" "_134_/A"
+merge "_134_/A" "li_3893_9469#"
+merge "_180_/Y" "_181_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_181_/C" "li_1409_10081#"
+merge "_082_/li_155_n17#" "_082_/li_51_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_082_/li_51_17#" "_085_/li_523_n17#"
+merge "_147_/li_0_n17#" "_146_/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_146_/li_63_n17#" "_146_/li_0_n17#"
+merge "_146_/li_0_n17#" "FILLER_7_97/li_0_n17#"
+merge "FILLER_7_97/li_0_n17#" "FILLER_6_98/li_0_n17#"
+merge "FILLER_6_98/li_0_n17#" "_136_/li_339_n17#"
+merge "_136_/li_339_n17#" "_112_/li_431_n17#"
+merge "_098_/li_339_527#" "_080_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_431_n17#" "_129_/li_707_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/A1" "_091_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_091_/C" "_090_/Y"
+merge "_090_/Y" "_113_/A"
+merge "_113_/A" "li_4261_11237#"
+merge "_153_/li_155_527#" "_153_/li_51_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/li_51_367#" "_085_/li_523_527#"
+merge "_149_/X" "_177_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/C" "_150_/B"
+merge "_150_/B" "li_7113_11033#"
+merge "_182_/li_1627_n17#" "_183_/li_1535_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_175_/Y" "_176_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_176_/B" "li_8033_7225#"
+merge "_175_/li_523_n17#" "_175_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_175_/li_247_n17#" "_175_/li_339_n17#"
+merge "_175_/li_339_n17#" "_175_/li_155_n17#"
+merge "_175_/li_155_n17#" "_175_/li_0_n17#"
+merge "_175_/li_0_n17#" "FILLER_9_62/li_0_n17#"
+merge "FILLER_9_62/li_0_n17#" "PHY_55/li_0_n17#"
+merge "PHY_55/li_0_n17#" "FILLER_9_53/li_0_n17#"
+merge "FILLER_9_53/li_0_n17#" "_086_/li_339_n17#"
+merge "_086_/li_339_n17#" "_086_/li_155_n17#"
+merge "_086_/li_155_n17#" "FILLER_8_51/li_0_n17#"
+merge "FILLER_8_51/li_0_n17#" "_093_/li_339_n17#"
+merge "_093_/li_339_n17#" "_081_/li_0_n17#"
+merge "_081_/li_0_n17#" "_081_/li_155_n17#"
+merge "_081_/li_155_n17#" "_081_/li_247_n17#"
+merge "_081_/li_247_n17#" "FILLER_8_64/li_0_n17#"
+merge "FILLER_8_64/li_0_n17#" "_081_/li_339_n17#"
+merge "_081_/li_339_n17#" "_107_/li_0_n17#"
+merge "_107_/li_0_n17#" "_107_/li_63_n17#"
+merge "FILLER_19_6/li_0_527#" "_145_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_145_/li_247_527#" "_102_/li_0_527#"
+merge "_129_/A1" "_079_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_079_/A" "_141_/A"
+merge "_141_/A" "_162_/Y"
+merge "_162_/Y" "_161_/A"
+merge "_161_/A" "li_4261_9061#"
+merge "_102_/li_404_17#" "_131_/li_404_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_12_23/li_0_527#" "_087_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_087_/li_431_527#" "_092_/li_220_367#"
+merge "_092_/li_220_367#" "_092_/li_339_527#"
+merge "_092_/li_339_527#" "PHY_59/li_0_527#"
+merge "PHY_59/li_0_527#" "_079_/li_63_527#"
+merge "_079_/li_63_527#" "_079_/li_0_527#"
+merge "_079_/li_0_527#" "FILLER_11_25/li_0_527#"
+merge "FILLER_11_25/li_0_527#" "_092_/li_431_527#"
+merge "_092_/li_431_527#" "_143_/li_0_527#"
+merge "FILLER_10_111/li_0_527#" "PHY_21/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_21/li_0_527#" "_109_/li_247_527#"
+merge "_109_/li_247_527#" "FILLER_9_116/li_0_527#"
+merge "FILLER_9_116/li_0_527#" "FILLER_10_100/li_0_527#"
+merge "FILLER_10_100/li_0_527#" "_126_/li_615_527#"
+merge "_126_/li_615_527#" "_157_/li_155_527#"
+merge "_157_/li_155_527#" "_157_/li_247_527#"
+merge "_157_/li_247_527#" "_157_/li_339_527#"
+merge "_157_/li_339_527#" "_109_/li_0_527#"
+merge "_109_/li_0_527#" "_109_/li_63_527#"
+merge "_109_/li_63_527#" "FILLER_9_104/li_0_527#"
+merge "FILLER_9_104/li_0_527#" "_157_/li_431_527#"
+merge "_157_/li_431_527#" "PHY_19/li_0_527#"
+merge "_129_/li_799_527#" "_129_/li_879_451#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_879_451#" "_099_/li_155_527#"
+merge "FILLER_18_118/li_0_527#" "FILLER_18_114/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_18_114/li_0_527#" "FILLER_17_118/li_0_527#"
+merge "FILLER_17_118/li_0_527#" "FILLER_17_114/li_0_527#"
+merge "FILLER_17_114/li_0_527#" "FILLER_18_102/li_0_527#"
+merge "FILLER_18_102/li_0_527#" "_089_/li_707_527#"
+merge "_089_/li_707_527#" "_172_/li_799_527#"
+merge "_172_/li_799_527#" "FILLER_17_102/li_0_527#"
+merge "FILLER_17_102/li_0_527#" "_172_/li_891_527#"
+merge "_130_/X" "_131_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/A" "_167_/B"
+merge "_167_/B" "lout[1]"
+merge "_096_/X" "_097_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_097_/A" "li_2513_10761#"
+merge "_098_/li_51_17#" "_125_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/A2" "_147_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_147_/Y" "_166_/B"
+merge "_166_/B" "li_8217_11169#"
+merge "_089_/Y" "_129_/B2" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/B2" "_143_/A"
+merge "_143_/A" "_092_/A"
+merge "_092_/A" "li_3157_8381#"
+merge "_132_/X" "_177_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/A" "_133_/B"
+merge "_133_/B" "li_7389_7701#"
+merge "FILLER_19_89/li_0_n17#" "PHY_72/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_72/li_0_n17#" "FILLER_18_89/li_0_n17#"
+merge "FILLER_18_89/li_0_n17#" "FILLER_19_77/li_0_n17#"
+merge "FILLER_19_77/li_0_n17#" "_173_/li_1075_n17#"
+merge "_173_/li_1075_n17#" "_173_/li_1167_n17#"
+merge "_173_/li_1167_n17#" "_129_/li_1351_n17#"
+merge "_129_/li_1351_n17#" "FILLER_18_77/li_0_n17#"
+merge "FILLER_18_77/li_0_n17#" "_129_/li_1535_n17#"
+merge "_129_/li_1535_n17#" "PHY_69/li_0_n17#"
+merge "PHY_69/li_0_n17#" "_089_/li_0_n17#"
+merge "_089_/li_0_n17#" "_130_/li_0_n17#"
+merge "_130_/li_0_n17#" "_089_/li_51_17#"
+merge "FILLER_11_3/li_0_n17#" "PHY_22/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_22/li_0_n17#" "FILLER_10_3/li_0_n17#"
+merge "FILLER_10_3/li_0_n17#" "PHY_20/li_0_n17#"
+merge "PHY_20/li_0_n17#" "FILLER_10_3/li_63_n17#"
+merge "FILLER_10_3/li_63_n17#" "FILLER_10_3/li_155_n17#"
+merge "FILLER_10_3/li_155_n17#" "_154_/li_0_n17#"
+merge "_154_/li_0_n17#" "_122_/li_0_n17#"
+merge "_122_/li_0_n17#" "_154_/li_155_n17#"
+merge "_154_/li_155_n17#" "_154_/li_247_n17#"
+merge "_172_/B" "_121_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_121_/A" "_178_/Y"
+merge "_178_/Y" "_176_/A"
+merge "_176_/A" "li_8033_2941#"
+merge "_117_/C" "_154_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_154_/B" "_116_/Y"
+merge "_116_/Y" "li_1869_7905#"
+merge "_089_/A" "_129_/A3" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/A3" "_088_/Y"
+merge "_088_/Y" "_140_/A"
+merge "_140_/A" "li_1777_9537#"
+merge "_182_/li_523_n17#" "_183_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/li_155_n17#" "_128_/li_236_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/li_236_17#" "_101_/li_431_n17#"
+merge "_158_/C" "_112_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_112_/Y" "_116_/A"
+merge "_116_/A" "uout[0]"
+merge "_126_/li_431_527#" "_126_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_126_/li_247_527#" "_126_/li_339_527#"
+merge "_126_/li_339_527#" "_126_/li_155_527#"
+merge "_126_/li_155_527#" "FILLER_10_89/li_0_527#"
+merge "FILLER_10_89/li_0_527#" "PHY_57/li_0_527#"
+merge "PHY_57/li_0_527#" "_126_/li_63_527#"
+merge "_126_/li_63_527#" "_126_/li_0_527#"
+merge "_126_/li_0_527#" "_108_/li_0_527#"
+merge "_108_/li_0_527#" "FILLER_10_77/li_0_527#"
+merge "FILLER_10_77/li_0_527#" "_177_/li_707_527#"
+merge "_177_/li_707_527#" "FILLER_9_76/li_0_527#"
+merge "FILLER_9_76/li_0_527#" "_175_/li_707_527#"
+merge "_175_/li_707_527#" "_108_/li_57_393#"
+merge "_108_/li_57_393#" "_108_/li_155_527#"
+merge "_108_/li_155_527#" "_108_/li_247_527#"
+merge "_108_/li_247_527#" "_108_/li_339_527#"
+merge "_108_/li_339_527#" "FILLER_9_90/li_0_527#"
+merge "FILLER_9_90/li_0_527#" "_108_/li_431_527#"
+merge "_108_/li_431_527#" "_157_/li_0_527#"
+merge "_130_/li_155_n17#" "_089_/li_236_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_181_/li_63_527#" "PHY_28/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_28/li_0_527#" "PHY_26/li_0_527#"
+merge "PHY_26/li_0_527#" "_181_/li_0_527#"
+merge "_181_/li_0_527#" "_088_/li_0_527#"
+merge "_173_/A2" "_083_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_083_/Y" "_091_/B"
+merge "_091_/B" "_084_/B"
+merge "_084_/B" "_088_/A"
+merge "_088_/A" "_122_/B"
+merge "_122_/B" "li_1685_9469#"
+merge "_102_/A" "uempty" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/li_615_n17#" "_184_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/li_523_n17#" "_091_/li_247_n17#"
+merge "_099_/X" "_100_/A2" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/A2" "dout[1]"
+merge "FILLER_18_11/li_0_527#" "FILLER_17_11/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_11/li_0_527#" "FILLER_18_3/li_0_527#"
+merge "FILLER_18_3/li_0_527#" "PHY_36/li_0_527#"
+merge "PHY_36/li_0_527#" "FILLER_17_3/li_0_527#"
+merge "FILLER_17_3/li_0_527#" "PHY_34/li_0_527#"
+merge "PHY_34/li_0_527#" "FILLER_17_11/li_63_527#"
+merge "FILLER_17_11/li_63_527#" "_083_/li_0_527#"
+merge "_083_/li_0_527#" "_083_/li_51_367#"
+merge "_083_/li_51_367#" "_131_/li_0_527#"
+merge "_131_/li_0_527#" "_083_/li_155_527#"
+merge "_149_/li_523_527#" "_106_/li_427_451#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_164_/li_339_527#" "_138_/li_236_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_180_/D" "_139_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_139_/X" "_140_/B"
+merge "_140_/B" "_169_/B"
+merge "_169_/B" "li_2973_9333#"
+merge "FILLER_12_113/li_0_527#" "FILLER_11_117/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_11_117/li_0_527#" "FILLER_12_101/li_0_527#"
+merge "FILLER_12_101/li_0_527#" "_101_/li_615_527#"
+merge "_101_/li_615_527#" "_158_/li_247_527#"
+merge "_158_/li_247_527#" "_158_/li_339_527#"
+merge "_158_/li_339_527#" "_158_/li_431_527#"
+merge "_158_/li_431_527#" "_158_/li_523_527#"
+merge "_158_/li_523_527#" "_158_/li_615_527#"
+merge "_158_/li_615_527#" "FILLER_11_105/li_0_527#"
+merge "FILLER_11_105/li_0_527#" "_158_/li_707_527#"
+merge "_158_/li_707_527#" "FILLER_11_117/li_63_527#"
+merge "FILLER_11_117/li_63_527#" "PHY_25/li_0_527#"
+merge "PHY_25/li_0_527#" "FILLER_11_117/li_155_527#"
+merge "FILLER_11_117/li_155_527#" "PHY_23/li_0_527#"
+merge "_100_/B2" "_095_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_095_/A" "_110_/A"
+merge "_110_/A" "_094_/X"
+merge "_094_/X" "li_3433_6409#"
+merge "_121_/Y" "_133_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_133_/A" "_150_/A"
+merge "_150_/A" "li_7297_4029#"
+merge "PHY_61/li_0_527#" "FILLER_14_48/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_48/li_0_527#" "_153_/li_339_527#"
+merge "_153_/li_339_527#" "_153_/li_236_367#"
+merge "_153_/li_236_367#" "_085_/li_707_527#"
+merge "_085_/li_707_527#" "_153_/li_404_367#"
+merge "_153_/li_404_367#" "_153_/li_523_527#"
+merge "_153_/li_523_527#" "_153_/li_615_527#"
+merge "_153_/li_615_527#" "FILLER_13_53/li_0_527#"
+merge "FILLER_13_53/li_0_527#" "_153_/li_707_527#"
+merge "_153_/li_707_527#" "_142_/li_0_527#"
+merge "_142_/li_0_527#" "_142_/li_63_527#"
+merge "_142_/li_63_527#" "_142_/li_155_527#"
+merge "_142_/li_155_527#" "_142_/li_247_527#"
+merge "_142_/li_247_527#" "_142_/li_339_527#"
+merge "_142_/li_339_527#" "_142_/li_427_451#"
+merge "_142_/li_427_451#" "_090_/li_0_527#"
+merge "_090_/li_0_527#" "_142_/li_523_527#"
+merge "_167_/C" "_166_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_166_/Y" "lout[0]"
+merge "_165_/li_247_527#" "_165_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_165_/li_155_527#" "FILLER_5_21/li_0_527#"
+merge "FILLER_5_21/li_0_527#" "FILLER_6_15/li_0_527#"
+merge "FILLER_6_15/li_0_527#" "_165_/li_0_527#"
+merge "_165_/li_0_527#" "FILLER_5_15/li_0_527#"
+merge "FILLER_5_15/li_0_527#" "FILLER_6_3/li_0_527#"
+merge "FILLER_6_3/li_0_527#" "PHY_12/li_0_527#"
+merge "PHY_12/li_0_527#" "FILLER_5_3/li_0_527#"
+merge "FILLER_5_3/li_0_527#" "PHY_10/li_0_527#"
+merge "_180_/li_63_527#" "_082_/li_236_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_082_/li_236_367#" "_082_/li_339_527#"
+merge "_097_/li_523_527#" "_132_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_181_/B" "_125_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_125_/X" "_126_/B"
+merge "_126_/B" "hempty"
+merge "FILLER_0_118/li_63_527#" "PHY_1/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_167_/li_247_527#" "_096_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_096_/li_431_527#" "_096_/li_523_527#"
+merge "_091_/X" "_125_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_125_/A" "_092_/B"
+merge "_092_/B" "_114_/B"
+merge "_114_/B" "li_2973_8381#"
+merge "_132_/A" "_123_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_123_/Y" "li_4537_6885#"
+merge "PHY_61/li_0_n17#" "FILLER_13_53/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_13_53/li_0_n17#" "_153_/li_707_n17#"
+merge "_153_/li_707_n17#" "_097_/li_0_n17#"
+merge "_097_/li_0_n17#" "FILLER_12_52/li_0_n17#"
+merge "FILLER_12_52/li_0_n17#" "_084_/li_615_n17#"
+merge "_084_/li_615_n17#" "_097_/li_63_n17#"
+merge "_097_/li_63_n17#" "_090_/li_0_n17#"
+merge "_090_/li_0_n17#" "_097_/li_155_n17#"
+merge "_129_/li_1259_527#" "_099_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_082_/li_0_n17#" "FILLER_15_36/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_15_36/li_0_n17#" "_135_/li_799_n17#"
+merge "_135_/li_799_n17#" "_135_/li_615_n17#"
+merge "_135_/li_615_n17#" "_135_/li_707_n17#"
+merge "_135_/li_707_n17#" "FILLER_14_38/li_0_n17#"
+merge "FILLER_14_38/li_0_n17#" "_135_/li_431_n17#"
+merge "_135_/li_431_n17#" "_135_/li_247_n17#"
+merge "_135_/li_247_n17#" "_135_/li_523_n17#"
+merge "_135_/li_523_n17#" "_135_/li_339_n17#"
+merge "_135_/li_339_n17#" "_135_/li_155_n17#"
+merge "_135_/li_155_n17#" "_135_/li_0_n17#"
+merge "_135_/li_0_n17#" "FILLER_15_19/li_0_n17#"
+merge "FILLER_15_19/li_0_n17#" "_096_/li_615_n17#"
+merge "_096_/li_615_n17#" "_124_/li_155_n17#"
+merge "_124_/li_155_n17#" "_124_/li_247_n17#"
+merge "_124_/li_247_n17#" "FILLER_14_32/li_0_n17#"
+merge "FILLER_14_32/li_0_n17#" "FILLER_14_23/li_0_n17#"
+merge "FILLER_14_23/li_0_n17#" "_124_/li_431_n17#"
+merge "_124_/li_431_n17#" "PHY_62/li_0_n17#"
+merge "PHY_62/li_0_n17#" "FILLER_14_38/li_63_n17#"
+merge "FILLER_14_38/li_63_n17#" "_085_/li_0_n17#"
+merge "_085_/li_0_n17#" "_085_/li_63_n17#"
+merge "_085_/li_63_n17#" "_085_/li_155_n17#"
+merge "_085_/li_155_n17#" "_085_/li_247_n17#"
+merge "_085_/li_247_n17#" "_085_/li_339_n17#"
+merge "_085_/li_339_n17#" "_085_/li_407_17#"
+merge "_177_/B" "_175_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_175_/C" "_174_/X"
+merge "_174_/X" "li_7573_7905#"
+merge "_120_/li_339_527#" "_120_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_120_/li_247_527#" "_120_/li_63_527#"
+merge "_120_/li_63_527#" "_137_/li_0_527#"
+merge "_137_/li_0_527#" "FILLER_10_10/li_0_527#"
+merge "FILLER_10_10/li_0_527#" "_154_/li_431_527#"
+merge "_154_/li_431_527#" "_160_/li_63_527#"
+merge "_160_/li_63_527#" "_160_/li_247_527#"
+merge "_160_/li_247_527#" "_160_/li_339_527#"
+merge "_160_/li_339_527#" "_120_/li_0_527#"
+merge "_120_/li_0_527#" "FILLER_9_14/li_0_527#"
+merge "FILLER_9_14/li_0_527#" "_160_/li_431_527#"
+merge "_171_/li_339_527#" "_176_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/A3" "_098_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/A" "_097_/X"
+merge "_097_/X" "_104_/A"
+merge "_104_/A" "li_7297_9061#"
+merge "_139_/li_339_n17#" "_087_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_68/li_0_527#" "_090_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_523_527#" "_090_/li_404_367#"
+merge "_090_/li_404_367#" "_142_/li_983_527#"
+merge "_142_/li_983_527#" "_090_/li_615_527#"
+merge "_090_/li_615_527#" "FILLER_13_71/li_0_527#"
+merge "FILLER_13_71/li_0_527#" "_090_/li_707_527#"
+merge "_090_/li_707_527#" "_080_/li_0_527#"
+merge "_080_/li_0_527#" "_080_/li_63_527#"
+merge "_080_/li_63_527#" "_098_/li_0_527#"
+merge "_098_/li_0_527#" "_080_/li_247_527#"
+merge "_149_/li_339_527#" "_106_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_158_/li_155_n17#" "_126_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/li_220_367#" "_140_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/li_155_527#" "_148_/li_63_527#"
+merge "_119_/li_0_527#" "FILLER_8_98/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_8_98/li_0_527#" "_110_/li_431_527#"
+merge "_110_/li_431_527#" "_110_/li_339_527#"
+merge "_110_/li_339_527#" "_146_/li_0_527#"
+merge "_146_/li_0_527#" "FILLER_7_97/li_0_527#"
+merge "FILLER_7_97/li_0_527#" "_112_/li_431_527#"
+merge "FILLER_13_22/li_0_n17#" "_105_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_105_/li_155_n17#" "_105_/li_63_n17#"
+merge "_105_/li_63_n17#" "_105_/li_0_n17#"
+merge "_105_/li_0_n17#" "_105_/li_247_n17#"
+merge "_105_/li_247_n17#" "_139_/li_431_n17#"
+merge "_139_/li_431_n17#" "_087_/li_247_n17#"
+merge "_087_/li_247_n17#" "FILLER_12_23/li_0_n17#"
+merge "FILLER_12_23/li_0_n17#" "_087_/li_339_n17#"
+merge "_087_/li_339_n17#" "PHY_59/li_0_n17#"
+merge "PHY_59/li_0_n17#" "_079_/li_0_n17#"
+merge "_132_/li_707_n17#" "_177_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/B1" "_181_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_181_/A" "reset"
+merge "_173_/li_63_n17#" "_129_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_339_n17#" "_129_/li_431_n17#"
+merge "_147_/li_247_n17#" "_146_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_247_n17#" "_088_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_155_n17#" "FILLER_12_3/li_155_n17#"
+merge "FILLER_12_3/li_155_n17#" "_118_/li_0_n17#"
+merge "PHY_71/li_0_527#" "FILLER_19_59/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_59/li_0_527#" "FILLER_19_51/li_0_527#"
+merge "FILLER_19_51/li_0_527#" "_182_/li_1719_527#"
+merge "_182_/li_1719_527#" "_173_/li_0_527#"
+merge "_090_/li_155_n17#" "_097_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/li_63_527#" "_177_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/li_155_527#" "_175_/li_63_527#"
+merge "_175_/li_63_527#" "_175_/li_247_527#"
+merge "_129_/li_1167_527#" "_099_/li_523_451#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_118/li_63_527#" "FILLER_13_117/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_13_117/li_155_527#" "PHY_29/li_0_527#"
+merge "PHY_29/li_0_527#" "PHY_27/li_0_527#"
+merge "_181_/li_247_527#" "_088_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_247_527#" "_088_/li_339_527#"
+merge "_147_/li_155_n17#" "_146_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_17_66/li_63_n17#" "_099_/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_0_n17#" "_149_/li_247_n17#"
+merge "_149_/li_247_n17#" "_149_/li_339_n17#"
+merge "_083_/li_615_n17#" "_167_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_523_527#" "_172_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/li_339_n17#" "_084_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_118_/li_339_527#" "_118_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_118_/li_247_527#" "_122_/li_63_527#"
+merge "FILLER_0_118/li_0_527#" "FILLER_0_106/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_0_106/li_0_527#" "FILLER_0_94/li_0_527#"
+merge "FILLER_0_94/li_0_527#" "FILLER_0_87/li_0_527#"
+merge "FILLER_0_87/li_0_527#" "FILLER_0_75/li_0_527#"
+merge "FILLER_0_75/li_0_527#" "FILLER_0_63/li_0_527#"
+merge "FILLER_0_63/li_0_527#" "PHY_41/li_0_527#"
+merge "PHY_41/li_0_527#" "FILLER_0_56/li_0_527#"
+merge "FILLER_0_56/li_0_527#" "FILLER_0_44/li_0_527#"
+merge "FILLER_0_44/li_0_527#" "FILLER_0_32/li_0_527#"
+merge "FILLER_0_32/li_0_527#" "FILLER_0_27/li_0_527#"
+merge "FILLER_0_27/li_0_527#" "FILLER_0_15/li_0_527#"
+merge "FILLER_0_15/li_0_527#" "FILLER_0_3/li_0_527#"
+merge "FILLER_0_3/li_0_527#" "PHY_0/li_0_527#"
+merge "PHY_0/li_0_527#" "PHY_40/li_0_527#"
+merge "PHY_40/li_0_527#" "PHY_42/li_0_527#"
+merge "_090_/li_51_17#" "_097_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/li_63_527#" "_140_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_140_/li_0_527#" "FILLER_6_39/li_0_527#"
+merge "FILLER_6_39/li_0_527#" "_156_/li_431_527#"
+merge "_156_/li_431_527#" "_163_/li_339_527#"
+merge "_163_/li_339_527#" "FILLER_5_40/li_0_527#"
+merge "FILLER_5_40/li_0_527#" "_163_/li_431_527#"
+merge "_163_/li_431_527#" "_148_/li_0_527#"
+merge "_173_/li_983_n17#" "_129_/li_1259_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/li_339_n17#" "_100_/li_220_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/li_220_17#" "_100_/li_339_n17#"
+merge "_087_/li_63_527#" "_092_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_092_/li_0_527#" "FILLER_12_10/li_0_527#"
+merge "FILLER_12_10/li_0_527#" "_118_/li_431_527#"
+merge "_118_/li_431_527#" "_122_/li_247_527#"
+merge "_122_/li_247_527#" "_122_/li_339_527#"
+merge "_122_/li_339_527#" "_087_/li_0_527#"
+merge "_087_/li_0_527#" "FILLER_11_12/li_0_527#"
+merge "FILLER_11_12/li_0_527#" "_122_/li_431_527#"
+merge "_153_/li_523_n17#" "_084_/li_431_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_339_n17#" "_149_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_1075_527#" "_184_/li_1443_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/li_523_527#" "_175_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_175_/li_523_527#" "_175_/li_615_527#"
+merge "_156_/li_247_n17#" "_151_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_080_/C" "_079_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_079_/X" "_117_/A"
+merge "_117_/A" "li_4445_9061#"
+merge "FILLER_19_89/li_0_527#" "FILLER_19_77/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_77/li_0_527#" "_173_/li_1259_527#"
+merge "_173_/li_1259_527#" "PHY_72/li_0_527#"
+merge "PHY_72/li_0_527#" "_130_/li_0_527#"
+merge "_180_/li_247_527#" "_082_/li_404_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_431_n17#" "_149_/li_707_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_105_/X" "_107_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_107_/B" "li_4261_9401#"
+merge "_128_/li_155_527#" "_121_/li_404_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_247_527#" "_184_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/li_615_527#" "_184_/li_707_527#"
+merge "_182_/li_983_n17#" "_183_/li_891_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/li_247_n17#" "_168_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/li_155_n17#" "FILLER_2_48/li_63_n17#"
+merge "FILLER_2_48/li_63_n17#" "_169_/li_0_n17#"
+merge "_184_/Q" "_085_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_085_/A" "_096_/A"
+merge "_096_/A" "cbitout"
+merge "_172_/li_247_n17#" "_100_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_63_n17#" "FILLER_12_3/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_115_/A" "_113_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_113_/X" "li_4629_7293#"
+merge "_128_/li_236_367#" "_121_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_109_/A" "_108_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_108_/X" "li_9229_7497#"
+merge "_110_/Y" "_112_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_112_/A" "li_9781_6205#"
+merge "_090_/li_236_17#" "_097_/li_407_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_139_/li_339_527#" "_124_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_101_/B" "_086_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_086_/X" "li_5917_7429#"
+merge "_126_/li_523_527#" "_157_/li_57_393#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_118/li_0_527#" "FILLER_14_114/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_14_114/li_0_527#" "FILLER_13_117/li_0_527#"
+merge "FILLER_13_117/li_0_527#" "FILLER_14_102/li_0_527#"
+merge "FILLER_14_102/li_0_527#" "_128_/li_523_527#"
+merge "_128_/li_523_527#" "_128_/li_404_367#"
+merge "_128_/li_404_367#" "_121_/li_707_527#"
+merge "_121_/li_707_527#" "_128_/li_615_527#"
+merge "_128_/li_615_527#" "FILLER_13_105/li_0_527#"
+merge "FILLER_13_105/li_0_527#" "_128_/li_707_527#"
+merge "_128_/li_707_527#" "FILLER_13_117/li_63_527#"
+merge "_127_/A" "_126_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_126_/X" "li_10241_7973#"
+merge "_156_/B" "_155_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_155_/Y" "li_4537_5729#"
+merge "_099_/B2" "_098_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/Y" "_125_/B"
+merge "_125_/B" "_107_/A"
+merge "_107_/A" "li_7941_6817#"
+merge "_087_/li_339_527#" "_092_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_707_n17#" "_129_/li_983_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_8_111/li_0_527#" "_119_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_119_/li_431_527#" "FILLER_7_118/li_0_527#"
+merge "FILLER_7_118/li_0_527#" "FILLER_7_110/li_0_527#"
+merge "FILLER_7_110/li_0_527#" "_119_/li_339_527#"
+merge "_119_/li_339_527#" "_146_/li_431_527#"
+merge "_146_/li_431_527#" "PHY_17/li_0_527#"
+merge "PHY_17/li_0_527#" "FILLER_7_118/li_63_527#"
+merge "FILLER_7_118/li_63_527#" "PHY_15/li_0_527#"
+merge "_079_/li_155_527#" "_143_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/li_707_n17#" "_100_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_124_/X" "_132_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_132_/B" "li_3065_9877#"
+merge "FILLER_19_23/li_0_n17#" "PHY_70/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_70/li_0_n17#" "_182_/li_0_n17#"
+merge "_182_/li_0_n17#" "_102_/li_707_n17#"
+merge "_102_/li_707_n17#" "FILLER_18_32/li_0_n17#"
+merge "FILLER_18_32/li_0_n17#" "FILLER_18_23/li_0_n17#"
+merge "FILLER_18_23/li_0_n17#" "_131_/li_707_n17#"
+merge "_131_/li_707_n17#" "PHY_68/li_0_n17#"
+merge "_172_/C" "_103_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_103_/X" "_119_/B"
+merge "_119_/B" "_104_/B"
+merge "_104_/B" "li_6469_7769#"
+merge "_119_/li_247_527#" "_146_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_181_/li_155_527#" "_088_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_091_/li_155_527#" "_135_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_157_/X" "_159_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_159_/A" "li_10149_5117#"
+merge "_112_/B" "_111_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_111_/Y" "li_8493_5797#"
+merge "_130_/B2" "_127_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_127_/X" "_128_/A"
+merge "_128_/A" "_146_/A"
+merge "_146_/A" "li_10149_9469#"
+merge "_130_/li_52_17#" "_089_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/li_404_367#" "_083_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_108_/B" "_107_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_107_/X" "li_8125_6681#"
+merge "_173_/li_615_n17#" "_129_/li_891_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_1325_17#" "_183_/li_1259_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_118_/A" "_081_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_081_/Y" "li_1685_8993#"
+merge "FILLER_16_11/li_0_527#" "FILLER_15_11/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_15_11/li_0_527#" "FILLER_16_3/li_0_527#"
+merge "FILLER_16_3/li_0_527#" "PHY_32/li_0_527#"
+merge "PHY_32/li_0_527#" "FILLER_15_3/li_0_527#"
+merge "FILLER_15_3/li_0_527#" "PHY_30/li_0_527#"
+merge "PHY_30/li_0_527#" "FILLER_15_11/li_63_527#"
+merge "FILLER_15_11/li_63_527#" "_096_/li_0_527#"
+merge "_096_/li_0_527#" "_096_/li_63_527#"
+merge "_096_/li_63_527#" "_167_/li_0_527#"
+merge "_167_/li_0_527#" "_096_/li_155_527#"
+merge "_084_/li_431_527#" "_117_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_120_/B" "_119_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_119_/Y" "li_3065_7905#"
+merge "_129_/li_983_527#" "_099_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_115_/B" "_114_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_114_/X" "li_4445_7293#"
+merge "_165_/li_339_527#" "FILLER_5_21/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_5_21/li_63_527#" "_179_/li_0_527#"
+merge "_130_/li_339_n17#" "_089_/li_404_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_983_527#" "_184_/li_1325_371#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_106_/X" "_107_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_107_/C" "li_7113_10489#"
+merge "_122_/Y" "_123_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_123_/B" "li_2145_8313#"
+merge "_149_/li_799_527#" "_149_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/li_707_527#" "_106_/li_603_455#"
+merge "_118_/B" "_117_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_117_/X" "li_1869_8993#"
+merge "_182_/li_615_n17#" "_183_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_156_/li_339_527#" "_163_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_155_n17#" "_131_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_3_117/li_63_n17#" "FILLER_2_117/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_116_/li_63_n17#" "_133_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_136_/li_339_527#" "_159_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/li_51_367#" "_080_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/li_51_367#" "_083_/li_236_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_161_/li_247_527#" "_170_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_136_/li_155_n17#" "_112_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_083_/li_339_n17#" "_167_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_236_367#" "_172_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_134_/B" "_133_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_133_/Y" "li_7665_4777#"
+merge "_153_/li_236_17#" "_084_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_236_367#" "_142_/li_799_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_339_n17#" "_129_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_615_n17#" "_131_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_088_/li_339_n17#" "_118_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/li_339_527#" "_175_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_175_/li_339_527#" "_175_/li_431_527#"
+merge "_137_/B" "_136_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_136_/Y" "li_3433_7293#"
+merge "_093_/A" "dempty" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_23/li_0_527#" "_102_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_707_527#" "PHY_70/li_0_527#"
+merge "PHY_70/li_0_527#" "_182_/li_0_527#"
+merge "_183_/Q" "_184_/D" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_184_/D" "_082_/A"
+merge "_082_/A" "_122_/A"
+merge "_122_/A" "_114_/A"
+merge "_114_/A" "_148_/A"
+merge "_148_/A" "li_1869_8381#"
+merge "FILLER_8_3/li_155_527#" "FILLER_8_3/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_8_3/li_63_527#" "_164_/li_0_527#"
+merge "_164_/li_0_527#" "_164_/li_63_527#"
+merge "_164_/li_63_527#" "FILLER_8_3/li_0_527#"
+merge "FILLER_8_3/li_0_527#" "PHY_16/li_0_527#"
+merge "PHY_16/li_0_527#" "FILLER_7_3/li_0_527#"
+merge "FILLER_7_3/li_0_527#" "PHY_14/li_0_527#"
+merge "PHY_14/li_0_527#" "_138_/li_0_527#"
+merge "_138_/li_0_527#" "_138_/li_51_367#"
+merge "_184_/li_431_n17#" "_091_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_799_n17#" "_183_/li_680_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/li_155_527#" "_106_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_106_/li_63_527#" "_106_/li_155_527#"
+merge "_181_/X" "_156_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_156_/A" "_164_/A"
+merge "_164_/A" "_162_/A"
+merge "_162_/A" "_170_/A"
+merge "_170_/A" "li_1685_6817#"
+merge "_084_/li_339_527#" "_117_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_1259_527#" "_184_/li_1627_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_123_/li_339_527#" "_123_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_123_/li_247_527#" "_151_/li_63_527#"
+merge "_182_/Q" "_183_/D" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/D" "_083_/A"
+merge "_083_/A" "_124_/B"
+merge "_124_/B" "_086_/B"
+merge "_086_/B" "_148_/B"
+merge "_148_/B" "li_2881_9877#"
+merge "_165_/Y" "_168_/A" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/A" "li_3157_5661#"
+merge "_130_/li_523_n17#" "_089_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_139_/li_247_527#" "_124_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_160_/Y" "_161_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_161_/B" "li_2329_7225#"
+merge "_149_/B1" "_087_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_087_/Y" "_088_/B"
+merge "_088_/B" "li_1501_9469#"
+merge "FILLER_3_117/li_155_n17#" "PHY_7/li_0_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_7/li_0_n17#" "FILLER_2_117/li_155_n17#"
+merge "FILLER_2_117/li_155_n17#" "PHY_5/li_0_n17#"
+merge "_129_/li_1351_527#" "_099_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/li_339_527#" "_121_/li_615_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_109_/X" "_110_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_110_/B" "dout[0]"
+merge "_131_/li_236_367#" "_083_/li_404_367#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_135_/B" "_134_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_134_/Y" "li_4169_10557#"
+merge "_142_/X" "_143_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_143_/C" "li_4169_8381#"
+merge "_183_/li_523_527#" "_184_/li_891_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_799_527#" "_184_/li_1167_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/li_155_527#" "_083_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_164_/B" "_163_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_163_/Y" "li_1869_6817#"
+merge "_100_/li_1075_527#" "_127_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_133_/li_247_527#" "_150_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_158_/Y" "_159_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_159_/B" "li_9965_5049#"
+merge "_178_/A" "_176_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_176_/Y" "li_8309_3077#"
+merge "_125_/li_431_527#" "_125_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_125_/li_339_527#" "_178_/li_63_527#"
+merge "_180_/C" "_179_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_179_/Y" "li_3525_5049#"
+merge "_136_/li_247_n17#" "_112_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_153_/li_404_17#" "_084_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_079_/li_220_367#" "_143_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_090_/li_339_527#" "_142_/li_891_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_1075_n17#" "_183_/li_983_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_155_527#" "_172_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_51_17#" "_131_/li_51_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_092_/X" "_093_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_093_/B" "vempty"
+merge "_083_/li_236_17#" "_167_/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_178_/B" "_177_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_177_/X" "li_8125_7973#"
+merge "_098_/li_155_527#" "_080_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_108_/A" "_104_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_104_/X" "li_8769_6341#"
+merge "_180_/li_339_527#" "_082_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/D" "_171_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_171_/Y" "li_8401_3621#"
+merge "_182_/li_1535_n17#" "_183_/li_1443_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_115_/li_63_n17#" "_123_/li_247_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_615_527#" "_172_/li_707_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_161_/li_339_527#" "_170_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_143_/B" "_141_/X" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_141_/X" "li_4353_8585#"
+merge "_165_/B" "lin[1]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_339_n17#" "_131_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_707_n17#" "_149_/li_983_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_164_/li_247_527#" "_138_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/li_431_527#" "_106_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_167_/li_155_527#" "_096_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/li_51_367#" "_121_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_116/li_0_527#" "FILLER_19_108/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "FILLER_19_108/li_0_527#" "_130_/li_1259_527#"
+merge "_130_/li_1259_527#" "PHY_39/li_0_527#"
+merge "_171_/li_247_527#" "_176_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_110_/li_247_527#" "_112_/li_339_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_130_/li_220_17#" "_089_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_129_/li_1075_527#" "_099_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_404_367#" "_172_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_707_527#" "_184_/li_1075_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/li_339_527#" "_083_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_128_/li_51_17#" "_101_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_093_/li_220_367#" "_114_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_097_/li_407_367#" "_132_/li_155_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_102_/li_236_17#" "_131_/li_236_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_115_/Y" "_116_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_116_/B" "li_4721_7361#"
+merge "_182_/li_1259_n17#" "_183_/li_1167_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_087_/li_247_527#" "_092_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_089_/li_339_527#" "_172_/li_431_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_130_/B1" "rin[1]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/li_891_527#" "_127_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_131_/Y" "_132_/C" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_132_/C" "li_2881_12053#"
+merge "_182_/li_1443_n17#" "_183_/li_1325_17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_138_/A" "lempty" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_132_/li_523_n17#" "_177_/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_099_/li_799_n17#" "_149_/li_1075_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/li_155_n17#" "_125_/li_339_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_161_/li_63_527#" "_170_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_149_/li_615_527#" "_106_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_145_/X" "_146_/B" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_146_/B" "rout[0]"
+merge "FILLER_16_90/li_63_527#" "_095_/li_523_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_096_/li_523_n17#" "_124_/li_63_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "PHY_38/li_0_527#" "_145_/li_0_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_157_/B" "uin[1]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_172_/li_799_n17#" "_100_/li_707_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_173_/li_247_n17#" "_129_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_170_/B" "_169_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_169_/Y" "li_5917_3417#"
+merge "_103_/A" "uin[0]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_084_/li_523_527#" "_117_/li_311_358#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_182_/li_680_17#" "_183_/li_615_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/A1" "_095_/Y" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_095_/Y" "_111_/B"
+merge "_111_/B" "li_8125_5729#"
+merge "_183_/li_1167_527#" "_184_/li_1535_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_171_/li_63_527#" "_176_/li_63_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_130_/li_431_n17#" "_089_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_183_/li_431_527#" "_184_/li_799_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_168_/li_339_n17#" "_169_/li_155_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_100_/B1" "din[1]" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_098_/li_339_n17#" "_125_/li_523_n17#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "_110_/li_155_527#" "_112_/li_247_527#" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds
new file mode 100644
index 0000000..bb34a68
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds
Binary files differ
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef
new file mode 100644
index 0000000..58dc583
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef
@@ -0,0 +1,253 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO ycell
+  CLASS BLOCK ;
+  FOREIGN ycell ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 67.490 BY 78.210 ;
+  PIN cbitin
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 12.510 74.210 12.790 78.210 ;
+    END
+  END cbitin
+  PIN cbitout
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 6.530 0.000 6.810 4.000 ;
+    END
+  END cbitout
+  PIN confclk
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 7.450 74.210 7.730 78.210 ;
+    END
+  END confclk
+  PIN dempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 19.870 0.000 20.150 4.000 ;
+    END
+  END dempty
+  PIN din[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.210 74.210 33.490 78.210 ;
+    END
+  END din[0]
+  PIN din[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 54.440 67.490 55.040 ;
+    END
+  END din[1]
+  PIN dout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 23.160 67.490 23.760 ;
+    END
+  END dout[0]
+  PIN dout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 48.850 74.210 49.130 78.210 ;
+    END
+  END dout[1]
+  PIN hempty
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 23.090 74.210 23.370 78.210 ;
+    END
+  END hempty
+  PIN lempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 12.960 4.000 13.560 ;
+    END
+  END lempty
+  PIN lin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 38.800 4.000 39.400 ;
+    END
+  END lin[0]
+  PIN lin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 64.640 4.000 65.240 ;
+    END
+  END lin[1]
+  PIN lout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 38.730 74.210 39.010 78.210 ;
+    END
+  END lout[0]
+  PIN lout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 70.080 67.490 70.680 ;
+    END
+  END lout[1]
+  PIN rempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 7.520 67.490 8.120 ;
+    END
+  END rempty
+  PIN reset
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2.390 74.210 2.670 78.210 ;
+    END
+  END reset
+  PIN rin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 63.490 38.800 67.490 39.400 ;
+    END
+  END rin[0]
+  PIN rin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 54.370 74.210 54.650 78.210 ;
+    END
+  END rin[1]
+  PIN rout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 43.790 74.210 44.070 78.210 ;
+    END
+  END rout[0]
+  PIN rout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 59.430 74.210 59.710 78.210 ;
+    END
+  END rout[1]
+  PIN uempty
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 17.570 74.210 17.850 78.210 ;
+    END
+  END uempty
+  PIN uin[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 33.210 0.000 33.490 4.000 ;
+    END
+  END uin[0]
+  PIN uin[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 64.490 74.210 64.770 78.210 ;
+    END
+  END uin[1]
+  PIN uout[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 47.010 0.000 47.290 4.000 ;
+    END
+  END uout[0]
+  PIN uout[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 60.350 0.000 60.630 4.000 ;
+    END
+  END uout[1]
+  PIN vempty
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 28.150 74.210 28.430 78.210 ;
+    END
+  END vempty
+  PIN VPWR
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 14.075 10.640 15.675 65.520 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 23.425 10.640 25.025 65.520 ;
+    END
+  END VGND
+  OBS
+      LAYER li1 ;
+        RECT 5.520 10.795 61.640 65.365 ;
+      LAYER met1 ;
+        RECT 2.370 10.640 64.790 73.740 ;
+      LAYER met2 ;
+        RECT 2.950 73.930 7.170 74.210 ;
+        RECT 8.010 73.930 12.230 74.210 ;
+        RECT 13.070 73.930 17.290 74.210 ;
+        RECT 18.130 73.930 22.810 74.210 ;
+        RECT 23.650 73.930 27.870 74.210 ;
+        RECT 28.710 73.930 32.930 74.210 ;
+        RECT 33.770 73.930 38.450 74.210 ;
+        RECT 39.290 73.930 43.510 74.210 ;
+        RECT 44.350 73.930 48.570 74.210 ;
+        RECT 49.410 73.930 54.090 74.210 ;
+        RECT 54.930 73.930 59.150 74.210 ;
+        RECT 59.990 73.930 64.210 74.210 ;
+        RECT 2.400 4.280 64.760 73.930 ;
+        RECT 2.400 4.000 6.250 4.280 ;
+        RECT 7.090 4.000 19.590 4.280 ;
+        RECT 20.430 4.000 32.930 4.280 ;
+        RECT 33.770 4.000 46.730 4.280 ;
+        RECT 47.570 4.000 60.070 4.280 ;
+        RECT 60.910 4.000 64.760 4.280 ;
+      LAYER met3 ;
+        RECT 4.000 69.680 63.090 70.545 ;
+        RECT 4.000 65.640 63.490 69.680 ;
+        RECT 4.400 64.240 63.490 65.640 ;
+        RECT 4.000 55.440 63.490 64.240 ;
+        RECT 4.000 54.040 63.090 55.440 ;
+        RECT 4.000 39.800 63.490 54.040 ;
+        RECT 4.400 38.400 63.090 39.800 ;
+        RECT 4.000 24.160 63.490 38.400 ;
+        RECT 4.000 22.760 63.090 24.160 ;
+        RECT 4.000 13.960 63.490 22.760 ;
+        RECT 4.400 12.560 63.490 13.960 ;
+        RECT 4.000 8.520 63.490 12.560 ;
+        RECT 4.000 7.655 63.090 8.520 ;
+      LAYER met4 ;
+        RECT 16.075 10.640 23.025 65.520 ;
+        RECT 25.425 10.640 53.085 65.520 ;
+  END
+END ycell
+END LIBRARY
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef.mag b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef.mag
new file mode 100644
index 0000000..8caa871
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.lef.mag
@@ -0,0 +1,142 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606941355
+<< obsli1 >>
+rect 1104 2159 12328 13073
+<< obsm1 >>
+rect 474 2128 12958 14748
+<< metal2 >>
+rect 478 14842 534 15642
+rect 1490 14842 1546 15642
+rect 2502 14842 2558 15642
+rect 3514 14842 3570 15642
+rect 4618 14842 4674 15642
+rect 5630 14842 5686 15642
+rect 6642 14842 6698 15642
+rect 7746 14842 7802 15642
+rect 8758 14842 8814 15642
+rect 9770 14842 9826 15642
+rect 10874 14842 10930 15642
+rect 11886 14842 11942 15642
+rect 12898 14842 12954 15642
+rect 1306 0 1362 800
+rect 3974 0 4030 800
+rect 6642 0 6698 800
+rect 9402 0 9458 800
+rect 12070 0 12126 800
+<< obsm2 >>
+rect 590 14786 1434 14842
+rect 1602 14786 2446 14842
+rect 2614 14786 3458 14842
+rect 3626 14786 4562 14842
+rect 4730 14786 5574 14842
+rect 5742 14786 6586 14842
+rect 6754 14786 7690 14842
+rect 7858 14786 8702 14842
+rect 8870 14786 9714 14842
+rect 9882 14786 10818 14842
+rect 10986 14786 11830 14842
+rect 11998 14786 12842 14842
+rect 480 856 12952 14786
+rect 480 800 1250 856
+rect 1418 800 3918 856
+rect 4086 800 6586 856
+rect 6754 800 9346 856
+rect 9514 800 12014 856
+rect 12182 800 12952 856
+<< metal3 >>
+rect 12698 14016 13498 14136
+rect 0 12928 800 13048
+rect 12698 10888 13498 11008
+rect 0 7760 800 7880
+rect 12698 7760 13498 7880
+rect 12698 4632 13498 4752
+rect 0 2592 800 2712
+rect 12698 1504 13498 1624
+<< obsm3 >>
+rect 800 13936 12618 14109
+rect 800 13128 12698 13936
+rect 880 12848 12698 13128
+rect 800 11088 12698 12848
+rect 800 10808 12618 11088
+rect 800 7960 12698 10808
+rect 880 7680 12618 7960
+rect 800 4832 12698 7680
+rect 800 4552 12618 4832
+rect 800 2792 12698 4552
+rect 880 2512 12698 2792
+rect 800 1704 12698 2512
+rect 800 1531 12618 1704
+<< metal4 >>
+rect 2815 2128 3135 13104
+rect 4685 2128 5005 13104
+<< obsm4 >>
+rect 3215 2128 4605 13104
+rect 5085 2128 10617 13104
+<< labels >>
+rlabel metal2 s 2502 14842 2558 15642 6 cbitin
+port 1 nsew default input
+rlabel metal2 s 1306 0 1362 800 6 cbitout
+port 2 nsew default output
+rlabel metal2 s 1490 14842 1546 15642 6 confclk
+port 3 nsew default input
+rlabel metal2 s 3974 0 4030 800 6 dempty
+port 4 nsew default input
+rlabel metal2 s 6642 14842 6698 15642 6 din[0]
+port 5 nsew default input
+rlabel metal3 s 12698 10888 13498 11008 6 din[1]
+port 6 nsew default input
+rlabel metal3 s 12698 4632 13498 4752 6 dout[0]
+port 7 nsew default output
+rlabel metal2 s 9770 14842 9826 15642 6 dout[1]
+port 8 nsew default output
+rlabel metal2 s 4618 14842 4674 15642 6 hempty
+port 9 nsew default output
+rlabel metal3 s 0 2592 800 2712 6 lempty
+port 10 nsew default input
+rlabel metal3 s 0 7760 800 7880 6 lin[0]
+port 11 nsew default input
+rlabel metal3 s 0 12928 800 13048 6 lin[1]
+port 12 nsew default input
+rlabel metal2 s 7746 14842 7802 15642 6 lout[0]
+port 13 nsew default output
+rlabel metal3 s 12698 14016 13498 14136 6 lout[1]
+port 14 nsew default output
+rlabel metal3 s 12698 1504 13498 1624 6 rempty
+port 15 nsew default input
+rlabel metal2 s 478 14842 534 15642 6 reset
+port 16 nsew default input
+rlabel metal3 s 12698 7760 13498 7880 6 rin[0]
+port 17 nsew default input
+rlabel metal2 s 10874 14842 10930 15642 6 rin[1]
+port 18 nsew default input
+rlabel metal2 s 8758 14842 8814 15642 6 rout[0]
+port 19 nsew default output
+rlabel metal2 s 11886 14842 11942 15642 6 rout[1]
+port 20 nsew default output
+rlabel metal2 s 3514 14842 3570 15642 6 uempty
+port 21 nsew default input
+rlabel metal2 s 6642 0 6698 800 6 uin[0]
+port 22 nsew default input
+rlabel metal2 s 12898 14842 12954 15642 6 uin[1]
+port 23 nsew default input
+rlabel metal2 s 9402 0 9458 800 6 uout[0]
+port 24 nsew default output
+rlabel metal2 s 12070 0 12126 800 6 uout[1]
+port 25 nsew default output
+rlabel metal2 s 5630 14842 5686 15642 6 vempty
+port 26 nsew default output
+rlabel metal4 s 2815 2128 3135 13104 6 VPWR
+port 27 nsew power input
+rlabel metal4 s 4685 2128 5005 13104 6 VGND
+port 28 nsew ground input
+<< properties >>
+string LEFclass BLOCK
+string FIXED_BBOX 0 0 13498 15642
+string LEFview TRUE
+string GDS_FILE /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds
+string GDS_END 532056
+string GDS_START 176590
+<< end >>
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.mag b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.mag
new file mode 100644
index 0000000..42eeb11
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.mag
@@ -0,0 +1,11745 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606941354
+<< checkpaint >>
+rect -1260 -1260 14758 16902
+<< viali >>
+rect 1593 12937 1627 12971
+rect 7481 12801 7515 12835
+rect 8033 12801 8067 12835
+rect 10517 12801 10551 12835
+rect 1409 12733 1443 12767
+rect 3065 12733 3099 12767
+rect 4077 12733 4111 12767
+rect 4353 12733 4387 12767
+rect 7573 12733 7607 12767
+rect 7941 12733 7975 12767
+rect 9781 12733 9815 12767
+rect 10425 12733 10459 12767
+rect 10747 12733 10781 12767
+rect 10885 12733 10919 12767
+rect 3157 12665 3191 12699
+rect 6929 12665 6963 12699
+rect 5457 12597 5491 12631
+rect 5825 12325 5859 12359
+rect 6653 12325 6687 12359
+rect 3065 12257 3099 12291
+rect 4169 12257 4203 12291
+rect 7113 12257 7147 12291
+rect 7297 12257 7331 12291
+rect 7481 12257 7515 12291
+rect 8125 12257 8159 12291
+rect 9689 12257 9723 12291
+rect 9781 12257 9815 12291
+rect 4445 12189 4479 12223
+rect 7757 12189 7791 12223
+rect 2881 12053 2915 12087
+rect 3801 11713 3835 11747
+rect 2881 11645 2915 11679
+rect 4077 11645 4111 11679
+rect 7849 11645 7883 11679
+rect 8033 11645 8067 11679
+rect 8217 11645 8251 11679
+rect 8585 11645 8619 11679
+rect 8769 11645 8803 11679
+rect 9597 11645 9631 11679
+rect 9873 11645 9907 11679
+rect 10149 11645 10183 11679
+rect 10333 11645 10367 11679
+rect 2973 11577 3007 11611
+rect 7297 11577 7331 11611
+rect 5181 11509 5215 11543
+rect 9689 11509 9723 11543
+rect 4077 11237 4111 11271
+rect 4261 11237 4295 11271
+rect 2421 11169 2455 11203
+rect 2513 11169 2547 11203
+rect 2651 11169 2685 11203
+rect 4537 11169 4571 11203
+rect 5365 11169 5399 11203
+rect 5641 11169 5675 11203
+rect 5917 11169 5951 11203
+rect 6009 11169 6043 11203
+rect 7665 11169 7699 11203
+rect 8033 11169 8067 11203
+rect 8217 11169 8251 11203
+rect 10333 11169 10367 11203
+rect 10701 11169 10735 11203
+rect 10793 11169 10827 11203
+rect 3157 11101 3191 11135
+rect 6193 11101 6227 11135
+rect 7573 11101 7607 11135
+rect 10425 11101 10459 11135
+rect 7113 11033 7147 11067
+rect 9965 11033 9999 11067
+rect 4261 10965 4295 10999
+rect 2513 10761 2547 10795
+rect 2237 10557 2271 10591
+rect 2422 10557 2456 10591
+rect 3709 10557 3743 10591
+rect 4169 10557 4203 10591
+rect 4353 10557 4387 10591
+rect 5825 10557 5859 10591
+rect 7665 10557 7699 10591
+rect 7941 10557 7975 10591
+rect 8125 10557 8159 10591
+rect 9597 10557 9631 10591
+rect 10609 10557 10643 10591
+rect 7113 10489 7147 10523
+rect 8953 10489 8987 10523
+rect 10977 10489 11011 10523
+rect 5457 10421 5491 10455
+rect 8217 10217 8251 10251
+rect 2697 10149 2731 10183
+rect 2881 10149 2915 10183
+rect 5181 10149 5215 10183
+rect 1409 10081 1443 10115
+rect 4813 10081 4847 10115
+rect 6285 10081 6319 10115
+rect 7113 10081 7147 10115
+rect 7297 10081 7331 10115
+rect 8125 10081 8159 10115
+rect 8401 10081 8435 10115
+rect 9873 10081 9907 10115
+rect 1501 10013 1535 10047
+rect 6837 10013 6871 10047
+rect 8585 10013 8619 10047
+rect 9689 10013 9723 10047
+rect 1501 9877 1535 9911
+rect 1777 9877 1811 9911
+rect 2881 9877 2915 9911
+rect 3065 9877 3099 9911
+rect 1777 9537 1811 9571
+rect 2605 9537 2639 9571
+rect 1501 9469 1535 9503
+rect 1685 9469 1719 9503
+rect 2789 9469 2823 9503
+rect 3893 9469 3927 9503
+rect 4077 9469 4111 9503
+rect 5641 9469 5675 9503
+rect 6929 9469 6963 9503
+rect 8953 9469 8987 9503
+rect 10149 9469 10183 9503
+rect 4261 9401 4295 9435
+rect 10701 9401 10735 9435
+rect 2973 9333 3007 9367
+rect 5641 9333 5675 9367
+rect 7113 9333 7147 9367
+rect 8861 9333 8895 9367
+rect 3065 9129 3099 9163
+rect 1961 9061 1995 9095
+rect 4077 9061 4111 9095
+rect 4261 9061 4295 9095
+rect 4445 9061 4479 9095
+rect 5825 9061 5859 9095
+rect 7297 9061 7331 9095
+rect 8217 9061 8251 9095
+rect 1685 8993 1719 9027
+rect 1869 8993 1903 9027
+rect 2881 8993 2915 9027
+rect 3065 8993 3099 9027
+rect 5273 8993 5307 9027
+rect 5457 8993 5491 9027
+rect 6745 8993 6779 9027
+rect 8401 8993 8435 9027
+rect 8769 8993 8803 9027
+rect 9689 8993 9723 9027
+rect 9873 8993 9907 9027
+rect 10333 8993 10367 9027
+rect 9781 8789 9815 8823
+rect 4353 8585 4387 8619
+rect 4537 8585 4571 8619
+rect 5641 8585 5675 8619
+rect 7021 8517 7055 8551
+rect 4261 8449 4295 8483
+rect 9045 8449 9079 8483
+rect 10517 8449 10551 8483
+rect 1869 8381 1903 8415
+rect 1961 8381 1995 8415
+rect 2973 8381 3007 8415
+rect 3157 8381 3191 8415
+rect 4169 8381 4203 8415
+rect 5917 8381 5951 8415
+rect 6929 8381 6963 8415
+rect 7205 8381 7239 8415
+rect 8493 8381 8527 8415
+rect 8953 8381 8987 8415
+rect 10057 8381 10091 8415
+rect 10425 8381 10459 8415
+rect 2145 8313 2179 8347
+rect 3341 8313 3375 8347
+rect 5457 8313 5491 8347
+rect 7665 8313 7699 8347
+rect 5641 8245 5675 8279
+rect 10149 8245 10183 8279
+rect 5181 8041 5215 8075
+rect 8125 7973 8159 8007
+rect 9689 7973 9723 8007
+rect 10241 7973 10275 8007
+rect 1685 7905 1719 7939
+rect 1869 7905 1903 7939
+rect 2881 7905 2915 7939
+rect 3065 7905 3099 7939
+rect 4997 7905 5031 7939
+rect 6285 7905 6319 7939
+rect 7573 7905 7607 7939
+rect 7665 7905 7699 7939
+rect 9873 7905 9907 7939
+rect 11069 7905 11103 7939
+rect 1961 7837 1995 7871
+rect 4813 7837 4847 7871
+rect 6101 7837 6135 7871
+rect 3065 7769 3099 7803
+rect 6469 7769 6503 7803
+rect 7389 7701 7423 7735
+rect 11253 7701 11287 7735
+rect 9229 7497 9263 7531
+rect 5917 7429 5951 7463
+rect 4721 7361 4755 7395
+rect 7849 7361 7883 7395
+rect 10149 7361 10183 7395
+rect 1961 7293 1995 7327
+rect 2237 7293 2271 7327
+rect 3249 7293 3283 7327
+rect 3433 7293 3467 7327
+rect 4445 7293 4479 7327
+rect 4629 7293 4663 7327
+rect 5733 7293 5767 7327
+rect 7389 7293 7423 7327
+rect 7757 7293 7791 7327
+rect 8861 7293 8895 7327
+rect 9045 7293 9079 7327
+rect 10333 7293 10367 7327
+rect 2329 7225 2363 7259
+rect 5549 7225 5583 7259
+rect 8033 7225 8067 7259
+rect 3433 7157 3467 7191
+rect 10517 7157 10551 7191
+rect 3065 6953 3099 6987
+rect 10977 6953 11011 6987
+rect 4537 6885 4571 6919
+rect 5365 6885 5399 6919
+rect 6929 6885 6963 6919
+rect 1685 6817 1719 6851
+rect 1869 6817 1903 6851
+rect 1961 6817 1995 6851
+rect 2881 6817 2915 6851
+rect 3065 6817 3099 6851
+rect 4169 6817 4203 6851
+rect 4353 6817 4387 6851
+rect 5549 6817 5583 6851
+rect 6653 6817 6687 6851
+rect 6745 6817 6779 6851
+rect 7757 6817 7791 6851
+rect 7941 6817 7975 6851
+rect 9781 6817 9815 6851
+rect 9965 6817 9999 6851
+rect 10885 6817 10919 6851
+rect 11069 6817 11103 6851
+rect 8125 6681 8159 6715
+rect 5641 6613 5675 6647
+rect 7757 6613 7791 6647
+rect 9781 6613 9815 6647
+rect 3433 6409 3467 6443
+rect 5825 6409 5859 6443
+rect 7481 6409 7515 6443
+rect 9689 6409 9723 6443
+rect 4629 6341 4663 6375
+rect 8769 6341 8803 6375
+rect 10885 6341 10919 6375
+rect 2421 6273 2455 6307
+rect 1777 6205 1811 6239
+rect 3249 6205 3283 6239
+rect 4445 6205 4479 6239
+rect 4629 6205 4663 6239
+rect 5549 6205 5583 6239
+rect 7113 6205 7147 6239
+rect 7297 6205 7331 6239
+rect 8401 6205 8435 6239
+rect 8585 6205 8619 6239
+rect 9597 6205 9631 6239
+rect 9781 6205 9815 6239
+rect 10793 6205 10827 6239
+rect 10977 6205 11011 6239
+rect 5733 6137 5767 6171
+rect 9781 5865 9815 5899
+rect 5825 5797 5859 5831
+rect 7021 5797 7055 5831
+rect 8493 5797 8527 5831
+rect 2881 5729 2915 5763
+rect 2973 5729 3007 5763
+rect 4353 5729 4387 5763
+rect 4537 5729 4571 5763
+rect 5457 5729 5491 5763
+rect 5641 5729 5675 5763
+rect 6653 5729 6687 5763
+rect 6837 5729 6871 5763
+rect 8125 5729 8159 5763
+rect 8401 5729 8435 5763
+rect 9781 5729 9815 5763
+rect 9873 5729 9907 5763
+rect 10885 5729 10919 5763
+rect 11069 5729 11103 5763
+rect 3157 5661 3191 5695
+rect 4537 5593 4571 5627
+rect 10977 5593 11011 5627
+rect 7665 5321 7699 5355
+rect 10241 5321 10275 5355
+rect 4629 5253 4663 5287
+rect 9045 5253 9079 5287
+rect 5917 5185 5951 5219
+rect 3249 5117 3283 5151
+rect 3433 5117 3467 5151
+rect 4445 5117 4479 5151
+rect 4629 5117 4663 5151
+rect 5641 5117 5675 5151
+rect 5825 5117 5859 5151
+rect 7573 5117 7607 5151
+rect 7849 5117 7883 5151
+rect 8769 5117 8803 5151
+rect 8953 5117 8987 5151
+rect 10149 5117 10183 5151
+rect 3525 5049 3559 5083
+rect 9965 5049 9999 5083
+rect 6285 4777 6319 4811
+rect 7665 4777 7699 4811
+rect 9873 4709 9907 4743
+rect 10057 4709 10091 4743
+rect 5089 4641 5123 4675
+rect 5273 4641 5307 4675
+rect 6193 4641 6227 4675
+rect 6377 4641 6411 4675
+rect 7389 4641 7423 4675
+rect 7573 4641 7607 4675
+rect 9689 4641 9723 4675
+rect 5273 4505 5307 4539
+rect 5549 4233 5583 4267
+rect 5549 4029 5583 4063
+rect 5733 4029 5767 4063
+rect 7297 4029 7331 4063
+rect 7573 4029 7607 4063
+rect 8585 4029 8619 4063
+rect 8769 4029 8803 4063
+rect 8861 3961 8895 3995
+rect 7389 3893 7423 3927
+rect 6929 3689 6963 3723
+rect 8401 3621 8435 3655
+rect 5641 3553 5675 3587
+rect 5825 3553 5859 3587
+rect 6837 3553 6871 3587
+rect 7021 3553 7055 3587
+rect 8033 3553 8067 3587
+rect 8217 3553 8251 3587
+rect 5917 3417 5951 3451
+rect 7113 3077 7147 3111
+rect 8309 3077 8343 3111
+rect 6837 2941 6871 2975
+rect 7021 2941 7055 2975
+rect 8033 2941 8067 2975
+rect 8217 2941 8251 2975
+<< metal1 >>
+rect 4522 14696 4528 14748
+rect 4580 14736 4586 14748
+rect 4614 14736 4620 14748
+rect 4580 14708 4620 14736
+rect 4580 14696 4586 14708
+rect 4614 14696 4620 14708
+rect 4672 14696 4678 14748
+rect 1578 13132 1584 13184
+rect 1636 13172 1642 13184
+rect 7466 13172 7472 13184
+rect 1636 13144 7472 13172
+rect 1636 13132 1642 13144
+rect 7466 13132 7472 13144
+rect 7524 13132 7530 13184
+rect 1104 13082 12328 13104
+rect 1104 13030 2852 13082
+rect 2904 13030 2916 13082
+rect 2968 13030 2980 13082
+rect 3032 13030 3044 13082
+rect 3096 13030 6594 13082
+rect 6646 13030 6658 13082
+rect 6710 13030 6722 13082
+rect 6774 13030 6786 13082
+rect 6838 13030 10335 13082
+rect 10387 13030 10399 13082
+rect 10451 13030 10463 13082
+rect 10515 13030 10527 13082
+rect 10579 13030 12328 13082
+rect 1104 13008 12328 13030
+rect 1581 12971 1639 12977
+rect 1581 12937 1593 12971
+rect 1627 12968 1639 12971
+rect 8754 12968 8760 12980
+rect 1627 12940 8760 12968
+rect 1627 12937 1639 12940
+rect 1581 12931 1639 12937
+rect 8754 12928 8760 12940
+rect 8812 12968 8818 12980
+rect 9490 12968 9496 12980
+rect 8812 12940 9496 12968
+rect 8812 12928 8818 12940
+rect 9490 12928 9496 12940
+rect 9548 12928 9554 12980
+rect 10686 12928 10692 12980
+rect 10744 12968 10750 12980
+rect 11882 12968 11888 12980
+rect 10744 12940 11888 12968
+rect 10744 12928 10750 12940
+rect 11882 12928 11888 12940
+rect 11940 12928 11946 12980
+rect 5074 12860 5080 12912
+rect 5132 12900 5138 12912
+rect 10870 12900 10876 12912
+rect 5132 12872 8064 12900
+rect 5132 12860 5138 12872
+rect 7466 12832 7472 12844
+rect 7427 12804 7472 12832
+rect 7466 12792 7472 12804
+rect 7524 12792 7530 12844
+rect 8036 12841 8064 12872
+rect 10520 12872 10876 12900
+rect 8021 12835 8079 12841
+rect 8021 12801 8033 12835
+rect 8067 12801 8079 12835
+rect 8021 12795 8079 12801
+rect 9858 12792 9864 12844
+rect 9916 12832 9922 12844
+rect 10520 12841 10548 12872
+rect 10870 12860 10876 12872
+rect 10928 12860 10934 12912
+rect 10505 12835 10563 12841
+rect 9916 12804 10456 12832
+rect 9916 12792 9922 12804
+rect 1394 12764 1400 12776
+rect 1355 12736 1400 12764
+rect 1394 12724 1400 12736
+rect 1452 12724 1458 12776
+rect 3053 12767 3111 12773
+rect 3053 12733 3065 12767
+rect 3099 12764 3111 12767
+rect 3510 12764 3516 12776
+rect 3099 12736 3516 12764
+rect 3099 12733 3111 12736
+rect 3053 12727 3111 12733
+rect 3510 12724 3516 12736
+rect 3568 12724 3574 12776
+rect 4062 12764 4068 12776
+rect 4023 12736 4068 12764
+rect 4062 12724 4068 12736
+rect 4120 12724 4126 12776
+rect 4154 12724 4160 12776
+rect 4212 12764 4218 12776
+rect 4341 12767 4399 12773
+rect 4341 12764 4353 12767
+rect 4212 12736 4353 12764
+rect 4212 12724 4218 12736
+rect 4341 12733 4353 12736
+rect 4387 12733 4399 12767
+rect 4341 12727 4399 12733
+rect 7561 12767 7619 12773
+rect 7561 12733 7573 12767
+rect 7607 12733 7619 12767
+rect 7561 12727 7619 12733
+rect 3145 12699 3203 12705
+rect 3145 12665 3157 12699
+rect 3191 12696 3203 12699
+rect 3786 12696 3792 12708
+rect 3191 12668 3792 12696
+rect 3191 12665 3203 12668
+rect 3145 12659 3203 12665
+rect 3786 12656 3792 12668
+rect 3844 12656 3850 12708
+rect 6917 12699 6975 12705
+rect 6917 12696 6929 12699
+rect 5000 12668 6929 12696
+rect 3694 12588 3700 12640
+rect 3752 12628 3758 12640
+rect 5000 12628 5028 12668
+rect 6917 12665 6929 12668
+rect 6963 12665 6975 12699
+rect 7576 12696 7604 12727
+rect 7926 12724 7932 12776
+rect 7984 12764 7990 12776
+rect 7984 12736 8029 12764
+rect 7984 12724 7990 12736
+rect 9582 12724 9588 12776
+rect 9640 12764 9646 12776
+rect 10428 12773 10456 12804
+rect 10505 12801 10517 12835
+rect 10551 12801 10563 12835
+rect 10505 12795 10563 12801
+rect 9769 12767 9827 12773
+rect 9769 12764 9781 12767
+rect 9640 12736 9781 12764
+rect 9640 12724 9646 12736
+rect 9769 12733 9781 12736
+rect 9815 12733 9827 12767
+rect 9769 12727 9827 12733
+rect 10413 12767 10471 12773
+rect 10413 12733 10425 12767
+rect 10459 12733 10471 12767
+rect 10413 12727 10471 12733
+rect 10686 12724 10692 12776
+rect 10744 12773 10750 12776
+rect 10744 12767 10793 12773
+rect 10744 12733 10747 12767
+rect 10781 12733 10793 12767
+rect 10870 12764 10876 12776
+rect 10831 12736 10876 12764
+rect 10744 12727 10793 12733
+rect 10744 12724 10750 12727
+rect 10870 12724 10876 12736
+rect 10928 12724 10934 12776
+rect 9674 12696 9680 12708
+rect 7576 12668 9680 12696
+rect 6917 12659 6975 12665
+rect 9674 12656 9680 12668
+rect 9732 12656 9738 12708
+rect 5442 12628 5448 12640
+rect 3752 12600 5028 12628
+rect 5403 12600 5448 12628
+rect 3752 12588 3758 12600
+rect 5442 12588 5448 12600
+rect 5500 12588 5506 12640
+rect 1104 12538 12328 12560
+rect 1104 12486 4723 12538
+rect 4775 12486 4787 12538
+rect 4839 12486 4851 12538
+rect 4903 12486 4915 12538
+rect 4967 12486 8464 12538
+rect 8516 12486 8528 12538
+rect 8580 12486 8592 12538
+rect 8644 12486 8656 12538
+rect 8708 12486 12328 12538
+rect 1104 12464 12328 12486
+rect 474 12384 480 12436
+rect 532 12424 538 12436
+rect 1578 12424 1584 12436
+rect 532 12396 1584 12424
+rect 532 12384 538 12396
+rect 1578 12384 1584 12396
+rect 1636 12384 1642 12436
+rect 2498 12384 2504 12436
+rect 2556 12424 2562 12436
+rect 4154 12424 4160 12436
+rect 2556 12396 4160 12424
+rect 2556 12384 2562 12396
+rect 4154 12384 4160 12396
+rect 4212 12384 4218 12436
+rect 9582 12424 9588 12436
+rect 4264 12396 9588 12424
+rect 4264 12356 4292 12396
+rect 9582 12384 9588 12396
+rect 9640 12384 9646 12436
+rect 3068 12328 4292 12356
+rect 5813 12359 5871 12365
+rect 3068 12297 3096 12328
+rect 5813 12325 5825 12359
+rect 5859 12356 5871 12359
+rect 6270 12356 6276 12368
+rect 5859 12328 6276 12356
+rect 5859 12325 5871 12328
+rect 5813 12319 5871 12325
+rect 6270 12316 6276 12328
+rect 6328 12316 6334 12368
+rect 6641 12359 6699 12365
+rect 6641 12325 6653 12359
+rect 6687 12356 6699 12359
+rect 10686 12356 10692 12368
+rect 6687 12328 10692 12356
+rect 6687 12325 6699 12328
+rect 6641 12319 6699 12325
+rect 10686 12316 10692 12328
+rect 10744 12316 10750 12368
+rect 3053 12291 3111 12297
+rect 3053 12257 3065 12291
+rect 3099 12288 3111 12291
+rect 3142 12288 3148 12300
+rect 3099 12260 3148 12288
+rect 3099 12257 3111 12260
+rect 3053 12251 3111 12257
+rect 3142 12248 3148 12260
+rect 3200 12248 3206 12300
+rect 4062 12248 4068 12300
+rect 4120 12288 4126 12300
+rect 4157 12291 4215 12297
+rect 4157 12288 4169 12291
+rect 4120 12260 4169 12288
+rect 4120 12248 4126 12260
+rect 4157 12257 4169 12260
+rect 4203 12257 4215 12291
+rect 4157 12251 4215 12257
+rect 5258 12248 5264 12300
+rect 5316 12288 5322 12300
+rect 7098 12288 7104 12300
+rect 5316 12260 6684 12288
+rect 7059 12260 7104 12288
+rect 5316 12248 5322 12260
+rect 1486 12180 1492 12232
+rect 1544 12220 1550 12232
+rect 4080 12220 4108 12248
+rect 4433 12223 4491 12229
+rect 4433 12220 4445 12223
+rect 1544 12192 4108 12220
+rect 4172 12192 4445 12220
+rect 1544 12180 1550 12192
+rect 3510 12112 3516 12164
+rect 3568 12152 3574 12164
+rect 4172 12152 4200 12192
+rect 4433 12189 4445 12192
+rect 4479 12220 4491 12223
+rect 5442 12220 5448 12232
+rect 4479 12192 5448 12220
+rect 4479 12189 4491 12192
+rect 4433 12183 4491 12189
+rect 5442 12180 5448 12192
+rect 5500 12180 5506 12232
+rect 6656 12220 6684 12260
+rect 7098 12248 7104 12260
+rect 7156 12248 7162 12300
+rect 7282 12288 7288 12300
+rect 7243 12260 7288 12288
+rect 7282 12248 7288 12260
+rect 7340 12248 7346 12300
+rect 7466 12288 7472 12300
+rect 7427 12260 7472 12288
+rect 7466 12248 7472 12260
+rect 7524 12248 7530 12300
+rect 8113 12291 8171 12297
+rect 8113 12257 8125 12291
+rect 8159 12288 8171 12291
+rect 9677 12291 9735 12297
+rect 9677 12288 9689 12291
+rect 8159 12260 9689 12288
+rect 8159 12257 8171 12260
+rect 8113 12251 8171 12257
+rect 9677 12257 9689 12260
+rect 9723 12257 9735 12291
+rect 9677 12251 9735 12257
+rect 9769 12291 9827 12297
+rect 9769 12257 9781 12291
+rect 9815 12257 9827 12291
+rect 9769 12251 9827 12257
+rect 7745 12223 7803 12229
+rect 7745 12220 7757 12223
+rect 6656 12192 7757 12220
+rect 7745 12189 7757 12192
+rect 7791 12189 7803 12223
+rect 7745 12183 7803 12189
+rect 3568 12124 4200 12152
+rect 3568 12112 3574 12124
+rect 2869 12087 2927 12093
+rect 2869 12053 2881 12087
+rect 2915 12084 2927 12087
+rect 3602 12084 3608 12096
+rect 2915 12056 3608 12084
+rect 2915 12053 2927 12056
+rect 2869 12047 2927 12053
+rect 3602 12044 3608 12056
+rect 3660 12044 3666 12096
+rect 7190 12044 7196 12096
+rect 7248 12084 7254 12096
+rect 8128 12084 8156 12251
+rect 8202 12180 8208 12232
+rect 8260 12220 8266 12232
+rect 9784 12220 9812 12251
+rect 8260 12192 9812 12220
+rect 8260 12180 8266 12192
+rect 7248 12056 8156 12084
+rect 7248 12044 7254 12056
+rect 1104 11994 12328 12016
+rect 1104 11942 2852 11994
+rect 2904 11942 2916 11994
+rect 2968 11942 2980 11994
+rect 3032 11942 3044 11994
+rect 3096 11942 6594 11994
+rect 6646 11942 6658 11994
+rect 6710 11942 6722 11994
+rect 6774 11942 6786 11994
+rect 6838 11942 10335 11994
+rect 10387 11942 10399 11994
+rect 10451 11942 10463 11994
+rect 10515 11942 10527 11994
+rect 10579 11942 12328 11994
+rect 1104 11920 12328 11942
+rect 4246 11840 4252 11892
+rect 4304 11880 4310 11892
+rect 7466 11880 7472 11892
+rect 4304 11852 7472 11880
+rect 4304 11840 4310 11852
+rect 7466 11840 7472 11852
+rect 7524 11840 7530 11892
+rect 8938 11880 8944 11892
+rect 7944 11852 8944 11880
+rect 3789 11747 3847 11753
+rect 3789 11713 3801 11747
+rect 3835 11744 3847 11747
+rect 3970 11744 3976 11756
+rect 3835 11716 3976 11744
+rect 3835 11713 3847 11716
+rect 3789 11707 3847 11713
+rect 3970 11704 3976 11716
+rect 4028 11704 4034 11756
+rect 7466 11704 7472 11756
+rect 7524 11744 7530 11756
+rect 7742 11744 7748 11756
+rect 7524 11716 7748 11744
+rect 7524 11704 7530 11716
+rect 7742 11704 7748 11716
+rect 7800 11704 7806 11756
+rect 7944 11744 7972 11852
+rect 8938 11840 8944 11852
+rect 8996 11840 9002 11892
+rect 9582 11840 9588 11892
+rect 9640 11880 9646 11892
+rect 9640 11852 10180 11880
+rect 9640 11840 9646 11852
+rect 10042 11812 10048 11824
+rect 7852 11716 7972 11744
+rect 8588 11784 10048 11812
+rect 2682 11636 2688 11688
+rect 2740 11676 2746 11688
+rect 2869 11679 2927 11685
+rect 2869 11676 2881 11679
+rect 2740 11648 2881 11676
+rect 2740 11636 2746 11648
+rect 2869 11645 2881 11648
+rect 2915 11676 2927 11679
+rect 3510 11676 3516 11688
+rect 2915 11648 3516 11676
+rect 2915 11645 2927 11648
+rect 2869 11639 2927 11645
+rect 3510 11636 3516 11648
+rect 3568 11636 3574 11688
+rect 3878 11636 3884 11688
+rect 3936 11676 3942 11688
+rect 4065 11679 4123 11685
+rect 4065 11676 4077 11679
+rect 3936 11648 4077 11676
+rect 3936 11636 3942 11648
+rect 4065 11645 4077 11648
+rect 4111 11676 4123 11679
+rect 6270 11676 6276 11688
+rect 4111 11648 6276 11676
+rect 4111 11645 4123 11648
+rect 4065 11639 4123 11645
+rect 6270 11636 6276 11648
+rect 6328 11636 6334 11688
+rect 7852 11685 7880 11716
+rect 7837 11679 7895 11685
+rect 7837 11645 7849 11679
+rect 7883 11645 7895 11679
+rect 8018 11676 8024 11688
+rect 7979 11648 8024 11676
+rect 7837 11639 7895 11645
+rect 8018 11636 8024 11648
+rect 8076 11636 8082 11688
+rect 8205 11679 8263 11685
+rect 8205 11645 8217 11679
+rect 8251 11676 8263 11679
+rect 8294 11676 8300 11688
+rect 8251 11648 8300 11676
+rect 8251 11645 8263 11648
+rect 8205 11639 8263 11645
+rect 8294 11636 8300 11648
+rect 8352 11636 8358 11688
+rect 8588 11685 8616 11784
+rect 8573 11679 8631 11685
+rect 8573 11645 8585 11679
+rect 8619 11645 8631 11679
+rect 8573 11639 8631 11645
+rect 8757 11679 8815 11685
+rect 8757 11645 8769 11679
+rect 8803 11676 8815 11679
+rect 8846 11676 8852 11688
+rect 8803 11648 8852 11676
+rect 8803 11645 8815 11648
+rect 8757 11639 8815 11645
+rect 8846 11636 8852 11648
+rect 8904 11636 8910 11688
+rect 9508 11676 9536 11784
+rect 10042 11772 10048 11784
+rect 10100 11772 10106 11824
+rect 9585 11679 9643 11685
+rect 9585 11676 9597 11679
+rect 9508 11648 9597 11676
+rect 9585 11645 9597 11648
+rect 9631 11645 9643 11679
+rect 9585 11639 9643 11645
+rect 9861 11679 9919 11685
+rect 9861 11645 9873 11679
+rect 9907 11676 9919 11679
+rect 9950 11676 9956 11688
+rect 9907 11648 9956 11676
+rect 9907 11645 9919 11648
+rect 9861 11639 9919 11645
+rect 9950 11636 9956 11648
+rect 10008 11636 10014 11688
+rect 10152 11685 10180 11852
+rect 10137 11679 10195 11685
+rect 10137 11645 10149 11679
+rect 10183 11645 10195 11679
+rect 10137 11639 10195 11645
+rect 10321 11679 10379 11685
+rect 10321 11645 10333 11679
+rect 10367 11676 10379 11679
+rect 10778 11676 10784 11688
+rect 10367 11648 10784 11676
+rect 10367 11645 10379 11648
+rect 10321 11639 10379 11645
+rect 10778 11636 10784 11648
+rect 10836 11636 10842 11688
+rect 2961 11611 3019 11617
+rect 2961 11577 2973 11611
+rect 3007 11608 3019 11611
+rect 3234 11608 3240 11620
+rect 3007 11580 3240 11608
+rect 3007 11577 3019 11580
+rect 2961 11571 3019 11577
+rect 3234 11568 3240 11580
+rect 3292 11568 3298 11620
+rect 7098 11608 7104 11620
+rect 5000 11580 7104 11608
+rect 2498 11500 2504 11552
+rect 2556 11540 2562 11552
+rect 5000 11540 5028 11580
+rect 7098 11568 7104 11580
+rect 7156 11568 7162 11620
+rect 7285 11611 7343 11617
+rect 7285 11577 7297 11611
+rect 7331 11608 7343 11611
+rect 9766 11608 9772 11620
+rect 7331 11580 9772 11608
+rect 7331 11577 7343 11580
+rect 7285 11571 7343 11577
+rect 9766 11568 9772 11580
+rect 9824 11608 9830 11620
+rect 10686 11608 10692 11620
+rect 9824 11580 10692 11608
+rect 9824 11568 9830 11580
+rect 10686 11568 10692 11580
+rect 10744 11568 10750 11620
+rect 5166 11540 5172 11552
+rect 2556 11512 5028 11540
+rect 5127 11512 5172 11540
+rect 2556 11500 2562 11512
+rect 5166 11500 5172 11512
+rect 5224 11500 5230 11552
+rect 5718 11500 5724 11552
+rect 5776 11540 5782 11552
+rect 7926 11540 7932 11552
+rect 5776 11512 7932 11540
+rect 5776 11500 5782 11512
+rect 7926 11500 7932 11512
+rect 7984 11500 7990 11552
+rect 9674 11540 9680 11552
+rect 9635 11512 9680 11540
+rect 9674 11500 9680 11512
+rect 9732 11500 9738 11552
+rect 1104 11450 12328 11472
+rect 1104 11398 4723 11450
+rect 4775 11398 4787 11450
+rect 4839 11398 4851 11450
+rect 4903 11398 4915 11450
+rect 4967 11398 8464 11450
+rect 8516 11398 8528 11450
+rect 8580 11398 8592 11450
+rect 8644 11398 8656 11450
+rect 8708 11398 12328 11450
+rect 1104 11376 12328 11398
+rect 2406 11296 2412 11348
+rect 2464 11336 2470 11348
+rect 7466 11336 7472 11348
+rect 2464 11308 7472 11336
+rect 2464 11296 2470 11308
+rect 7466 11296 7472 11308
+rect 7524 11296 7530 11348
+rect 9766 11296 9772 11348
+rect 9824 11336 9830 11348
+rect 9950 11336 9956 11348
+rect 9824 11308 9956 11336
+rect 9824 11296 9830 11308
+rect 9950 11296 9956 11308
+rect 10008 11296 10014 11348
+rect 3142 11268 3148 11280
+rect 2516 11240 3148 11268
+rect 2406 11200 2412 11212
+rect 2367 11172 2412 11200
+rect 2406 11160 2412 11172
+rect 2464 11160 2470 11212
+rect 2516 11209 2544 11240
+rect 3142 11228 3148 11240
+rect 3200 11228 3206 11280
+rect 4062 11268 4068 11280
+rect 4023 11240 4068 11268
+rect 4062 11228 4068 11240
+rect 4120 11228 4126 11280
+rect 4249 11271 4307 11277
+rect 4249 11237 4261 11271
+rect 4295 11268 4307 11271
+rect 5718 11268 5724 11280
+rect 4295 11240 5724 11268
+rect 4295 11237 4307 11240
+rect 4249 11231 4307 11237
+rect 5718 11228 5724 11240
+rect 5776 11228 5782 11280
+rect 6454 11228 6460 11280
+rect 6512 11268 6518 11280
+rect 9122 11268 9128 11280
+rect 6512 11240 9128 11268
+rect 6512 11228 6518 11240
+rect 9122 11228 9128 11240
+rect 9180 11228 9186 11280
+rect 9398 11228 9404 11280
+rect 9456 11268 9462 11280
+rect 9456 11240 10824 11268
+rect 9456 11228 9462 11240
+rect 2501 11203 2559 11209
+rect 2501 11169 2513 11203
+rect 2547 11169 2559 11203
+rect 2501 11163 2559 11169
+rect 2590 11160 2596 11212
+rect 2648 11209 2654 11212
+rect 2648 11203 2697 11209
+rect 2648 11169 2651 11203
+rect 2685 11169 2697 11203
+rect 4522 11200 4528 11212
+rect 4483 11172 4528 11200
+rect 2648 11163 2697 11169
+rect 2648 11160 2654 11163
+rect 4522 11160 4528 11172
+rect 4580 11160 4586 11212
+rect 4614 11160 4620 11212
+rect 4672 11200 4678 11212
+rect 5258 11200 5264 11212
+rect 4672 11172 5264 11200
+rect 4672 11160 4678 11172
+rect 5258 11160 5264 11172
+rect 5316 11200 5322 11212
+rect 5353 11203 5411 11209
+rect 5353 11200 5365 11203
+rect 5316 11172 5365 11200
+rect 5316 11160 5322 11172
+rect 5353 11169 5365 11172
+rect 5399 11169 5411 11203
+rect 5353 11163 5411 11169
+rect 5534 11160 5540 11212
+rect 5592 11200 5598 11212
+rect 5629 11203 5687 11209
+rect 5629 11200 5641 11203
+rect 5592 11172 5641 11200
+rect 5592 11160 5598 11172
+rect 5629 11169 5641 11172
+rect 5675 11169 5687 11203
+rect 5902 11200 5908 11212
+rect 5863 11172 5908 11200
+rect 5629 11163 5687 11169
+rect 5902 11160 5908 11172
+rect 5960 11160 5966 11212
+rect 5997 11203 6055 11209
+rect 5997 11169 6009 11203
+rect 6043 11169 6055 11203
+rect 5997 11163 6055 11169
+rect 3145 11135 3203 11141
+rect 3145 11101 3157 11135
+rect 3191 11132 3203 11135
+rect 3510 11132 3516 11144
+rect 3191 11104 3516 11132
+rect 3191 11101 3203 11104
+rect 3145 11095 3203 11101
+rect 3510 11092 3516 11104
+rect 3568 11092 3574 11144
+rect 4430 11092 4436 11144
+rect 4488 11132 4494 11144
+rect 6012 11132 6040 11163
+rect 7374 11160 7380 11212
+rect 7432 11200 7438 11212
+rect 7653 11203 7711 11209
+rect 7653 11200 7665 11203
+rect 7432 11172 7665 11200
+rect 7432 11160 7438 11172
+rect 7653 11169 7665 11172
+rect 7699 11169 7711 11203
+rect 7653 11163 7711 11169
+rect 8021 11203 8079 11209
+rect 8021 11169 8033 11203
+rect 8067 11169 8079 11203
+rect 8021 11163 8079 11169
+rect 8205 11203 8263 11209
+rect 8205 11169 8217 11203
+rect 8251 11200 8263 11203
+rect 9306 11200 9312 11212
+rect 8251 11172 9312 11200
+rect 8251 11169 8263 11172
+rect 8205 11163 8263 11169
+rect 4488 11104 6040 11132
+rect 6181 11135 6239 11141
+rect 4488 11092 4494 11104
+rect 6181 11101 6193 11135
+rect 6227 11101 6239 11135
+rect 6181 11095 6239 11101
+rect 1394 11024 1400 11076
+rect 1452 11064 1458 11076
+rect 6196 11064 6224 11095
+rect 7006 11092 7012 11144
+rect 7064 11132 7070 11144
+rect 7561 11135 7619 11141
+rect 7561 11132 7573 11135
+rect 7064 11104 7573 11132
+rect 7064 11092 7070 11104
+rect 7561 11101 7573 11104
+rect 7607 11101 7619 11135
+rect 7561 11095 7619 11101
+rect 1452 11036 6224 11064
+rect 7101 11067 7159 11073
+rect 1452 11024 1458 11036
+rect 7101 11033 7113 11067
+rect 7147 11064 7159 11067
+rect 7650 11064 7656 11076
+rect 7147 11036 7656 11064
+rect 7147 11033 7159 11036
+rect 7101 11027 7159 11033
+rect 7650 11024 7656 11036
+rect 7708 11024 7714 11076
+rect 8036 11064 8064 11163
+rect 9306 11160 9312 11172
+rect 9364 11160 9370 11212
+rect 10321 11203 10379 11209
+rect 10321 11169 10333 11203
+rect 10367 11200 10379 11203
+rect 10686 11200 10692 11212
+rect 10367 11172 10548 11200
+rect 10647 11172 10692 11200
+rect 10367 11169 10379 11172
+rect 10321 11163 10379 11169
+rect 9490 11092 9496 11144
+rect 9548 11132 9554 11144
+rect 9674 11132 9680 11144
+rect 9548 11104 9680 11132
+rect 9548 11092 9554 11104
+rect 9674 11092 9680 11104
+rect 9732 11092 9738 11144
+rect 10413 11135 10471 11141
+rect 10413 11101 10425 11135
+rect 10459 11101 10471 11135
+rect 10520 11132 10548 11172
+rect 10686 11160 10692 11172
+rect 10744 11160 10750 11212
+rect 10796 11209 10824 11240
+rect 10781 11203 10839 11209
+rect 10781 11169 10793 11203
+rect 10827 11169 10839 11203
+rect 10781 11163 10839 11169
+rect 11146 11132 11152 11144
+rect 10520 11104 11152 11132
+rect 10413 11095 10471 11101
+rect 9950 11064 9956 11076
+rect 8036 11036 9536 11064
+rect 9911 11036 9956 11064
+rect 9508 11008 9536 11036
+rect 9950 11024 9956 11036
+rect 10008 11024 10014 11076
+rect 10428 11064 10456 11095
+rect 11146 11092 11152 11104
+rect 11204 11092 11210 11144
+rect 10686 11064 10692 11076
+rect 10428 11036 10692 11064
+rect 10686 11024 10692 11036
+rect 10744 11024 10750 11076
+rect 10962 11024 10968 11076
+rect 11020 11064 11026 11076
+rect 12894 11064 12900 11076
+rect 11020 11036 12900 11064
+rect 11020 11024 11026 11036
+rect 12894 11024 12900 11036
+rect 12952 11024 12958 11076
+rect 3234 10956 3240 11008
+rect 3292 10996 3298 11008
+rect 4249 10999 4307 11005
+rect 4249 10996 4261 10999
+rect 3292 10968 4261 10996
+rect 3292 10956 3298 10968
+rect 4249 10965 4261 10968
+rect 4295 10996 4307 10999
+rect 4338 10996 4344 11008
+rect 4295 10968 4344 10996
+rect 4295 10965 4307 10968
+rect 4249 10959 4307 10965
+rect 4338 10956 4344 10968
+rect 4396 10996 4402 11008
+rect 5074 10996 5080 11008
+rect 4396 10968 5080 10996
+rect 4396 10956 4402 10968
+rect 5074 10956 5080 10968
+rect 5132 10956 5138 11008
+rect 9490 10956 9496 11008
+rect 9548 10956 9554 11008
+rect 1104 10906 12328 10928
+rect 1104 10854 2852 10906
+rect 2904 10854 2916 10906
+rect 2968 10854 2980 10906
+rect 3032 10854 3044 10906
+rect 3096 10854 6594 10906
+rect 6646 10854 6658 10906
+rect 6710 10854 6722 10906
+rect 6774 10854 6786 10906
+rect 6838 10854 10335 10906
+rect 10387 10854 10399 10906
+rect 10451 10854 10463 10906
+rect 10515 10854 10527 10906
+rect 10579 10854 12328 10906
+rect 1104 10832 12328 10854
+rect 2501 10795 2559 10801
+rect 2501 10761 2513 10795
+rect 2547 10792 2559 10795
+rect 5718 10792 5724 10804
+rect 2547 10764 5724 10792
+rect 2547 10761 2559 10764
+rect 2501 10755 2559 10761
+rect 5718 10752 5724 10764
+rect 5776 10752 5782 10804
+rect 1486 10684 1492 10736
+rect 1544 10724 1550 10736
+rect 4706 10724 4712 10736
+rect 1544 10696 4712 10724
+rect 1544 10684 1550 10696
+rect 4706 10684 4712 10696
+rect 4764 10724 4770 10736
+rect 9030 10724 9036 10736
+rect 4764 10696 9036 10724
+rect 4764 10684 4770 10696
+rect 9030 10684 9036 10696
+rect 9088 10684 9094 10736
+rect 3970 10656 3976 10668
+rect 2240 10628 3976 10656
+rect 2240 10597 2268 10628
+rect 3970 10616 3976 10628
+rect 4028 10616 4034 10668
+rect 8754 10656 8760 10668
+rect 4172 10628 8760 10656
+rect 2225 10591 2283 10597
+rect 2225 10557 2237 10591
+rect 2271 10557 2283 10591
+rect 2225 10551 2283 10557
+rect 2410 10591 2468 10597
+rect 2410 10557 2422 10591
+rect 2456 10588 2468 10591
+rect 3694 10588 3700 10600
+rect 2456 10560 2544 10588
+rect 3655 10560 3700 10588
+rect 2456 10557 2468 10560
+rect 2410 10551 2468 10557
+rect 1302 10412 1308 10464
+rect 1360 10452 1366 10464
+rect 2516 10452 2544 10560
+rect 3694 10548 3700 10560
+rect 3752 10548 3758 10600
+rect 4172 10597 4200 10628
+rect 8754 10616 8760 10628
+rect 8812 10616 8818 10668
+rect 11146 10656 11152 10668
+rect 9600 10628 11152 10656
+rect 4157 10591 4215 10597
+rect 4157 10557 4169 10591
+rect 4203 10557 4215 10591
+rect 4157 10551 4215 10557
+rect 4341 10591 4399 10597
+rect 4341 10557 4353 10591
+rect 4387 10588 4399 10591
+rect 4706 10588 4712 10600
+rect 4387 10560 4712 10588
+rect 4387 10557 4399 10560
+rect 4341 10551 4399 10557
+rect 4706 10548 4712 10560
+rect 4764 10588 4770 10600
+rect 5813 10591 5871 10597
+rect 4764 10560 5764 10588
+rect 4764 10548 4770 10560
+rect 5736 10520 5764 10560
+rect 5813 10557 5825 10591
+rect 5859 10588 5871 10591
+rect 6270 10588 6276 10600
+rect 5859 10560 6276 10588
+rect 5859 10557 5871 10560
+rect 5813 10551 5871 10557
+rect 6270 10548 6276 10560
+rect 6328 10548 6334 10600
+rect 7653 10591 7711 10597
+rect 7653 10557 7665 10591
+rect 7699 10588 7711 10591
+rect 7834 10588 7840 10600
+rect 7699 10560 7840 10588
+rect 7699 10557 7711 10560
+rect 7653 10551 7711 10557
+rect 7834 10548 7840 10560
+rect 7892 10548 7898 10600
+rect 7929 10591 7987 10597
+rect 7929 10557 7941 10591
+rect 7975 10588 7987 10591
+rect 8018 10588 8024 10600
+rect 7975 10560 8024 10588
+rect 7975 10557 7987 10560
+rect 7929 10551 7987 10557
+rect 8018 10548 8024 10560
+rect 8076 10548 8082 10600
+rect 8110 10548 8116 10600
+rect 8168 10588 8174 10600
+rect 9600 10597 9628 10628
+rect 11146 10616 11152 10628
+rect 11204 10616 11210 10668
+rect 9585 10591 9643 10597
+rect 8168 10560 8213 10588
+rect 8168 10548 8174 10560
+rect 9585 10557 9597 10591
+rect 9631 10557 9643 10591
+rect 9585 10551 9643 10557
+rect 10226 10548 10232 10600
+rect 10284 10588 10290 10600
+rect 10597 10591 10655 10597
+rect 10597 10588 10609 10591
+rect 10284 10560 10609 10588
+rect 10284 10548 10290 10560
+rect 10597 10557 10609 10560
+rect 10643 10557 10655 10591
+rect 10597 10551 10655 10557
+rect 7101 10523 7159 10529
+rect 5736 10492 6040 10520
+rect 3142 10452 3148 10464
+rect 1360 10424 3148 10452
+rect 1360 10412 1366 10424
+rect 3142 10412 3148 10424
+rect 3200 10412 3206 10464
+rect 4062 10412 4068 10464
+rect 4120 10452 4126 10464
+rect 5442 10452 5448 10464
+rect 4120 10424 5448 10452
+rect 4120 10412 4126 10424
+rect 5442 10412 5448 10424
+rect 5500 10412 5506 10464
+rect 6012 10452 6040 10492
+rect 7101 10489 7113 10523
+rect 7147 10520 7159 10523
+rect 7742 10520 7748 10532
+rect 7147 10492 7748 10520
+rect 7147 10489 7159 10492
+rect 7101 10483 7159 10489
+rect 7742 10480 7748 10492
+rect 7800 10480 7806 10532
+rect 8202 10480 8208 10532
+rect 8260 10520 8266 10532
+rect 8941 10523 8999 10529
+rect 8941 10520 8953 10523
+rect 8260 10492 8953 10520
+rect 8260 10480 8266 10492
+rect 8941 10489 8953 10492
+rect 8987 10520 8999 10523
+rect 9398 10520 9404 10532
+rect 8987 10492 9404 10520
+rect 8987 10489 8999 10492
+rect 8941 10483 8999 10489
+rect 9398 10480 9404 10492
+rect 9456 10480 9462 10532
+rect 9858 10480 9864 10532
+rect 9916 10520 9922 10532
+rect 10134 10520 10140 10532
+rect 9916 10492 10140 10520
+rect 9916 10480 9922 10492
+rect 10134 10480 10140 10492
+rect 10192 10520 10198 10532
+rect 10965 10523 11023 10529
+rect 10965 10520 10977 10523
+rect 10192 10492 10977 10520
+rect 10192 10480 10198 10492
+rect 10965 10489 10977 10492
+rect 11011 10489 11023 10523
+rect 10965 10483 11023 10489
+rect 8294 10452 8300 10464
+rect 6012 10424 8300 10452
+rect 8294 10412 8300 10424
+rect 8352 10412 8358 10464
+rect 1104 10362 12328 10384
+rect 1104 10310 4723 10362
+rect 4775 10310 4787 10362
+rect 4839 10310 4851 10362
+rect 4903 10310 4915 10362
+rect 4967 10310 8464 10362
+rect 8516 10310 8528 10362
+rect 8580 10310 8592 10362
+rect 8644 10310 8656 10362
+rect 8708 10310 12328 10362
+rect 1104 10288 12328 10310
+rect 3234 10248 3240 10260
+rect 2700 10220 3240 10248
+rect 2700 10189 2728 10220
+rect 3234 10208 3240 10220
+rect 3292 10248 3298 10260
+rect 4062 10248 4068 10260
+rect 3292 10220 4068 10248
+rect 3292 10208 3298 10220
+rect 4062 10208 4068 10220
+rect 4120 10208 4126 10260
+rect 5258 10248 5264 10260
+rect 5184 10220 5264 10248
+rect 2685 10183 2743 10189
+rect 2685 10149 2697 10183
+rect 2731 10149 2743 10183
+rect 2685 10143 2743 10149
+rect 2869 10183 2927 10189
+rect 2869 10149 2881 10183
+rect 2915 10180 2927 10183
+rect 3418 10180 3424 10192
+rect 2915 10152 3424 10180
+rect 2915 10149 2927 10152
+rect 2869 10143 2927 10149
+rect 3418 10140 3424 10152
+rect 3476 10140 3482 10192
+rect 5184 10189 5212 10220
+rect 5258 10208 5264 10220
+rect 5316 10208 5322 10260
+rect 7558 10208 7564 10260
+rect 7616 10248 7622 10260
+rect 8205 10251 8263 10257
+rect 8205 10248 8217 10251
+rect 7616 10220 8217 10248
+rect 7616 10208 7622 10220
+rect 8205 10217 8217 10220
+rect 8251 10217 8263 10251
+rect 8205 10211 8263 10217
+rect 5169 10183 5227 10189
+rect 5169 10149 5181 10183
+rect 5215 10149 5227 10183
+rect 5169 10143 5227 10149
+rect 7116 10152 8432 10180
+rect 7116 10124 7144 10152
+rect 1394 10112 1400 10124
+rect 1355 10084 1400 10112
+rect 1394 10072 1400 10084
+rect 1452 10072 1458 10124
+rect 3142 10072 3148 10124
+rect 3200 10112 3206 10124
+rect 4801 10115 4859 10121
+rect 4801 10112 4813 10115
+rect 3200 10084 4813 10112
+rect 3200 10072 3206 10084
+rect 4801 10081 4813 10084
+rect 4847 10112 4859 10115
+rect 5074 10112 5080 10124
+rect 4847 10084 5080 10112
+rect 4847 10081 4859 10084
+rect 4801 10075 4859 10081
+rect 5074 10072 5080 10084
+rect 5132 10072 5138 10124
+rect 5994 10072 6000 10124
+rect 6052 10112 6058 10124
+rect 6273 10115 6331 10121
+rect 6273 10112 6285 10115
+rect 6052 10084 6285 10112
+rect 6052 10072 6058 10084
+rect 6273 10081 6285 10084
+rect 6319 10081 6331 10115
+rect 7098 10112 7104 10124
+rect 7011 10084 7104 10112
+rect 6273 10075 6331 10081
+rect 7098 10072 7104 10084
+rect 7156 10072 7162 10124
+rect 7282 10112 7288 10124
+rect 7243 10084 7288 10112
+rect 7282 10072 7288 10084
+rect 7340 10112 7346 10124
+rect 8404 10121 8432 10152
+rect 8113 10115 8171 10121
+rect 8113 10112 8125 10115
+rect 7340 10084 8125 10112
+rect 7340 10072 7346 10084
+rect 8113 10081 8125 10084
+rect 8159 10081 8171 10115
+rect 8113 10075 8171 10081
+rect 8389 10115 8447 10121
+rect 8389 10081 8401 10115
+rect 8435 10081 8447 10115
+rect 9858 10112 9864 10124
+rect 9819 10084 9864 10112
+rect 8389 10075 8447 10081
+rect 9858 10072 9864 10084
+rect 9916 10072 9922 10124
+rect 1489 10047 1547 10053
+rect 1489 10013 1501 10047
+rect 1535 10044 1547 10047
+rect 1578 10044 1584 10056
+rect 1535 10016 1584 10044
+rect 1535 10013 1547 10016
+rect 1489 10007 1547 10013
+rect 1578 10004 1584 10016
+rect 1636 10004 1642 10056
+rect 6825 10047 6883 10053
+rect 5368 10016 6224 10044
+rect 4154 9936 4160 9988
+rect 4212 9976 4218 9988
+rect 5368 9976 5396 10016
+rect 4212 9948 5396 9976
+rect 6196 9976 6224 10016
+rect 6825 10013 6837 10047
+rect 6871 10013 6883 10047
+rect 6825 10007 6883 10013
+rect 6840 9976 6868 10007
+rect 7834 10004 7840 10056
+rect 7892 10044 7898 10056
+rect 8018 10044 8024 10056
+rect 7892 10016 8024 10044
+rect 7892 10004 7898 10016
+rect 8018 10004 8024 10016
+rect 8076 10004 8082 10056
+rect 8570 10044 8576 10056
+rect 8531 10016 8576 10044
+rect 8570 10004 8576 10016
+rect 8628 10004 8634 10056
+rect 9214 10004 9220 10056
+rect 9272 10044 9278 10056
+rect 9677 10047 9735 10053
+rect 9677 10044 9689 10047
+rect 9272 10016 9689 10044
+rect 9272 10004 9278 10016
+rect 9677 10013 9689 10016
+rect 9723 10013 9735 10047
+rect 9677 10007 9735 10013
+rect 6196 9948 6868 9976
+rect 4212 9936 4218 9948
+rect 7190 9936 7196 9988
+rect 7248 9976 7254 9988
+rect 8110 9976 8116 9988
+rect 7248 9948 8116 9976
+rect 7248 9936 7254 9948
+rect 8110 9936 8116 9948
+rect 8168 9936 8174 9988
+rect 1486 9908 1492 9920
+rect 1447 9880 1492 9908
+rect 1486 9868 1492 9880
+rect 1544 9868 1550 9920
+rect 1762 9908 1768 9920
+rect 1723 9880 1768 9908
+rect 1762 9868 1768 9880
+rect 1820 9868 1826 9920
+rect 2682 9868 2688 9920
+rect 2740 9908 2746 9920
+rect 2869 9911 2927 9917
+rect 2869 9908 2881 9911
+rect 2740 9880 2881 9908
+rect 2740 9868 2746 9880
+rect 2869 9877 2881 9880
+rect 2915 9877 2927 9911
+rect 2869 9871 2927 9877
+rect 3053 9911 3111 9917
+rect 3053 9877 3065 9911
+rect 3099 9908 3111 9911
+rect 5074 9908 5080 9920
+rect 3099 9880 5080 9908
+rect 3099 9877 3111 9880
+rect 3053 9871 3111 9877
+rect 5074 9868 5080 9880
+rect 5132 9868 5138 9920
+rect 7466 9868 7472 9920
+rect 7524 9908 7530 9920
+rect 8570 9908 8576 9920
+rect 7524 9880 8576 9908
+rect 7524 9868 7530 9880
+rect 8570 9868 8576 9880
+rect 8628 9868 8634 9920
+rect 1104 9818 12328 9840
+rect 1104 9766 2852 9818
+rect 2904 9766 2916 9818
+rect 2968 9766 2980 9818
+rect 3032 9766 3044 9818
+rect 3096 9766 6594 9818
+rect 6646 9766 6658 9818
+rect 6710 9766 6722 9818
+rect 6774 9766 6786 9818
+rect 6838 9766 10335 9818
+rect 10387 9766 10399 9818
+rect 10451 9766 10463 9818
+rect 10515 9766 10527 9818
+rect 10579 9766 12328 9818
+rect 1104 9744 12328 9766
+rect 3602 9664 3608 9716
+rect 3660 9704 3666 9716
+rect 3660 9676 6224 9704
+rect 3660 9664 3666 9676
+rect 1946 9636 1952 9648
+rect 1688 9608 1952 9636
+rect 1486 9500 1492 9512
+rect 1447 9472 1492 9500
+rect 1486 9460 1492 9472
+rect 1544 9460 1550 9512
+rect 1688 9509 1716 9608
+rect 1946 9596 1952 9608
+rect 2004 9636 2010 9648
+rect 4338 9636 4344 9648
+rect 2004 9608 4344 9636
+rect 2004 9596 2010 9608
+rect 4338 9596 4344 9608
+rect 4396 9596 4402 9648
+rect 6196 9580 6224 9676
+rect 6914 9664 6920 9716
+rect 6972 9704 6978 9716
+rect 7374 9704 7380 9716
+rect 6972 9676 7380 9704
+rect 6972 9664 6978 9676
+rect 7374 9664 7380 9676
+rect 7432 9664 7438 9716
+rect 1765 9571 1823 9577
+rect 1765 9537 1777 9571
+rect 1811 9568 1823 9571
+rect 2498 9568 2504 9580
+rect 1811 9540 2504 9568
+rect 1811 9537 1823 9540
+rect 1765 9531 1823 9537
+rect 2498 9528 2504 9540
+rect 2556 9528 2562 9580
+rect 2593 9571 2651 9577
+rect 2593 9537 2605 9571
+rect 2639 9568 2651 9571
+rect 3142 9568 3148 9580
+rect 2639 9540 3148 9568
+rect 2639 9537 2651 9540
+rect 2593 9531 2651 9537
+rect 3142 9528 3148 9540
+rect 3200 9528 3206 9580
+rect 6086 9568 6092 9580
+rect 3252 9540 6092 9568
+rect 1673 9503 1731 9509
+rect 1673 9469 1685 9503
+rect 1719 9469 1731 9503
+rect 1673 9463 1731 9469
+rect 2777 9503 2835 9509
+rect 2777 9469 2789 9503
+rect 2823 9469 2835 9503
+rect 2777 9463 2835 9469
+rect 2590 9392 2596 9444
+rect 2648 9432 2654 9444
+rect 2792 9432 2820 9463
+rect 2866 9460 2872 9512
+rect 2924 9500 2930 9512
+rect 3252 9500 3280 9540
+rect 6086 9528 6092 9540
+rect 6144 9528 6150 9580
+rect 6178 9528 6184 9580
+rect 6236 9528 6242 9580
+rect 6362 9528 6368 9580
+rect 6420 9568 6426 9580
+rect 7558 9568 7564 9580
+rect 6420 9540 7564 9568
+rect 6420 9528 6426 9540
+rect 7558 9528 7564 9540
+rect 7616 9528 7622 9580
+rect 10778 9528 10784 9580
+rect 10836 9568 10842 9580
+rect 11238 9568 11244 9580
+rect 10836 9540 11244 9568
+rect 10836 9528 10842 9540
+rect 11238 9528 11244 9540
+rect 11296 9528 11302 9580
+rect 2924 9472 3280 9500
+rect 2924 9460 2930 9472
+rect 3786 9460 3792 9512
+rect 3844 9500 3850 9512
+rect 3881 9503 3939 9509
+rect 3881 9500 3893 9503
+rect 3844 9472 3893 9500
+rect 3844 9460 3850 9472
+rect 3881 9469 3893 9472
+rect 3927 9469 3939 9503
+rect 4062 9500 4068 9512
+rect 4023 9472 4068 9500
+rect 3881 9463 3939 9469
+rect 4062 9460 4068 9472
+rect 4120 9460 4126 9512
+rect 5626 9500 5632 9512
+rect 5587 9472 5632 9500
+rect 5626 9460 5632 9472
+rect 5684 9460 5690 9512
+rect 6822 9460 6828 9512
+rect 6880 9500 6886 9512
+rect 6917 9503 6975 9509
+rect 6917 9500 6929 9503
+rect 6880 9472 6929 9500
+rect 6880 9460 6886 9472
+rect 6917 9469 6929 9472
+rect 6963 9469 6975 9503
+rect 8938 9500 8944 9512
+rect 8899 9472 8944 9500
+rect 6917 9463 6975 9469
+rect 8938 9460 8944 9472
+rect 8996 9460 9002 9512
+rect 10134 9500 10140 9512
+rect 10095 9472 10140 9500
+rect 10134 9460 10140 9472
+rect 10192 9460 10198 9512
+rect 2648 9404 2820 9432
+rect 4249 9435 4307 9441
+rect 2648 9392 2654 9404
+rect 4249 9401 4261 9435
+rect 4295 9432 4307 9435
+rect 7466 9432 7472 9444
+rect 4295 9404 7472 9432
+rect 4295 9401 4307 9404
+rect 4249 9395 4307 9401
+rect 7466 9392 7472 9404
+rect 7524 9392 7530 9444
+rect 10686 9432 10692 9444
+rect 10647 9404 10692 9432
+rect 10686 9392 10692 9404
+rect 10744 9392 10750 9444
+rect 2961 9367 3019 9373
+rect 2961 9333 2973 9367
+rect 3007 9364 3019 9367
+rect 4430 9364 4436 9376
+rect 3007 9336 4436 9364
+rect 3007 9333 3019 9336
+rect 2961 9327 3019 9333
+rect 4430 9324 4436 9336
+rect 4488 9364 4494 9376
+rect 5074 9364 5080 9376
+rect 4488 9336 5080 9364
+rect 4488 9324 4494 9336
+rect 5074 9324 5080 9336
+rect 5132 9324 5138 9376
+rect 5626 9364 5632 9376
+rect 5587 9336 5632 9364
+rect 5626 9324 5632 9336
+rect 5684 9324 5690 9376
+rect 5810 9324 5816 9376
+rect 5868 9364 5874 9376
+rect 7101 9367 7159 9373
+rect 7101 9364 7113 9367
+rect 5868 9336 7113 9364
+rect 5868 9324 5874 9336
+rect 7101 9333 7113 9336
+rect 7147 9364 7159 9367
+rect 7190 9364 7196 9376
+rect 7147 9336 7196 9364
+rect 7147 9333 7159 9336
+rect 7101 9327 7159 9333
+rect 7190 9324 7196 9336
+rect 7248 9324 7254 9376
+rect 8846 9364 8852 9376
+rect 8807 9336 8852 9364
+rect 8846 9324 8852 9336
+rect 8904 9324 8910 9376
+rect 1104 9274 12328 9296
+rect 1104 9222 4723 9274
+rect 4775 9222 4787 9274
+rect 4839 9222 4851 9274
+rect 4903 9222 4915 9274
+rect 4967 9222 8464 9274
+rect 8516 9222 8528 9274
+rect 8580 9222 8592 9274
+rect 8644 9222 8656 9274
+rect 8708 9222 12328 9274
+rect 1104 9200 12328 9222
+rect 2866 9160 2872 9172
+rect 1688 9132 2872 9160
+rect 1688 9033 1716 9132
+rect 2866 9120 2872 9132
+rect 2924 9120 2930 9172
+rect 3050 9160 3056 9172
+rect 2963 9132 3056 9160
+rect 3050 9120 3056 9132
+rect 3108 9160 3114 9172
+rect 7006 9160 7012 9172
+rect 3108 9132 7012 9160
+rect 3108 9120 3114 9132
+rect 7006 9120 7012 9132
+rect 7064 9120 7070 9172
+rect 8938 9160 8944 9172
+rect 7300 9132 8944 9160
+rect 1949 9095 2007 9101
+rect 1949 9061 1961 9095
+rect 1995 9092 2007 9095
+rect 2038 9092 2044 9104
+rect 1995 9064 2044 9092
+rect 1995 9061 2007 9064
+rect 1949 9055 2007 9061
+rect 2038 9052 2044 9064
+rect 2096 9052 2102 9104
+rect 3234 9092 3240 9104
+rect 2884 9064 3240 9092
+rect 1673 9027 1731 9033
+rect 1673 8993 1685 9027
+rect 1719 8993 1731 9027
+rect 1854 9024 1860 9036
+rect 1815 8996 1860 9024
+rect 1673 8987 1731 8993
+rect 1854 8984 1860 8996
+rect 1912 8984 1918 9036
+rect 2884 9033 2912 9064
+rect 3234 9052 3240 9064
+rect 3292 9052 3298 9104
+rect 4065 9095 4123 9101
+rect 4065 9061 4077 9095
+rect 4111 9092 4123 9095
+rect 4154 9092 4160 9104
+rect 4111 9064 4160 9092
+rect 4111 9061 4123 9064
+rect 4065 9055 4123 9061
+rect 4154 9052 4160 9064
+rect 4212 9052 4218 9104
+rect 4246 9052 4252 9104
+rect 4304 9092 4310 9104
+rect 4433 9095 4491 9101
+rect 4304 9064 4349 9092
+rect 4304 9052 4310 9064
+rect 4433 9061 4445 9095
+rect 4479 9092 4491 9095
+rect 5534 9092 5540 9104
+rect 4479 9064 5540 9092
+rect 4479 9061 4491 9064
+rect 4433 9055 4491 9061
+rect 5534 9052 5540 9064
+rect 5592 9052 5598 9104
+rect 5810 9092 5816 9104
+rect 5771 9064 5816 9092
+rect 5810 9052 5816 9064
+rect 5868 9092 5874 9104
+rect 7190 9092 7196 9104
+rect 5868 9064 7196 9092
+rect 5868 9052 5874 9064
+rect 7190 9052 7196 9064
+rect 7248 9052 7254 9104
+rect 7300 9101 7328 9132
+rect 8938 9120 8944 9132
+rect 8996 9120 9002 9172
+rect 7285 9095 7343 9101
+rect 7285 9061 7297 9095
+rect 7331 9061 7343 9095
+rect 7285 9055 7343 9061
+rect 8205 9095 8263 9101
+rect 8205 9061 8217 9095
+rect 8251 9092 8263 9095
+rect 8846 9092 8852 9104
+rect 8251 9064 8852 9092
+rect 8251 9061 8263 9064
+rect 8205 9055 8263 9061
+rect 8846 9052 8852 9064
+rect 8904 9052 8910 9104
+rect 2869 9027 2927 9033
+rect 2869 8993 2881 9027
+rect 2915 8993 2927 9027
+rect 2869 8987 2927 8993
+rect 3053 9027 3111 9033
+rect 3053 8993 3065 9027
+rect 3099 9024 3111 9027
+rect 3418 9024 3424 9036
+rect 3099 8996 3424 9024
+rect 3099 8993 3111 8996
+rect 3053 8987 3111 8993
+rect 3418 8984 3424 8996
+rect 3476 9024 3482 9036
+rect 3476 8996 4016 9024
+rect 3476 8984 3482 8996
+rect 1486 8848 1492 8900
+rect 1544 8888 1550 8900
+rect 3050 8888 3056 8900
+rect 1544 8860 3056 8888
+rect 1544 8848 1550 8860
+rect 3050 8848 3056 8860
+rect 3108 8848 3114 8900
+rect 3878 8888 3884 8900
+rect 3160 8860 3884 8888
+rect 2038 8780 2044 8832
+rect 2096 8820 2102 8832
+rect 3160 8820 3188 8860
+rect 3878 8848 3884 8860
+rect 3936 8848 3942 8900
+rect 3988 8888 4016 8996
+rect 4338 8984 4344 9036
+rect 4396 9024 4402 9036
+rect 5261 9027 5319 9033
+rect 5261 9024 5273 9027
+rect 4396 8996 5273 9024
+rect 4396 8984 4402 8996
+rect 5261 8993 5273 8996
+rect 5307 8993 5319 9027
+rect 5442 9024 5448 9036
+rect 5403 8996 5448 9024
+rect 5261 8987 5319 8993
+rect 5442 8984 5448 8996
+rect 5500 8984 5506 9036
+rect 5718 8984 5724 9036
+rect 5776 9024 5782 9036
+rect 6733 9027 6791 9033
+rect 6733 9024 6745 9027
+rect 5776 8996 6745 9024
+rect 5776 8984 5782 8996
+rect 6733 8993 6745 8996
+rect 6779 8993 6791 9027
+rect 8389 9027 8447 9033
+rect 8389 9024 8401 9027
+rect 6733 8987 6791 8993
+rect 6932 8996 8401 9024
+rect 4430 8916 4436 8968
+rect 4488 8956 4494 8968
+rect 4614 8956 4620 8968
+rect 4488 8928 4620 8956
+rect 4488 8916 4494 8928
+rect 4614 8916 4620 8928
+rect 4672 8916 4678 8968
+rect 4706 8916 4712 8968
+rect 4764 8956 4770 8968
+rect 5994 8956 6000 8968
+rect 4764 8928 6000 8956
+rect 4764 8916 4770 8928
+rect 5994 8916 6000 8928
+rect 6052 8916 6058 8968
+rect 5258 8888 5264 8900
+rect 3988 8860 5264 8888
+rect 5258 8848 5264 8860
+rect 5316 8888 5322 8900
+rect 6822 8888 6828 8900
+rect 5316 8860 6828 8888
+rect 5316 8848 5322 8860
+rect 6822 8848 6828 8860
+rect 6880 8848 6886 8900
+rect 2096 8792 3188 8820
+rect 2096 8780 2102 8792
+rect 3234 8780 3240 8832
+rect 3292 8820 3298 8832
+rect 4522 8820 4528 8832
+rect 3292 8792 4528 8820
+rect 3292 8780 3298 8792
+rect 4522 8780 4528 8792
+rect 4580 8820 4586 8832
+rect 6932 8820 6960 8996
+rect 8389 8993 8401 8996
+rect 8435 8993 8447 9027
+rect 8389 8987 8447 8993
+rect 8757 9027 8815 9033
+rect 8757 8993 8769 9027
+rect 8803 9024 8815 9027
+rect 9030 9024 9036 9036
+rect 8803 8996 9036 9024
+rect 8803 8993 8815 8996
+rect 8757 8987 8815 8993
+rect 9030 8984 9036 8996
+rect 9088 8984 9094 9036
+rect 9398 8984 9404 9036
+rect 9456 9024 9462 9036
+rect 9677 9027 9735 9033
+rect 9677 9024 9689 9027
+rect 9456 8996 9689 9024
+rect 9456 8984 9462 8996
+rect 9677 8993 9689 8996
+rect 9723 8993 9735 9027
+rect 9677 8987 9735 8993
+rect 9861 9027 9919 9033
+rect 9861 8993 9873 9027
+rect 9907 8993 9919 9027
+rect 9861 8987 9919 8993
+rect 7374 8916 7380 8968
+rect 7432 8956 7438 8968
+rect 9876 8956 9904 8987
+rect 9950 8984 9956 9036
+rect 10008 9024 10014 9036
+rect 10321 9027 10379 9033
+rect 10321 9024 10333 9027
+rect 10008 8996 10333 9024
+rect 10008 8984 10014 8996
+rect 10321 8993 10333 8996
+rect 10367 9024 10379 9027
+rect 10778 9024 10784 9036
+rect 10367 8996 10784 9024
+rect 10367 8993 10379 8996
+rect 10321 8987 10379 8993
+rect 10778 8984 10784 8996
+rect 10836 8984 10842 9036
+rect 7432 8928 9904 8956
+rect 7432 8916 7438 8928
+rect 4580 8792 6960 8820
+rect 4580 8780 4586 8792
+rect 7190 8780 7196 8832
+rect 7248 8820 7254 8832
+rect 9398 8820 9404 8832
+rect 7248 8792 9404 8820
+rect 7248 8780 7254 8792
+rect 9398 8780 9404 8792
+rect 9456 8780 9462 8832
+rect 9766 8820 9772 8832
+rect 9727 8792 9772 8820
+rect 9766 8780 9772 8792
+rect 9824 8780 9830 8832
+rect 1104 8730 12328 8752
+rect 1104 8678 2852 8730
+rect 2904 8678 2916 8730
+rect 2968 8678 2980 8730
+rect 3032 8678 3044 8730
+rect 3096 8678 6594 8730
+rect 6646 8678 6658 8730
+rect 6710 8678 6722 8730
+rect 6774 8678 6786 8730
+rect 6838 8678 10335 8730
+rect 10387 8678 10399 8730
+rect 10451 8678 10463 8730
+rect 10515 8678 10527 8730
+rect 10579 8678 12328 8730
+rect 1104 8656 12328 8678
+rect 4338 8616 4344 8628
+rect 4299 8588 4344 8616
+rect 4338 8576 4344 8588
+rect 4396 8576 4402 8628
+rect 4525 8619 4583 8625
+rect 4525 8585 4537 8619
+rect 4571 8616 4583 8619
+rect 4571 8588 4660 8616
+rect 4571 8585 4583 8588
+rect 4525 8579 4583 8585
+rect 4632 8548 4660 8588
+rect 5442 8576 5448 8628
+rect 5500 8616 5506 8628
+rect 5629 8619 5687 8625
+rect 5629 8616 5641 8619
+rect 5500 8588 5641 8616
+rect 5500 8576 5506 8588
+rect 5629 8585 5641 8588
+rect 5675 8616 5687 8619
+rect 9766 8616 9772 8628
+rect 5675 8588 9772 8616
+rect 5675 8585 5687 8588
+rect 5629 8579 5687 8585
+rect 9766 8576 9772 8588
+rect 9824 8576 9830 8628
+rect 7006 8548 7012 8560
+rect 4264 8520 4568 8548
+rect 4632 8520 6408 8548
+rect 6967 8520 7012 8548
+rect 2038 8480 2044 8492
+rect 1872 8452 2044 8480
+rect 1872 8421 1900 8452
+rect 2038 8440 2044 8452
+rect 2096 8440 2102 8492
+rect 3234 8480 3240 8492
+rect 2976 8452 3240 8480
+rect 1857 8415 1915 8421
+rect 1857 8381 1869 8415
+rect 1903 8381 1915 8415
+rect 1857 8375 1915 8381
+rect 1946 8372 1952 8424
+rect 2004 8412 2010 8424
+rect 2976 8421 3004 8452
+rect 3234 8440 3240 8452
+rect 3292 8440 3298 8492
+rect 4264 8489 4292 8520
+rect 4249 8483 4307 8489
+rect 4249 8480 4261 8483
+rect 3896 8452 4261 8480
+rect 2961 8415 3019 8421
+rect 2004 8384 2049 8412
+rect 2004 8372 2010 8384
+rect 2961 8381 2973 8415
+rect 3007 8381 3019 8415
+rect 2961 8375 3019 8381
+rect 3145 8415 3203 8421
+rect 3145 8381 3157 8415
+rect 3191 8412 3203 8415
+rect 3896 8412 3924 8452
+rect 4249 8449 4261 8452
+rect 4295 8449 4307 8483
+rect 4249 8443 4307 8449
+rect 3191 8384 3924 8412
+rect 4157 8415 4215 8421
+rect 3191 8381 3203 8384
+rect 3145 8375 3203 8381
+rect 4157 8381 4169 8415
+rect 4203 8381 4215 8415
+rect 4540 8412 4568 8520
+rect 4982 8440 4988 8492
+rect 5040 8480 5046 8492
+rect 6380 8480 6408 8520
+rect 7006 8508 7012 8520
+rect 7064 8508 7070 8560
+rect 9858 8548 9864 8560
+rect 9048 8520 9864 8548
+rect 7282 8480 7288 8492
+rect 5040 8452 5948 8480
+rect 6380 8452 7288 8480
+rect 5040 8440 5046 8452
+rect 5166 8412 5172 8424
+rect 4540 8384 5172 8412
+rect 4157 8375 4215 8381
+rect 2130 8344 2136 8356
+rect 2091 8316 2136 8344
+rect 2130 8304 2136 8316
+rect 2188 8304 2194 8356
+rect 3329 8347 3387 8353
+rect 3329 8313 3341 8347
+rect 3375 8344 3387 8347
+rect 3786 8344 3792 8356
+rect 3375 8316 3792 8344
+rect 3375 8313 3387 8316
+rect 3329 8307 3387 8313
+rect 3786 8304 3792 8316
+rect 3844 8304 3850 8356
+rect 4172 8344 4200 8375
+rect 5166 8372 5172 8384
+rect 5224 8372 5230 8424
+rect 5920 8421 5948 8452
+rect 7282 8440 7288 8452
+rect 7340 8440 7346 8492
+rect 8018 8440 8024 8492
+rect 8076 8480 8082 8492
+rect 9048 8489 9076 8520
+rect 9858 8508 9864 8520
+rect 9916 8508 9922 8560
+rect 9033 8483 9091 8489
+rect 9033 8480 9045 8483
+rect 8076 8452 9045 8480
+rect 8076 8440 8082 8452
+rect 9033 8449 9045 8452
+rect 9079 8449 9091 8483
+rect 9033 8443 9091 8449
+rect 9766 8440 9772 8492
+rect 9824 8480 9830 8492
+rect 10505 8483 10563 8489
+rect 10505 8480 10517 8483
+rect 9824 8452 10517 8480
+rect 9824 8440 9830 8452
+rect 10505 8449 10517 8452
+rect 10551 8449 10563 8483
+rect 10505 8443 10563 8449
+rect 5905 8415 5963 8421
+rect 5905 8381 5917 8415
+rect 5951 8381 5963 8415
+rect 5905 8375 5963 8381
+rect 6178 8372 6184 8424
+rect 6236 8412 6242 8424
+rect 6917 8415 6975 8421
+rect 6917 8412 6929 8415
+rect 6236 8384 6929 8412
+rect 6236 8372 6242 8384
+rect 6917 8381 6929 8384
+rect 6963 8381 6975 8415
+rect 6917 8375 6975 8381
+rect 7193 8415 7251 8421
+rect 7193 8381 7205 8415
+rect 7239 8381 7251 8415
+rect 7193 8375 7251 8381
+rect 4706 8344 4712 8356
+rect 4172 8316 4712 8344
+rect 4706 8304 4712 8316
+rect 4764 8304 4770 8356
+rect 5445 8347 5503 8353
+rect 5445 8313 5457 8347
+rect 5491 8344 5503 8347
+rect 5534 8344 5540 8356
+rect 5491 8316 5540 8344
+rect 5491 8313 5503 8316
+rect 5445 8307 5503 8313
+rect 5534 8304 5540 8316
+rect 5592 8304 5598 8356
+rect 5718 8304 5724 8356
+rect 5776 8344 5782 8356
+rect 7208 8344 7236 8375
+rect 8294 8372 8300 8424
+rect 8352 8412 8358 8424
+rect 8481 8415 8539 8421
+rect 8481 8412 8493 8415
+rect 8352 8384 8493 8412
+rect 8352 8372 8358 8384
+rect 8481 8381 8493 8384
+rect 8527 8381 8539 8415
+rect 8481 8375 8539 8381
+rect 8941 8415 8999 8421
+rect 8941 8381 8953 8415
+rect 8987 8381 8999 8415
+rect 10045 8415 10103 8421
+rect 10045 8412 10057 8415
+rect 8941 8375 8999 8381
+rect 9968 8384 10057 8412
+rect 5776 8316 7236 8344
+rect 5776 8304 5782 8316
+rect 7558 8304 7564 8356
+rect 7616 8344 7622 8356
+rect 7653 8347 7711 8353
+rect 7653 8344 7665 8347
+rect 7616 8316 7665 8344
+rect 7616 8304 7622 8316
+rect 7653 8313 7665 8316
+rect 7699 8313 7711 8347
+rect 7653 8307 7711 8313
+rect 8110 8304 8116 8356
+rect 8168 8344 8174 8356
+rect 8956 8344 8984 8375
+rect 8168 8316 8984 8344
+rect 8168 8304 8174 8316
+rect 9968 8288 9996 8384
+rect 10045 8381 10057 8384
+rect 10091 8381 10103 8415
+rect 10045 8375 10103 8381
+rect 10413 8415 10471 8421
+rect 10413 8381 10425 8415
+rect 10459 8381 10471 8415
+rect 10413 8375 10471 8381
+rect 10428 8344 10456 8375
+rect 10778 8344 10784 8356
+rect 10428 8316 10784 8344
+rect 10778 8304 10784 8316
+rect 10836 8344 10842 8356
+rect 12066 8344 12072 8356
+rect 10836 8316 12072 8344
+rect 10836 8304 10842 8316
+rect 12066 8304 12072 8316
+rect 12124 8304 12130 8356
+rect 1854 8236 1860 8288
+rect 1912 8276 1918 8288
+rect 5629 8279 5687 8285
+rect 5629 8276 5641 8279
+rect 1912 8248 5641 8276
+rect 1912 8236 1918 8248
+rect 5629 8245 5641 8248
+rect 5675 8276 5687 8279
+rect 7098 8276 7104 8288
+rect 5675 8248 7104 8276
+rect 5675 8245 5687 8248
+rect 5629 8239 5687 8245
+rect 7098 8236 7104 8248
+rect 7156 8236 7162 8288
+rect 9950 8236 9956 8288
+rect 10008 8236 10014 8288
+rect 10042 8236 10048 8288
+rect 10100 8276 10106 8288
+rect 10137 8279 10195 8285
+rect 10137 8276 10149 8279
+rect 10100 8248 10149 8276
+rect 10100 8236 10106 8248
+rect 10137 8245 10149 8248
+rect 10183 8245 10195 8279
+rect 10137 8239 10195 8245
+rect 1104 8186 12328 8208
+rect 1104 8134 4723 8186
+rect 4775 8134 4787 8186
+rect 4839 8134 4851 8186
+rect 4903 8134 4915 8186
+rect 4967 8134 8464 8186
+rect 8516 8134 8528 8186
+rect 8580 8134 8592 8186
+rect 8644 8134 8656 8186
+rect 8708 8134 12328 8186
+rect 1104 8112 12328 8134
+rect 4338 8032 4344 8084
+rect 4396 8072 4402 8084
+rect 5169 8075 5227 8081
+rect 5169 8072 5181 8075
+rect 4396 8044 5181 8072
+rect 4396 8032 4402 8044
+rect 5169 8041 5181 8044
+rect 5215 8041 5227 8075
+rect 9950 8072 9956 8084
+rect 5169 8035 5227 8041
+rect 7484 8044 9956 8072
+rect 3234 8004 3240 8016
+rect 2884 7976 3240 8004
+rect 1670 7936 1676 7948
+rect 1631 7908 1676 7936
+rect 1670 7896 1676 7908
+rect 1728 7896 1734 7948
+rect 1854 7936 1860 7948
+rect 1815 7908 1860 7936
+rect 1854 7896 1860 7908
+rect 1912 7896 1918 7948
+rect 2884 7945 2912 7976
+rect 3234 7964 3240 7976
+rect 3292 8004 3298 8016
+rect 3694 8004 3700 8016
+rect 3292 7976 3700 8004
+rect 3292 7964 3298 7976
+rect 3694 7964 3700 7976
+rect 3752 7964 3758 8016
+rect 3786 7964 3792 8016
+rect 3844 8004 3850 8016
+rect 5350 8004 5356 8016
+rect 3844 7976 5356 8004
+rect 3844 7964 3850 7976
+rect 5350 7964 5356 7976
+rect 5408 7964 5414 8016
+rect 7006 8004 7012 8016
+rect 5828 7976 7012 8004
+rect 2869 7939 2927 7945
+rect 2869 7905 2881 7939
+rect 2915 7905 2927 7939
+rect 2869 7899 2927 7905
+rect 3053 7939 3111 7945
+rect 3053 7905 3065 7939
+rect 3099 7936 3111 7939
+rect 3418 7936 3424 7948
+rect 3099 7908 3424 7936
+rect 3099 7905 3111 7908
+rect 3053 7899 3111 7905
+rect 3418 7896 3424 7908
+rect 3476 7896 3482 7948
+rect 4246 7896 4252 7948
+rect 4304 7936 4310 7948
+rect 4982 7936 4988 7948
+rect 4304 7908 4844 7936
+rect 4895 7908 4988 7936
+rect 4304 7896 4310 7908
+rect 1949 7871 2007 7877
+rect 1949 7837 1961 7871
+rect 1995 7868 2007 7871
+rect 4522 7868 4528 7880
+rect 1995 7840 4528 7868
+rect 1995 7837 2007 7840
+rect 1949 7831 2007 7837
+rect 4522 7828 4528 7840
+rect 4580 7828 4586 7880
+rect 4816 7877 4844 7908
+rect 4982 7896 4988 7908
+rect 5040 7936 5046 7948
+rect 5828 7936 5856 7976
+rect 7006 7964 7012 7976
+rect 7064 7964 7070 8016
+rect 5040 7908 5856 7936
+rect 6273 7939 6331 7945
+rect 5040 7896 5046 7908
+rect 6273 7905 6285 7939
+rect 6319 7936 6331 7939
+rect 6822 7936 6828 7948
+rect 6319 7908 6828 7936
+rect 6319 7905 6331 7908
+rect 6273 7899 6331 7905
+rect 6822 7896 6828 7908
+rect 6880 7936 6886 7948
+rect 7484 7936 7512 8044
+rect 9950 8032 9956 8044
+rect 10008 8032 10014 8084
+rect 8110 8004 8116 8016
+rect 8071 7976 8116 8004
+rect 8110 7964 8116 7976
+rect 8168 7964 8174 8016
+rect 9030 7964 9036 8016
+rect 9088 8004 9094 8016
+rect 9677 8007 9735 8013
+rect 9677 8004 9689 8007
+rect 9088 7976 9689 8004
+rect 9088 7964 9094 7976
+rect 9677 7973 9689 7976
+rect 9723 7973 9735 8007
+rect 10226 8004 10232 8016
+rect 10187 7976 10232 8004
+rect 9677 7967 9735 7973
+rect 10226 7964 10232 7976
+rect 10284 7964 10290 8016
+rect 6880 7908 7512 7936
+rect 7561 7939 7619 7945
+rect 6880 7896 6886 7908
+rect 7561 7905 7573 7939
+rect 7607 7905 7619 7939
+rect 7561 7899 7619 7905
+rect 4801 7871 4859 7877
+rect 4801 7837 4813 7871
+rect 4847 7868 4859 7871
+rect 5442 7868 5448 7880
+rect 4847 7840 5448 7868
+rect 4847 7837 4859 7840
+rect 4801 7831 4859 7837
+rect 5442 7828 5448 7840
+rect 5500 7828 5506 7880
+rect 6089 7871 6147 7877
+rect 6089 7837 6101 7871
+rect 6135 7868 6147 7871
+rect 6362 7868 6368 7880
+rect 6135 7840 6368 7868
+rect 6135 7837 6147 7840
+rect 6089 7831 6147 7837
+rect 6362 7828 6368 7840
+rect 6420 7828 6426 7880
+rect 7576 7868 7604 7899
+rect 7650 7896 7656 7948
+rect 7708 7936 7714 7948
+rect 9861 7939 9919 7945
+rect 9861 7936 9873 7939
+rect 7708 7908 7753 7936
+rect 9784 7908 9873 7936
+rect 7708 7896 7714 7908
+rect 7834 7868 7840 7880
+rect 7576 7840 7840 7868
+rect 7834 7828 7840 7840
+rect 7892 7828 7898 7880
+rect 9490 7828 9496 7880
+rect 9548 7868 9554 7880
+rect 9784 7868 9812 7908
+rect 9861 7905 9873 7908
+rect 9907 7905 9919 7939
+rect 11054 7936 11060 7948
+rect 11015 7908 11060 7936
+rect 9861 7899 9919 7905
+rect 11054 7896 11060 7908
+rect 11112 7896 11118 7948
+rect 9548 7840 9812 7868
+rect 9548 7828 9554 7840
+rect 3053 7803 3111 7809
+rect 3053 7769 3065 7803
+rect 3099 7800 3111 7803
+rect 3970 7800 3976 7812
+rect 3099 7772 3976 7800
+rect 3099 7769 3111 7772
+rect 3053 7763 3111 7769
+rect 3970 7760 3976 7772
+rect 4028 7760 4034 7812
+rect 4338 7760 4344 7812
+rect 4396 7800 4402 7812
+rect 4982 7800 4988 7812
+rect 4396 7772 4988 7800
+rect 4396 7760 4402 7772
+rect 4982 7760 4988 7772
+rect 5040 7760 5046 7812
+rect 6457 7803 6515 7809
+rect 6457 7769 6469 7803
+rect 6503 7800 6515 7803
+rect 9582 7800 9588 7812
+rect 6503 7772 9588 7800
+rect 6503 7769 6515 7772
+rect 6457 7763 6515 7769
+rect 9582 7760 9588 7772
+rect 9640 7760 9646 7812
+rect 2222 7692 2228 7744
+rect 2280 7732 2286 7744
+rect 5534 7732 5540 7744
+rect 2280 7704 5540 7732
+rect 2280 7692 2286 7704
+rect 5534 7692 5540 7704
+rect 5592 7692 5598 7744
+rect 5626 7692 5632 7744
+rect 5684 7732 5690 7744
+rect 5810 7732 5816 7744
+rect 5684 7704 5816 7732
+rect 5684 7692 5690 7704
+rect 5810 7692 5816 7704
+rect 5868 7692 5874 7744
+rect 5902 7692 5908 7744
+rect 5960 7732 5966 7744
+rect 6178 7732 6184 7744
+rect 5960 7704 6184 7732
+rect 5960 7692 5966 7704
+rect 6178 7692 6184 7704
+rect 6236 7692 6242 7744
+rect 7377 7735 7435 7741
+rect 7377 7701 7389 7735
+rect 7423 7732 7435 7735
+rect 7558 7732 7564 7744
+rect 7423 7704 7564 7732
+rect 7423 7701 7435 7704
+rect 7377 7695 7435 7701
+rect 7558 7692 7564 7704
+rect 7616 7692 7622 7744
+rect 9950 7692 9956 7744
+rect 10008 7732 10014 7744
+rect 10134 7732 10140 7744
+rect 10008 7704 10140 7732
+rect 10008 7692 10014 7704
+rect 10134 7692 10140 7704
+rect 10192 7692 10198 7744
+rect 10778 7692 10784 7744
+rect 10836 7732 10842 7744
+rect 11241 7735 11299 7741
+rect 11241 7732 11253 7735
+rect 10836 7704 11253 7732
+rect 10836 7692 10842 7704
+rect 11241 7701 11253 7704
+rect 11287 7701 11299 7735
+rect 11241 7695 11299 7701
+rect 1104 7642 12328 7664
+rect 1104 7590 2852 7642
+rect 2904 7590 2916 7642
+rect 2968 7590 2980 7642
+rect 3032 7590 3044 7642
+rect 3096 7590 6594 7642
+rect 6646 7590 6658 7642
+rect 6710 7590 6722 7642
+rect 6774 7590 6786 7642
+rect 6838 7590 10335 7642
+rect 10387 7590 10399 7642
+rect 10451 7590 10463 7642
+rect 10515 7590 10527 7642
+rect 10579 7590 12328 7642
+rect 1104 7568 12328 7590
+rect 2130 7488 2136 7540
+rect 2188 7528 2194 7540
+rect 4246 7528 4252 7540
+rect 2188 7500 4252 7528
+rect 2188 7488 2194 7500
+rect 4246 7488 4252 7500
+rect 4304 7488 4310 7540
+rect 4614 7488 4620 7540
+rect 4672 7528 4678 7540
+rect 5534 7528 5540 7540
+rect 4672 7500 5540 7528
+rect 4672 7488 4678 7500
+rect 5534 7488 5540 7500
+rect 5592 7488 5598 7540
+rect 5994 7488 6000 7540
+rect 6052 7528 6058 7540
+rect 6270 7528 6276 7540
+rect 6052 7500 6276 7528
+rect 6052 7488 6058 7500
+rect 6270 7488 6276 7500
+rect 6328 7488 6334 7540
+rect 9217 7531 9275 7537
+rect 9217 7497 9229 7531
+rect 9263 7528 9275 7531
+rect 11054 7528 11060 7540
+rect 9263 7500 11060 7528
+rect 9263 7497 9275 7500
+rect 9217 7491 9275 7497
+rect 11054 7488 11060 7500
+rect 11112 7488 11118 7540
+rect 5810 7460 5816 7472
+rect 3436 7432 5816 7460
+rect 1670 7284 1676 7336
+rect 1728 7324 1734 7336
+rect 1949 7327 2007 7333
+rect 1949 7324 1961 7327
+rect 1728 7296 1961 7324
+rect 1728 7284 1734 7296
+rect 1949 7293 1961 7296
+rect 1995 7293 2007 7327
+rect 2222 7324 2228 7336
+rect 2183 7296 2228 7324
+rect 1949 7287 2007 7293
+rect 2222 7284 2228 7296
+rect 2280 7284 2286 7336
+rect 3234 7324 3240 7336
+rect 3195 7296 3240 7324
+rect 3234 7284 3240 7296
+rect 3292 7284 3298 7336
+rect 3436 7333 3464 7432
+rect 5810 7420 5816 7432
+rect 5868 7420 5874 7472
+rect 5905 7463 5963 7469
+rect 5905 7429 5917 7463
+rect 5951 7460 5963 7463
+rect 7374 7460 7380 7472
+rect 5951 7432 7380 7460
+rect 5951 7429 5963 7432
+rect 5905 7423 5963 7429
+rect 7374 7420 7380 7432
+rect 7432 7420 7438 7472
+rect 8202 7460 8208 7472
+rect 7668 7432 8208 7460
+rect 4709 7395 4767 7401
+rect 4709 7361 4721 7395
+rect 4755 7392 4767 7395
+rect 7190 7392 7196 7404
+rect 4755 7364 7196 7392
+rect 4755 7361 4767 7364
+rect 4709 7355 4767 7361
+rect 7190 7352 7196 7364
+rect 7248 7352 7254 7404
+rect 7668 7392 7696 7432
+rect 8202 7420 8208 7432
+rect 8260 7420 8266 7472
+rect 7834 7392 7840 7404
+rect 7392 7364 7696 7392
+rect 7747 7364 7840 7392
+rect 7392 7336 7420 7364
+rect 7834 7352 7840 7364
+rect 7892 7392 7898 7404
+rect 9950 7392 9956 7404
+rect 7892 7364 9956 7392
+rect 7892 7352 7898 7364
+rect 9950 7352 9956 7364
+rect 10008 7352 10014 7404
+rect 10134 7392 10140 7404
+rect 10095 7364 10140 7392
+rect 10134 7352 10140 7364
+rect 10192 7352 10198 7404
+rect 3421 7327 3479 7333
+rect 3421 7293 3433 7327
+rect 3467 7293 3479 7327
+rect 3421 7287 3479 7293
+rect 4433 7327 4491 7333
+rect 4433 7293 4445 7327
+rect 4479 7293 4491 7327
+rect 4433 7287 4491 7293
+rect 4617 7327 4675 7333
+rect 4617 7293 4629 7327
+rect 4663 7324 4675 7327
+rect 4982 7324 4988 7336
+rect 4663 7296 4988 7324
+rect 4663 7293 4675 7296
+rect 4617 7287 4675 7293
+rect 2314 7256 2320 7268
+rect 2275 7228 2320 7256
+rect 2314 7216 2320 7228
+rect 2372 7216 2378 7268
+rect 3421 7191 3479 7197
+rect 3421 7157 3433 7191
+rect 3467 7188 3479 7191
+rect 4062 7188 4068 7200
+rect 3467 7160 4068 7188
+rect 3467 7157 3479 7160
+rect 3421 7151 3479 7157
+rect 4062 7148 4068 7160
+rect 4120 7148 4126 7200
+rect 4448 7188 4476 7287
+rect 4982 7284 4988 7296
+rect 5040 7284 5046 7336
+rect 5258 7284 5264 7336
+rect 5316 7324 5322 7336
+rect 5721 7327 5779 7333
+rect 5721 7324 5733 7327
+rect 5316 7296 5733 7324
+rect 5316 7284 5322 7296
+rect 5721 7293 5733 7296
+rect 5767 7293 5779 7327
+rect 7374 7324 7380 7336
+rect 7335 7296 7380 7324
+rect 5721 7287 5779 7293
+rect 7374 7284 7380 7296
+rect 7432 7284 7438 7336
+rect 7745 7327 7803 7333
+rect 7745 7293 7757 7327
+rect 7791 7293 7803 7327
+rect 7745 7287 7803 7293
+rect 5537 7259 5595 7265
+rect 5537 7225 5549 7259
+rect 5583 7256 5595 7259
+rect 6546 7256 6552 7268
+rect 5583 7228 6552 7256
+rect 5583 7225 5595 7228
+rect 5537 7219 5595 7225
+rect 6546 7216 6552 7228
+rect 6604 7216 6610 7268
+rect 7760 7256 7788 7287
+rect 8754 7284 8760 7336
+rect 8812 7324 8818 7336
+rect 8849 7327 8907 7333
+rect 8849 7324 8861 7327
+rect 8812 7296 8861 7324
+rect 8812 7284 8818 7296
+rect 8849 7293 8861 7296
+rect 8895 7293 8907 7327
+rect 9030 7324 9036 7336
+rect 8991 7296 9036 7324
+rect 8849 7287 8907 7293
+rect 9030 7284 9036 7296
+rect 9088 7284 9094 7336
+rect 10321 7327 10379 7333
+rect 10321 7293 10333 7327
+rect 10367 7324 10379 7327
+rect 10870 7324 10876 7336
+rect 10367 7296 10876 7324
+rect 10367 7293 10379 7296
+rect 10321 7287 10379 7293
+rect 10870 7284 10876 7296
+rect 10928 7284 10934 7336
+rect 7834 7256 7840 7268
+rect 7760 7228 7840 7256
+rect 7834 7216 7840 7228
+rect 7892 7216 7898 7268
+rect 8021 7259 8079 7265
+rect 8021 7225 8033 7259
+rect 8067 7256 8079 7259
+rect 8202 7256 8208 7268
+rect 8067 7228 8208 7256
+rect 8067 7225 8079 7228
+rect 8021 7219 8079 7225
+rect 8202 7216 8208 7228
+rect 8260 7216 8266 7268
+rect 5810 7188 5816 7200
+rect 4448 7160 5816 7188
+rect 5810 7148 5816 7160
+rect 5868 7148 5874 7200
+rect 10134 7148 10140 7200
+rect 10192 7188 10198 7200
+rect 10505 7191 10563 7197
+rect 10505 7188 10517 7191
+rect 10192 7160 10517 7188
+rect 10192 7148 10198 7160
+rect 10505 7157 10517 7160
+rect 10551 7157 10563 7191
+rect 10505 7151 10563 7157
+rect 1104 7098 12328 7120
+rect 1104 7046 4723 7098
+rect 4775 7046 4787 7098
+rect 4839 7046 4851 7098
+rect 4903 7046 4915 7098
+rect 4967 7046 8464 7098
+rect 8516 7046 8528 7098
+rect 8580 7046 8592 7098
+rect 8644 7046 8656 7098
+rect 8708 7046 12328 7098
+rect 1104 7024 12328 7046
+rect 3053 6987 3111 6993
+rect 3053 6953 3065 6987
+rect 3099 6984 3111 6987
+rect 3099 6956 3372 6984
+rect 3099 6953 3111 6956
+rect 3053 6947 3111 6953
+rect 3234 6916 3240 6928
+rect 2884 6888 3240 6916
+rect 1673 6851 1731 6857
+rect 1673 6817 1685 6851
+rect 1719 6848 1731 6851
+rect 1762 6848 1768 6860
+rect 1719 6820 1768 6848
+rect 1719 6817 1731 6820
+rect 1673 6811 1731 6817
+rect 1762 6808 1768 6820
+rect 1820 6808 1826 6860
+rect 1857 6851 1915 6857
+rect 1857 6817 1869 6851
+rect 1903 6817 1915 6851
+rect 1857 6811 1915 6817
+rect 1780 6712 1808 6808
+rect 1872 6780 1900 6811
+rect 1946 6808 1952 6860
+rect 2004 6848 2010 6860
+rect 2884 6857 2912 6888
+rect 3234 6876 3240 6888
+rect 3292 6876 3298 6928
+rect 3344 6916 3372 6956
+rect 3418 6944 3424 6996
+rect 3476 6984 3482 6996
+rect 10965 6987 11023 6993
+rect 10965 6984 10977 6987
+rect 3476 6956 10977 6984
+rect 3476 6944 3482 6956
+rect 10965 6953 10977 6956
+rect 11011 6953 11023 6987
+rect 10965 6947 11023 6953
+rect 4062 6916 4068 6928
+rect 3344 6888 4068 6916
+rect 4062 6876 4068 6888
+rect 4120 6876 4126 6928
+rect 4525 6919 4583 6925
+rect 4172 6888 4476 6916
+rect 2869 6851 2927 6857
+rect 2004 6820 2049 6848
+rect 2004 6808 2010 6820
+rect 2869 6817 2881 6851
+rect 2915 6817 2927 6851
+rect 2869 6811 2927 6817
+rect 3053 6851 3111 6857
+rect 3053 6817 3065 6851
+rect 3099 6848 3111 6851
+rect 3142 6848 3148 6860
+rect 3099 6820 3148 6848
+rect 3099 6817 3111 6820
+rect 3053 6811 3111 6817
+rect 3142 6808 3148 6820
+rect 3200 6808 3206 6860
+rect 4172 6857 4200 6888
+rect 4157 6851 4215 6857
+rect 4157 6817 4169 6851
+rect 4203 6817 4215 6851
+rect 4157 6811 4215 6817
+rect 4246 6808 4252 6860
+rect 4304 6848 4310 6860
+rect 4341 6851 4399 6857
+rect 4341 6848 4353 6851
+rect 4304 6820 4353 6848
+rect 4304 6808 4310 6820
+rect 4341 6817 4353 6820
+rect 4387 6817 4399 6851
+rect 4448 6848 4476 6888
+rect 4525 6885 4537 6919
+rect 4571 6916 4583 6919
+rect 4614 6916 4620 6928
+rect 4571 6888 4620 6916
+rect 4571 6885 4583 6888
+rect 4525 6879 4583 6885
+rect 4614 6876 4620 6888
+rect 4672 6876 4678 6928
+rect 5350 6916 5356 6928
+rect 5311 6888 5356 6916
+rect 5350 6876 5356 6888
+rect 5408 6876 5414 6928
+rect 6086 6876 6092 6928
+rect 6144 6916 6150 6928
+rect 6917 6919 6975 6925
+rect 6917 6916 6929 6919
+rect 6144 6888 6929 6916
+rect 6144 6876 6150 6888
+rect 6917 6885 6929 6888
+rect 6963 6885 6975 6919
+rect 10778 6916 10784 6928
+rect 6917 6879 6975 6885
+rect 9784 6888 10784 6916
+rect 5166 6848 5172 6860
+rect 4448 6820 5172 6848
+rect 4341 6811 4399 6817
+rect 5166 6808 5172 6820
+rect 5224 6808 5230 6860
+rect 5537 6851 5595 6857
+rect 5537 6817 5549 6851
+rect 5583 6848 5595 6851
+rect 5583 6820 5672 6848
+rect 5583 6817 5595 6820
+rect 5537 6811 5595 6817
+rect 5644 6792 5672 6820
+rect 6454 6808 6460 6860
+rect 6512 6808 6518 6860
+rect 6638 6848 6644 6860
+rect 6599 6820 6644 6848
+rect 6638 6808 6644 6820
+rect 6696 6808 6702 6860
+rect 6733 6851 6791 6857
+rect 6733 6817 6745 6851
+rect 6779 6817 6791 6851
+rect 7742 6848 7748 6860
+rect 7703 6820 7748 6848
+rect 6733 6811 6791 6817
+rect 4614 6780 4620 6792
+rect 1872 6752 4620 6780
+rect 4614 6740 4620 6752
+rect 4672 6740 4678 6792
+rect 5626 6740 5632 6792
+rect 5684 6740 5690 6792
+rect 6472 6780 6500 6808
+rect 6748 6780 6776 6811
+rect 7742 6808 7748 6820
+rect 7800 6808 7806 6860
+rect 7929 6851 7987 6857
+rect 7929 6817 7941 6851
+rect 7975 6848 7987 6851
+rect 8846 6848 8852 6860
+rect 7975 6820 8852 6848
+rect 7975 6817 7987 6820
+rect 7929 6811 7987 6817
+rect 8846 6808 8852 6820
+rect 8904 6808 8910 6860
+rect 9582 6808 9588 6860
+rect 9640 6808 9646 6860
+rect 9674 6808 9680 6860
+rect 9732 6808 9738 6860
+rect 9784 6857 9812 6888
+rect 10778 6876 10784 6888
+rect 10836 6876 10842 6928
+rect 9769 6851 9827 6857
+rect 9769 6817 9781 6851
+rect 9815 6817 9827 6851
+rect 9769 6811 9827 6817
+rect 9953 6851 10011 6857
+rect 9953 6817 9965 6851
+rect 9999 6817 10011 6851
+rect 10870 6848 10876 6860
+rect 10831 6820 10876 6848
+rect 9953 6811 10011 6817
+rect 6472 6752 6776 6780
+rect 8386 6740 8392 6792
+rect 8444 6780 8450 6792
+rect 9600 6780 9628 6808
+rect 9692 6780 9720 6808
+rect 8444 6752 9720 6780
+rect 9968 6780 9996 6811
+rect 10870 6808 10876 6820
+rect 10928 6808 10934 6860
+rect 11054 6848 11060 6860
+rect 11015 6820 11060 6848
+rect 11054 6808 11060 6820
+rect 11112 6808 11118 6860
+rect 11146 6780 11152 6792
+rect 9968 6752 11152 6780
+rect 8444 6740 8450 6752
+rect 3970 6712 3976 6724
+rect 1780 6684 3976 6712
+rect 3970 6672 3976 6684
+rect 4028 6672 4034 6724
+rect 8113 6715 8171 6721
+rect 8113 6681 8125 6715
+rect 8159 6712 8171 6715
+rect 9030 6712 9036 6724
+rect 8159 6684 9036 6712
+rect 8159 6681 8171 6684
+rect 8113 6675 8171 6681
+rect 9030 6672 9036 6684
+rect 9088 6672 9094 6724
+rect 9968 6712 9996 6752
+rect 11146 6740 11152 6752
+rect 11204 6740 11210 6792
+rect 9600 6684 9996 6712
+rect 3234 6604 3240 6656
+rect 3292 6644 3298 6656
+rect 5629 6647 5687 6653
+rect 5629 6644 5641 6647
+rect 3292 6616 5641 6644
+rect 3292 6604 3298 6616
+rect 5629 6613 5641 6616
+rect 5675 6613 5687 6647
+rect 5629 6607 5687 6613
+rect 7466 6604 7472 6656
+rect 7524 6644 7530 6656
+rect 7745 6647 7803 6653
+rect 7745 6644 7757 6647
+rect 7524 6616 7757 6644
+rect 7524 6604 7530 6616
+rect 7745 6613 7757 6616
+rect 7791 6613 7803 6647
+rect 7745 6607 7803 6613
+rect 8570 6604 8576 6656
+rect 8628 6644 8634 6656
+rect 9600 6644 9628 6684
+rect 9766 6644 9772 6656
+rect 8628 6616 9628 6644
+rect 9727 6616 9772 6644
+rect 8628 6604 8634 6616
+rect 9766 6604 9772 6616
+rect 9824 6604 9830 6656
+rect 1104 6554 12328 6576
+rect 1104 6502 2852 6554
+rect 2904 6502 2916 6554
+rect 2968 6502 2980 6554
+rect 3032 6502 3044 6554
+rect 3096 6502 6594 6554
+rect 6646 6502 6658 6554
+rect 6710 6502 6722 6554
+rect 6774 6502 6786 6554
+rect 6838 6502 10335 6554
+rect 10387 6502 10399 6554
+rect 10451 6502 10463 6554
+rect 10515 6502 10527 6554
+rect 10579 6502 12328 6554
+rect 1104 6480 12328 6502
+rect 3421 6443 3479 6449
+rect 3421 6409 3433 6443
+rect 3467 6440 3479 6443
+rect 5810 6440 5816 6452
+rect 3467 6412 5672 6440
+rect 5771 6412 5816 6440
+rect 3467 6409 3479 6412
+rect 3421 6403 3479 6409
+rect 3142 6332 3148 6384
+rect 3200 6372 3206 6384
+rect 4617 6375 4675 6381
+rect 4617 6372 4629 6375
+rect 3200 6344 4629 6372
+rect 3200 6332 3206 6344
+rect 4617 6341 4629 6344
+rect 4663 6341 4675 6375
+rect 5644 6372 5672 6412
+rect 5810 6400 5816 6412
+rect 5868 6400 5874 6452
+rect 7466 6440 7472 6452
+rect 7427 6412 7472 6440
+rect 7466 6400 7472 6412
+rect 7524 6400 7530 6452
+rect 9582 6400 9588 6452
+rect 9640 6440 9646 6452
+rect 9677 6443 9735 6449
+rect 9677 6440 9689 6443
+rect 9640 6412 9689 6440
+rect 9640 6400 9646 6412
+rect 9677 6409 9689 6412
+rect 9723 6409 9735 6443
+rect 9677 6403 9735 6409
+rect 8570 6372 8576 6384
+rect 5644 6344 8576 6372
+rect 4617 6335 4675 6341
+rect 8570 6332 8576 6344
+rect 8628 6332 8634 6384
+rect 8754 6372 8760 6384
+rect 8715 6344 8760 6372
+rect 8754 6332 8760 6344
+rect 8812 6332 8818 6384
+rect 9030 6332 9036 6384
+rect 9088 6372 9094 6384
+rect 9398 6372 9404 6384
+rect 9088 6344 9404 6372
+rect 9088 6332 9094 6344
+rect 9398 6332 9404 6344
+rect 9456 6372 9462 6384
+rect 10873 6375 10931 6381
+rect 10873 6372 10885 6375
+rect 9456 6344 10885 6372
+rect 9456 6332 9462 6344
+rect 10873 6341 10885 6344
+rect 10919 6341 10931 6375
+rect 10873 6335 10931 6341
+rect 2409 6307 2467 6313
+rect 2409 6273 2421 6307
+rect 2455 6304 2467 6307
+rect 2590 6304 2596 6316
+rect 2455 6276 2596 6304
+rect 2455 6273 2467 6276
+rect 2409 6267 2467 6273
+rect 2590 6264 2596 6276
+rect 2648 6264 2654 6316
+rect 4062 6264 4068 6316
+rect 4120 6304 4126 6316
+rect 7742 6304 7748 6316
+rect 4120 6276 7748 6304
+rect 4120 6264 4126 6276
+rect 1762 6236 1768 6248
+rect 1723 6208 1768 6236
+rect 1762 6196 1768 6208
+rect 1820 6196 1826 6248
+rect 3234 6236 3240 6248
+rect 3195 6208 3240 6236
+rect 3234 6196 3240 6208
+rect 3292 6196 3298 6248
+rect 3970 6196 3976 6248
+rect 4028 6236 4034 6248
+rect 4338 6236 4344 6248
+rect 4028 6208 4344 6236
+rect 4028 6196 4034 6208
+rect 4338 6196 4344 6208
+rect 4396 6196 4402 6248
+rect 4448 6245 4476 6276
+rect 7742 6264 7748 6276
+rect 7800 6304 7806 6316
+rect 7926 6304 7932 6316
+rect 7800 6276 7932 6304
+rect 7800 6264 7806 6276
+rect 7926 6264 7932 6276
+rect 7984 6264 7990 6316
+rect 10226 6264 10232 6316
+rect 10284 6304 10290 6316
+rect 10284 6276 11008 6304
+rect 10284 6264 10290 6276
+rect 4433 6239 4491 6245
+rect 4433 6205 4445 6239
+rect 4479 6205 4491 6239
+rect 4433 6199 4491 6205
+rect 4617 6239 4675 6245
+rect 4617 6205 4629 6239
+rect 4663 6205 4675 6239
+rect 5534 6236 5540 6248
+rect 5495 6208 5540 6236
+rect 4617 6199 4675 6205
+rect 4632 6100 4660 6199
+rect 5534 6196 5540 6208
+rect 5592 6196 5598 6248
+rect 5810 6196 5816 6248
+rect 5868 6236 5874 6248
+rect 7101 6239 7159 6245
+rect 7101 6236 7113 6239
+rect 5868 6208 7113 6236
+rect 5868 6196 5874 6208
+rect 7101 6205 7113 6208
+rect 7147 6205 7159 6239
+rect 7282 6236 7288 6248
+rect 7243 6208 7288 6236
+rect 7101 6199 7159 6205
+rect 7282 6196 7288 6208
+rect 7340 6196 7346 6248
+rect 8386 6236 8392 6248
+rect 8347 6208 8392 6236
+rect 8386 6196 8392 6208
+rect 8444 6196 8450 6248
+rect 8573 6239 8631 6245
+rect 8573 6205 8585 6239
+rect 8619 6236 8631 6239
+rect 8938 6236 8944 6248
+rect 8619 6208 8944 6236
+rect 8619 6205 8631 6208
+rect 8573 6199 8631 6205
+rect 8938 6196 8944 6208
+rect 8996 6196 9002 6248
+rect 9582 6236 9588 6248
+rect 9543 6208 9588 6236
+rect 9582 6196 9588 6208
+rect 9640 6196 9646 6248
+rect 9766 6236 9772 6248
+rect 9727 6208 9772 6236
+rect 9766 6196 9772 6208
+rect 9824 6196 9830 6248
+rect 10318 6196 10324 6248
+rect 10376 6236 10382 6248
+rect 10980 6245 11008 6276
+rect 10781 6239 10839 6245
+rect 10781 6236 10793 6239
+rect 10376 6208 10793 6236
+rect 10376 6196 10382 6208
+rect 10781 6205 10793 6208
+rect 10827 6205 10839 6239
+rect 10781 6199 10839 6205
+rect 10965 6239 11023 6245
+rect 10965 6205 10977 6239
+rect 11011 6205 11023 6239
+rect 10965 6199 11023 6205
+rect 5721 6171 5779 6177
+rect 5721 6137 5733 6171
+rect 5767 6168 5779 6171
+rect 6086 6168 6092 6180
+rect 5767 6140 6092 6168
+rect 5767 6137 5779 6140
+rect 5721 6131 5779 6137
+rect 6086 6128 6092 6140
+rect 6144 6128 6150 6180
+rect 7466 6128 7472 6180
+rect 7524 6168 7530 6180
+rect 10870 6168 10876 6180
+rect 7524 6140 10876 6168
+rect 7524 6128 7530 6140
+rect 10870 6128 10876 6140
+rect 10928 6128 10934 6180
+rect 7374 6100 7380 6112
+rect 4632 6072 7380 6100
+rect 7374 6060 7380 6072
+rect 7432 6060 7438 6112
+rect 1104 6010 12328 6032
+rect 1104 5958 4723 6010
+rect 4775 5958 4787 6010
+rect 4839 5958 4851 6010
+rect 4903 5958 4915 6010
+rect 4967 5958 8464 6010
+rect 8516 5958 8528 6010
+rect 8580 5958 8592 6010
+rect 8644 5958 8656 6010
+rect 8708 5958 12328 6010
+rect 1104 5936 12328 5958
+rect 5718 5856 5724 5908
+rect 5776 5896 5782 5908
+rect 9769 5899 9827 5905
+rect 9769 5896 9781 5899
+rect 5776 5868 5948 5896
+rect 5776 5856 5782 5868
+rect 3326 5828 3332 5840
+rect 2884 5800 3332 5828
+rect 2884 5769 2912 5800
+rect 3326 5788 3332 5800
+rect 3384 5788 3390 5840
+rect 5534 5828 5540 5840
+rect 4540 5800 5540 5828
+rect 2869 5763 2927 5769
+rect 2869 5729 2881 5763
+rect 2915 5729 2927 5763
+rect 2869 5723 2927 5729
+rect 2961 5763 3019 5769
+rect 2961 5729 2973 5763
+rect 3007 5729 3019 5763
+rect 4338 5760 4344 5772
+rect 4299 5732 4344 5760
+rect 2961 5723 3019 5729
+rect 2590 5652 2596 5704
+rect 2648 5692 2654 5704
+rect 2976 5692 3004 5723
+rect 4338 5720 4344 5732
+rect 4396 5720 4402 5772
+rect 4540 5769 4568 5800
+rect 5534 5788 5540 5800
+rect 5592 5788 5598 5840
+rect 5810 5828 5816 5840
+rect 5771 5800 5816 5828
+rect 5810 5788 5816 5800
+rect 5868 5788 5874 5840
+rect 4525 5763 4583 5769
+rect 4525 5729 4537 5763
+rect 4571 5729 4583 5763
+rect 4525 5723 4583 5729
+rect 5074 5720 5080 5772
+rect 5132 5760 5138 5772
+rect 5442 5760 5448 5772
+rect 5132 5732 5448 5760
+rect 5132 5720 5138 5732
+rect 5442 5720 5448 5732
+rect 5500 5720 5506 5772
+rect 5629 5763 5687 5769
+rect 5629 5729 5641 5763
+rect 5675 5760 5687 5763
+rect 5920 5760 5948 5868
+rect 7116 5868 9781 5896
+rect 5994 5788 6000 5840
+rect 6052 5828 6058 5840
+rect 7009 5831 7067 5837
+rect 7009 5828 7021 5831
+rect 6052 5800 7021 5828
+rect 6052 5788 6058 5800
+rect 7009 5797 7021 5800
+rect 7055 5797 7067 5831
+rect 7009 5791 7067 5797
+rect 6641 5763 6699 5769
+rect 6641 5760 6653 5763
+rect 5675 5732 5856 5760
+rect 5920 5732 6653 5760
+rect 5675 5729 5687 5732
+rect 5629 5723 5687 5729
+rect 2648 5664 3004 5692
+rect 3145 5695 3203 5701
+rect 2648 5652 2654 5664
+rect 3145 5661 3157 5695
+rect 3191 5692 3203 5695
+rect 5718 5692 5724 5704
+rect 3191 5664 5724 5692
+rect 3191 5661 3203 5664
+rect 3145 5655 3203 5661
+rect 5718 5652 5724 5664
+rect 5776 5652 5782 5704
+rect 5828 5692 5856 5732
+rect 6641 5729 6653 5732
+rect 6687 5729 6699 5763
+rect 6822 5760 6828 5772
+rect 6783 5732 6828 5760
+rect 6641 5723 6699 5729
+rect 6822 5720 6828 5732
+rect 6880 5720 6886 5772
+rect 6730 5692 6736 5704
+rect 5828 5664 6736 5692
+rect 6730 5652 6736 5664
+rect 6788 5652 6794 5704
+rect 4154 5584 4160 5636
+rect 4212 5624 4218 5636
+rect 4525 5627 4583 5633
+rect 4525 5624 4537 5627
+rect 4212 5596 4537 5624
+rect 4212 5584 4218 5596
+rect 4525 5593 4537 5596
+rect 4571 5624 4583 5627
+rect 5810 5624 5816 5636
+rect 4571 5596 5816 5624
+rect 4571 5593 4583 5596
+rect 4525 5587 4583 5593
+rect 5810 5584 5816 5596
+rect 5868 5584 5874 5636
+rect 5902 5584 5908 5636
+rect 5960 5624 5966 5636
+rect 7116 5624 7144 5868
+rect 9769 5865 9781 5868
+rect 9815 5865 9827 5899
+rect 9769 5859 9827 5865
+rect 8481 5831 8539 5837
+rect 8481 5797 8493 5831
+rect 8527 5828 8539 5831
+rect 9582 5828 9588 5840
+rect 8527 5800 9588 5828
+rect 8527 5797 8539 5800
+rect 8481 5791 8539 5797
+rect 9582 5788 9588 5800
+rect 9640 5788 9646 5840
+rect 8110 5760 8116 5772
+rect 8071 5732 8116 5760
+rect 8110 5720 8116 5732
+rect 8168 5720 8174 5772
+rect 8389 5763 8447 5769
+rect 8389 5729 8401 5763
+rect 8435 5760 8447 5763
+rect 9122 5760 9128 5772
+rect 8435 5732 9128 5760
+rect 8435 5729 8447 5732
+rect 8389 5723 8447 5729
+rect 9122 5720 9128 5732
+rect 9180 5720 9186 5772
+rect 9766 5760 9772 5772
+rect 9727 5732 9772 5760
+rect 9766 5720 9772 5732
+rect 9824 5720 9830 5772
+rect 9861 5763 9919 5769
+rect 9861 5729 9873 5763
+rect 9907 5729 9919 5763
+rect 9861 5723 9919 5729
+rect 7834 5652 7840 5704
+rect 7892 5692 7898 5704
+rect 9876 5692 9904 5723
+rect 10686 5720 10692 5772
+rect 10744 5760 10750 5772
+rect 10873 5763 10931 5769
+rect 10873 5760 10885 5763
+rect 10744 5732 10885 5760
+rect 10744 5720 10750 5732
+rect 10873 5729 10885 5732
+rect 10919 5729 10931 5763
+rect 10873 5723 10931 5729
+rect 10962 5720 10968 5772
+rect 11020 5760 11026 5772
+rect 11057 5763 11115 5769
+rect 11057 5760 11069 5763
+rect 11020 5732 11069 5760
+rect 11020 5720 11026 5732
+rect 11057 5729 11069 5732
+rect 11103 5729 11115 5763
+rect 11057 5723 11115 5729
+rect 7892 5664 9904 5692
+rect 7892 5652 7898 5664
+rect 8128 5636 8156 5664
+rect 5960 5596 7144 5624
+rect 5960 5584 5966 5596
+rect 8110 5584 8116 5636
+rect 8168 5584 8174 5636
+rect 9306 5584 9312 5636
+rect 9364 5624 9370 5636
+rect 10965 5627 11023 5633
+rect 10965 5624 10977 5627
+rect 9364 5596 10977 5624
+rect 9364 5584 9370 5596
+rect 10965 5593 10977 5596
+rect 11011 5593 11023 5627
+rect 10965 5587 11023 5593
+rect 1104 5466 12328 5488
+rect 1104 5414 2852 5466
+rect 2904 5414 2916 5466
+rect 2968 5414 2980 5466
+rect 3032 5414 3044 5466
+rect 3096 5414 6594 5466
+rect 6646 5414 6658 5466
+rect 6710 5414 6722 5466
+rect 6774 5414 6786 5466
+rect 6838 5414 10335 5466
+rect 10387 5414 10399 5466
+rect 10451 5414 10463 5466
+rect 10515 5414 10527 5466
+rect 10579 5414 12328 5466
+rect 1104 5392 12328 5414
+rect 7098 5312 7104 5364
+rect 7156 5352 7162 5364
+rect 7653 5355 7711 5361
+rect 7653 5352 7665 5355
+rect 7156 5324 7665 5352
+rect 7156 5312 7162 5324
+rect 7653 5321 7665 5324
+rect 7699 5321 7711 5355
+rect 7653 5315 7711 5321
+rect 9766 5312 9772 5364
+rect 9824 5352 9830 5364
+rect 10229 5355 10287 5361
+rect 10229 5352 10241 5355
+rect 9824 5324 10241 5352
+rect 9824 5312 9830 5324
+rect 10229 5321 10241 5324
+rect 10275 5321 10287 5355
+rect 10229 5315 10287 5321
+rect 4614 5284 4620 5296
+rect 4575 5256 4620 5284
+rect 4614 5244 4620 5256
+rect 4672 5244 4678 5296
+rect 6086 5284 6092 5296
+rect 5644 5256 6092 5284
+rect 1946 5176 1952 5228
+rect 2004 5216 2010 5228
+rect 2004 5188 4660 5216
+rect 2004 5176 2010 5188
+rect 3252 5157 3280 5188
+rect 3237 5151 3295 5157
+rect 3237 5117 3249 5151
+rect 3283 5117 3295 5151
+rect 3237 5111 3295 5117
+rect 3421 5151 3479 5157
+rect 3421 5117 3433 5151
+rect 3467 5148 3479 5151
+rect 4246 5148 4252 5160
+rect 3467 5120 4252 5148
+rect 3467 5117 3479 5120
+rect 3421 5111 3479 5117
+rect 4246 5108 4252 5120
+rect 4304 5108 4310 5160
+rect 4430 5148 4436 5160
+rect 4391 5120 4436 5148
+rect 4430 5108 4436 5120
+rect 4488 5108 4494 5160
+rect 4632 5157 4660 5188
+rect 4617 5151 4675 5157
+rect 4617 5117 4629 5151
+rect 4663 5148 4675 5151
+rect 4706 5148 4712 5160
+rect 4663 5120 4712 5148
+rect 4663 5117 4675 5120
+rect 4617 5111 4675 5117
+rect 4706 5108 4712 5120
+rect 4764 5108 4770 5160
+rect 5644 5157 5672 5256
+rect 6086 5244 6092 5256
+rect 6144 5244 6150 5296
+rect 8846 5244 8852 5296
+rect 8904 5284 8910 5296
+rect 9033 5287 9091 5293
+rect 9033 5284 9045 5287
+rect 8904 5256 9045 5284
+rect 8904 5244 8910 5256
+rect 9033 5253 9045 5256
+rect 9079 5253 9091 5287
+rect 9033 5247 9091 5253
+rect 5905 5219 5963 5225
+rect 5905 5185 5917 5219
+rect 5951 5216 5963 5219
+rect 6914 5216 6920 5228
+rect 5951 5188 6920 5216
+rect 5951 5185 5963 5188
+rect 5905 5179 5963 5185
+rect 6914 5176 6920 5188
+rect 6972 5176 6978 5228
+rect 9674 5216 9680 5228
+rect 7852 5188 9680 5216
+rect 5629 5151 5687 5157
+rect 5629 5117 5641 5151
+rect 5675 5117 5687 5151
+rect 5629 5111 5687 5117
+rect 5813 5151 5871 5157
+rect 5813 5117 5825 5151
+rect 5859 5148 5871 5151
+rect 6454 5148 6460 5160
+rect 5859 5120 6460 5148
+rect 5859 5117 5871 5120
+rect 5813 5111 5871 5117
+rect 6454 5108 6460 5120
+rect 6512 5108 6518 5160
+rect 7190 5108 7196 5160
+rect 7248 5148 7254 5160
+rect 7852 5157 7880 5188
+rect 9674 5176 9680 5188
+rect 9732 5176 9738 5228
+rect 7561 5151 7619 5157
+rect 7561 5148 7573 5151
+rect 7248 5120 7573 5148
+rect 7248 5108 7254 5120
+rect 7561 5117 7573 5120
+rect 7607 5117 7619 5151
+rect 7561 5111 7619 5117
+rect 7837 5151 7895 5157
+rect 7837 5117 7849 5151
+rect 7883 5117 7895 5151
+rect 7837 5111 7895 5117
+rect 8662 5108 8668 5160
+rect 8720 5148 8726 5160
+rect 8757 5151 8815 5157
+rect 8757 5148 8769 5151
+rect 8720 5120 8769 5148
+rect 8720 5108 8726 5120
+rect 8757 5117 8769 5120
+rect 8803 5117 8815 5151
+rect 8938 5148 8944 5160
+rect 8899 5120 8944 5148
+rect 8757 5111 8815 5117
+rect 3513 5083 3571 5089
+rect 3513 5049 3525 5083
+rect 3559 5080 3571 5083
+rect 6178 5080 6184 5092
+rect 3559 5052 6184 5080
+rect 3559 5049 3571 5052
+rect 3513 5043 3571 5049
+rect 6178 5040 6184 5052
+rect 6236 5040 6242 5092
+rect 8772 5080 8800 5111
+rect 8938 5108 8944 5120
+rect 8996 5108 9002 5160
+rect 10134 5148 10140 5160
+rect 10095 5120 10140 5148
+rect 10134 5108 10140 5120
+rect 10192 5108 10198 5160
+rect 9398 5080 9404 5092
+rect 8772 5052 9404 5080
+rect 9398 5040 9404 5052
+rect 9456 5040 9462 5092
+rect 9953 5083 10011 5089
+rect 9953 5049 9965 5083
+rect 9999 5080 10011 5083
+rect 10042 5080 10048 5092
+rect 9999 5052 10048 5080
+rect 9999 5049 10011 5052
+rect 9953 5043 10011 5049
+rect 10042 5040 10048 5052
+rect 10100 5040 10106 5092
+rect 1104 4922 12328 4944
+rect 1104 4870 4723 4922
+rect 4775 4870 4787 4922
+rect 4839 4870 4851 4922
+rect 4903 4870 4915 4922
+rect 4967 4870 8464 4922
+rect 8516 4870 8528 4922
+rect 8580 4870 8592 4922
+rect 8644 4870 8656 4922
+rect 8708 4870 12328 4922
+rect 1104 4848 12328 4870
+rect 5534 4768 5540 4820
+rect 5592 4808 5598 4820
+rect 6273 4811 6331 4817
+rect 6273 4808 6285 4811
+rect 5592 4780 6285 4808
+rect 5592 4768 5598 4780
+rect 6273 4777 6285 4780
+rect 6319 4777 6331 4811
+rect 6273 4771 6331 4777
+rect 7653 4811 7711 4817
+rect 7653 4777 7665 4811
+rect 7699 4808 7711 4811
+rect 8938 4808 8944 4820
+rect 7699 4780 8944 4808
+rect 7699 4777 7711 4780
+rect 7653 4771 7711 4777
+rect 8938 4768 8944 4780
+rect 8996 4768 9002 4820
+rect 4522 4700 4528 4752
+rect 4580 4740 4586 4752
+rect 9214 4740 9220 4752
+rect 4580 4712 6408 4740
+rect 4580 4700 4586 4712
+rect 4338 4632 4344 4684
+rect 4396 4672 4402 4684
+rect 5074 4672 5080 4684
+rect 4396 4644 5080 4672
+rect 4396 4632 4402 4644
+rect 5074 4632 5080 4644
+rect 5132 4632 5138 4684
+rect 5261 4675 5319 4681
+rect 5261 4641 5273 4675
+rect 5307 4672 5319 4675
+rect 5534 4672 5540 4684
+rect 5307 4644 5540 4672
+rect 5307 4641 5319 4644
+rect 5261 4635 5319 4641
+rect 5534 4632 5540 4644
+rect 5592 4632 5598 4684
+rect 5810 4632 5816 4684
+rect 5868 4672 5874 4684
+rect 6380 4681 6408 4712
+rect 7392 4712 9220 4740
+rect 6181 4675 6239 4681
+rect 6181 4672 6193 4675
+rect 5868 4644 6193 4672
+rect 5868 4632 5874 4644
+rect 6181 4641 6193 4644
+rect 6227 4641 6239 4675
+rect 6181 4635 6239 4641
+rect 6365 4675 6423 4681
+rect 6365 4641 6377 4675
+rect 6411 4641 6423 4675
+rect 6365 4635 6423 4641
+rect 7282 4632 7288 4684
+rect 7340 4672 7346 4684
+rect 7392 4681 7420 4712
+rect 9214 4700 9220 4712
+rect 9272 4700 9278 4752
+rect 9398 4700 9404 4752
+rect 9456 4740 9462 4752
+rect 9861 4743 9919 4749
+rect 9861 4740 9873 4743
+rect 9456 4712 9873 4740
+rect 9456 4700 9462 4712
+rect 9861 4709 9873 4712
+rect 9907 4709 9919 4743
+rect 9861 4703 9919 4709
+rect 9950 4700 9956 4752
+rect 10008 4740 10014 4752
+rect 10045 4743 10103 4749
+rect 10045 4740 10057 4743
+rect 10008 4712 10057 4740
+rect 10008 4700 10014 4712
+rect 10045 4709 10057 4712
+rect 10091 4709 10103 4743
+rect 10045 4703 10103 4709
+rect 7377 4675 7435 4681
+rect 7377 4672 7389 4675
+rect 7340 4644 7389 4672
+rect 7340 4632 7346 4644
+rect 7377 4641 7389 4644
+rect 7423 4641 7435 4675
+rect 7558 4672 7564 4684
+rect 7519 4644 7564 4672
+rect 7377 4635 7435 4641
+rect 7558 4632 7564 4644
+rect 7616 4632 7622 4684
+rect 7742 4632 7748 4684
+rect 7800 4672 7806 4684
+rect 9677 4675 9735 4681
+rect 9677 4672 9689 4675
+rect 7800 4644 9689 4672
+rect 7800 4632 7806 4644
+rect 9677 4641 9689 4644
+rect 9723 4641 9735 4675
+rect 9677 4635 9735 4641
+rect 5261 4539 5319 4545
+rect 5261 4505 5273 4539
+rect 5307 4536 5319 4539
+rect 5350 4536 5356 4548
+rect 5307 4508 5356 4536
+rect 5307 4505 5319 4508
+rect 5261 4499 5319 4505
+rect 5350 4496 5356 4508
+rect 5408 4536 5414 4548
+rect 5902 4536 5908 4548
+rect 5408 4508 5908 4536
+rect 5408 4496 5414 4508
+rect 5902 4496 5908 4508
+rect 5960 4496 5966 4548
+rect 1104 4378 12328 4400
+rect 1104 4326 2852 4378
+rect 2904 4326 2916 4378
+rect 2968 4326 2980 4378
+rect 3032 4326 3044 4378
+rect 3096 4326 6594 4378
+rect 6646 4326 6658 4378
+rect 6710 4326 6722 4378
+rect 6774 4326 6786 4378
+rect 6838 4326 10335 4378
+rect 10387 4326 10399 4378
+rect 10451 4326 10463 4378
+rect 10515 4326 10527 4378
+rect 10579 4326 12328 4378
+rect 1104 4304 12328 4326
+rect 4430 4224 4436 4276
+rect 4488 4264 4494 4276
+rect 5537 4267 5595 4273
+rect 5537 4264 5549 4267
+rect 4488 4236 5549 4264
+rect 4488 4224 4494 4236
+rect 5537 4233 5549 4236
+rect 5583 4233 5595 4267
+rect 5537 4227 5595 4233
+rect 3970 4088 3976 4140
+rect 4028 4128 4034 4140
+rect 5626 4128 5632 4140
+rect 4028 4100 5632 4128
+rect 4028 4088 4034 4100
+rect 5626 4088 5632 4100
+rect 5684 4088 5690 4140
+rect 9306 4128 9312 4140
+rect 8588 4100 9312 4128
+rect 5537 4063 5595 4069
+rect 5537 4029 5549 4063
+rect 5583 4029 5595 4063
+rect 5718 4060 5724 4072
+rect 5679 4032 5724 4060
+rect 5537 4023 5595 4029
+rect 5552 3992 5580 4023
+rect 5718 4020 5724 4032
+rect 5776 4020 5782 4072
+rect 7282 4060 7288 4072
+rect 7243 4032 7288 4060
+rect 7282 4020 7288 4032
+rect 7340 4020 7346 4072
+rect 7561 4063 7619 4069
+rect 7561 4029 7573 4063
+rect 7607 4060 7619 4063
+rect 7650 4060 7656 4072
+rect 7607 4032 7656 4060
+rect 7607 4029 7619 4032
+rect 7561 4023 7619 4029
+rect 7650 4020 7656 4032
+rect 7708 4020 7714 4072
+rect 8588 4069 8616 4100
+rect 9306 4088 9312 4100
+rect 9364 4088 9370 4140
+rect 9398 4088 9404 4140
+rect 9456 4128 9462 4140
+rect 9674 4128 9680 4140
+rect 9456 4100 9680 4128
+rect 9456 4088 9462 4100
+rect 9674 4088 9680 4100
+rect 9732 4088 9738 4140
+rect 8573 4063 8631 4069
+rect 8573 4029 8585 4063
+rect 8619 4029 8631 4063
+rect 8573 4023 8631 4029
+rect 8757 4063 8815 4069
+rect 8757 4029 8769 4063
+rect 8803 4060 8815 4063
+rect 9030 4060 9036 4072
+rect 8803 4032 9036 4060
+rect 8803 4029 8815 4032
+rect 8757 4023 8815 4029
+rect 9030 4020 9036 4032
+rect 9088 4020 9094 4072
+rect 8846 3992 8852 4004
+rect 5552 3964 5672 3992
+rect 8807 3964 8852 3992
+rect 5644 3924 5672 3964
+rect 8846 3952 8852 3964
+rect 8904 3952 8910 4004
+rect 6270 3924 6276 3936
+rect 5644 3896 6276 3924
+rect 6270 3884 6276 3896
+rect 6328 3884 6334 3936
+rect 7374 3924 7380 3936
+rect 7335 3896 7380 3924
+rect 7374 3884 7380 3896
+rect 7432 3884 7438 3936
+rect 1104 3834 12328 3856
+rect 1104 3782 4723 3834
+rect 4775 3782 4787 3834
+rect 4839 3782 4851 3834
+rect 4903 3782 4915 3834
+rect 4967 3782 8464 3834
+rect 8516 3782 8528 3834
+rect 8580 3782 8592 3834
+rect 8644 3782 8656 3834
+rect 8708 3782 12328 3834
+rect 1104 3760 12328 3782
+rect 5534 3680 5540 3732
+rect 5592 3720 5598 3732
+rect 6917 3723 6975 3729
+rect 6917 3720 6929 3723
+rect 5592 3692 6929 3720
+rect 5592 3680 5598 3692
+rect 6917 3689 6929 3692
+rect 6963 3689 6975 3723
+rect 6917 3683 6975 3689
+rect 5442 3612 5448 3664
+rect 5500 3652 5506 3664
+rect 8389 3655 8447 3661
+rect 5500 3624 5856 3652
+rect 5500 3612 5506 3624
+rect 4246 3544 4252 3596
+rect 4304 3584 4310 3596
+rect 5258 3584 5264 3596
+rect 4304 3556 5264 3584
+rect 4304 3544 4310 3556
+rect 5258 3544 5264 3556
+rect 5316 3584 5322 3596
+rect 5828 3593 5856 3624
+rect 8389 3621 8401 3655
+rect 8435 3652 8447 3655
+rect 11238 3652 11244 3664
+rect 8435 3624 11244 3652
+rect 8435 3621 8447 3624
+rect 8389 3615 8447 3621
+rect 11238 3612 11244 3624
+rect 11296 3612 11302 3664
+rect 5629 3587 5687 3593
+rect 5629 3584 5641 3587
+rect 5316 3556 5641 3584
+rect 5316 3544 5322 3556
+rect 5629 3553 5641 3556
+rect 5675 3553 5687 3587
+rect 5629 3547 5687 3553
+rect 5813 3587 5871 3593
+rect 5813 3553 5825 3587
+rect 5859 3553 5871 3587
+rect 5813 3547 5871 3553
+rect 5902 3544 5908 3596
+rect 5960 3584 5966 3596
+rect 6825 3587 6883 3593
+rect 6825 3584 6837 3587
+rect 5960 3556 6837 3584
+rect 5960 3544 5966 3556
+rect 6825 3553 6837 3556
+rect 6871 3553 6883 3587
+rect 7006 3584 7012 3596
+rect 6967 3556 7012 3584
+rect 6825 3547 6883 3553
+rect 7006 3544 7012 3556
+rect 7064 3544 7070 3596
+rect 7466 3544 7472 3596
+rect 7524 3584 7530 3596
+rect 8021 3587 8079 3593
+rect 8021 3584 8033 3587
+rect 7524 3556 8033 3584
+rect 7524 3544 7530 3556
+rect 8021 3553 8033 3556
+rect 8067 3553 8079 3587
+rect 8021 3547 8079 3553
+rect 8110 3544 8116 3596
+rect 8168 3584 8174 3596
+rect 8205 3587 8263 3593
+rect 8205 3584 8217 3587
+rect 8168 3556 8217 3584
+rect 8168 3544 8174 3556
+rect 8205 3553 8217 3556
+rect 8251 3553 8263 3587
+rect 8205 3547 8263 3553
+rect 5905 3451 5963 3457
+rect 5905 3417 5917 3451
+rect 5951 3448 5963 3451
+rect 7006 3448 7012 3460
+rect 5951 3420 7012 3448
+rect 5951 3417 5963 3420
+rect 5905 3411 5963 3417
+rect 7006 3408 7012 3420
+rect 7064 3408 7070 3460
+rect 1104 3290 12328 3312
+rect 1104 3238 2852 3290
+rect 2904 3238 2916 3290
+rect 2968 3238 2980 3290
+rect 3032 3238 3044 3290
+rect 3096 3238 6594 3290
+rect 6646 3238 6658 3290
+rect 6710 3238 6722 3290
+rect 6774 3238 6786 3290
+rect 6838 3238 10335 3290
+rect 10387 3238 10399 3290
+rect 10451 3238 10463 3290
+rect 10515 3238 10527 3290
+rect 10579 3238 12328 3290
+rect 1104 3216 12328 3238
+rect 5258 3068 5264 3120
+rect 5316 3108 5322 3120
+rect 7101 3111 7159 3117
+rect 7101 3108 7113 3111
+rect 5316 3080 7113 3108
+rect 5316 3068 5322 3080
+rect 7101 3077 7113 3080
+rect 7147 3077 7159 3111
+rect 8294 3108 8300 3120
+rect 8255 3080 8300 3108
+rect 7101 3071 7159 3077
+rect 8294 3068 8300 3080
+rect 8352 3068 8358 3120
+rect 5074 2932 5080 2984
+rect 5132 2972 5138 2984
+rect 6825 2975 6883 2981
+rect 6825 2972 6837 2975
+rect 5132 2944 6837 2972
+rect 5132 2932 5138 2944
+rect 6825 2941 6837 2944
+rect 6871 2941 6883 2975
+rect 7006 2972 7012 2984
+rect 6967 2944 7012 2972
+rect 6825 2935 6883 2941
+rect 7006 2932 7012 2944
+rect 7064 2932 7070 2984
+rect 8018 2972 8024 2984
+rect 7979 2944 8024 2972
+rect 8018 2932 8024 2944
+rect 8076 2932 8082 2984
+rect 8202 2972 8208 2984
+rect 8163 2944 8208 2972
+rect 8202 2932 8208 2944
+rect 8260 2932 8266 2984
+rect 9490 2796 9496 2848
+rect 9548 2836 9554 2848
+rect 11146 2836 11152 2848
+rect 9548 2808 11152 2836
+rect 9548 2796 9554 2808
+rect 11146 2796 11152 2808
+rect 11204 2796 11210 2848
+rect 1104 2746 12328 2768
+rect 1104 2694 4723 2746
+rect 4775 2694 4787 2746
+rect 4839 2694 4851 2746
+rect 4903 2694 4915 2746
+rect 4967 2694 8464 2746
+rect 8516 2694 8528 2746
+rect 8580 2694 8592 2746
+rect 8644 2694 8656 2746
+rect 8708 2694 12328 2746
+rect 1104 2672 12328 2694
+rect 1104 2202 12328 2224
+rect 1104 2150 2852 2202
+rect 2904 2150 2916 2202
+rect 2968 2150 2980 2202
+rect 3032 2150 3044 2202
+rect 3096 2150 6594 2202
+rect 6646 2150 6658 2202
+rect 6710 2150 6722 2202
+rect 6774 2150 6786 2202
+rect 6838 2150 10335 2202
+rect 10387 2150 10399 2202
+rect 10451 2150 10463 2202
+rect 10515 2150 10527 2202
+rect 10579 2150 12328 2202
+rect 1104 2128 12328 2150
+<< via1 >>
+rect 4528 14696 4580 14748
+rect 4620 14696 4672 14748
+rect 1584 13132 1636 13184
+rect 7472 13132 7524 13184
+rect 2852 13030 2904 13082
+rect 2916 13030 2968 13082
+rect 2980 13030 3032 13082
+rect 3044 13030 3096 13082
+rect 6594 13030 6646 13082
+rect 6658 13030 6710 13082
+rect 6722 13030 6774 13082
+rect 6786 13030 6838 13082
+rect 10335 13030 10387 13082
+rect 10399 13030 10451 13082
+rect 10463 13030 10515 13082
+rect 10527 13030 10579 13082
+rect 8760 12928 8812 12980
+rect 9496 12928 9548 12980
+rect 10692 12928 10744 12980
+rect 11888 12928 11940 12980
+rect 5080 12860 5132 12912
+rect 7472 12835 7524 12844
+rect 7472 12801 7481 12835
+rect 7481 12801 7515 12835
+rect 7515 12801 7524 12835
+rect 7472 12792 7524 12801
+rect 9864 12792 9916 12844
+rect 10876 12860 10928 12912
+rect 1400 12767 1452 12776
+rect 1400 12733 1409 12767
+rect 1409 12733 1443 12767
+rect 1443 12733 1452 12767
+rect 1400 12724 1452 12733
+rect 3516 12724 3568 12776
+rect 4068 12767 4120 12776
+rect 4068 12733 4077 12767
+rect 4077 12733 4111 12767
+rect 4111 12733 4120 12767
+rect 4068 12724 4120 12733
+rect 4160 12724 4212 12776
+rect 3792 12656 3844 12708
+rect 3700 12588 3752 12640
+rect 7932 12767 7984 12776
+rect 7932 12733 7941 12767
+rect 7941 12733 7975 12767
+rect 7975 12733 7984 12767
+rect 7932 12724 7984 12733
+rect 9588 12724 9640 12776
+rect 10692 12724 10744 12776
+rect 10876 12767 10928 12776
+rect 10876 12733 10885 12767
+rect 10885 12733 10919 12767
+rect 10919 12733 10928 12767
+rect 10876 12724 10928 12733
+rect 9680 12656 9732 12708
+rect 5448 12631 5500 12640
+rect 5448 12597 5457 12631
+rect 5457 12597 5491 12631
+rect 5491 12597 5500 12631
+rect 5448 12588 5500 12597
+rect 4723 12486 4775 12538
+rect 4787 12486 4839 12538
+rect 4851 12486 4903 12538
+rect 4915 12486 4967 12538
+rect 8464 12486 8516 12538
+rect 8528 12486 8580 12538
+rect 8592 12486 8644 12538
+rect 8656 12486 8708 12538
+rect 480 12384 532 12436
+rect 1584 12384 1636 12436
+rect 2504 12384 2556 12436
+rect 4160 12384 4212 12436
+rect 9588 12384 9640 12436
+rect 6276 12316 6328 12368
+rect 10692 12316 10744 12368
+rect 3148 12248 3200 12300
+rect 4068 12248 4120 12300
+rect 5264 12248 5316 12300
+rect 7104 12291 7156 12300
+rect 1492 12180 1544 12232
+rect 3516 12112 3568 12164
+rect 5448 12180 5500 12232
+rect 7104 12257 7113 12291
+rect 7113 12257 7147 12291
+rect 7147 12257 7156 12291
+rect 7104 12248 7156 12257
+rect 7288 12291 7340 12300
+rect 7288 12257 7297 12291
+rect 7297 12257 7331 12291
+rect 7331 12257 7340 12291
+rect 7288 12248 7340 12257
+rect 7472 12291 7524 12300
+rect 7472 12257 7481 12291
+rect 7481 12257 7515 12291
+rect 7515 12257 7524 12291
+rect 7472 12248 7524 12257
+rect 3608 12044 3660 12096
+rect 7196 12044 7248 12096
+rect 8208 12180 8260 12232
+rect 2852 11942 2904 11994
+rect 2916 11942 2968 11994
+rect 2980 11942 3032 11994
+rect 3044 11942 3096 11994
+rect 6594 11942 6646 11994
+rect 6658 11942 6710 11994
+rect 6722 11942 6774 11994
+rect 6786 11942 6838 11994
+rect 10335 11942 10387 11994
+rect 10399 11942 10451 11994
+rect 10463 11942 10515 11994
+rect 10527 11942 10579 11994
+rect 4252 11840 4304 11892
+rect 7472 11840 7524 11892
+rect 3976 11704 4028 11756
+rect 7472 11704 7524 11756
+rect 7748 11704 7800 11756
+rect 8944 11840 8996 11892
+rect 9588 11840 9640 11892
+rect 2688 11636 2740 11688
+rect 3516 11636 3568 11688
+rect 3884 11636 3936 11688
+rect 6276 11636 6328 11688
+rect 8024 11679 8076 11688
+rect 8024 11645 8033 11679
+rect 8033 11645 8067 11679
+rect 8067 11645 8076 11679
+rect 8024 11636 8076 11645
+rect 8300 11636 8352 11688
+rect 8852 11636 8904 11688
+rect 10048 11772 10100 11824
+rect 9956 11636 10008 11688
+rect 10784 11636 10836 11688
+rect 3240 11568 3292 11620
+rect 2504 11500 2556 11552
+rect 7104 11568 7156 11620
+rect 9772 11568 9824 11620
+rect 10692 11568 10744 11620
+rect 5172 11543 5224 11552
+rect 5172 11509 5181 11543
+rect 5181 11509 5215 11543
+rect 5215 11509 5224 11543
+rect 5172 11500 5224 11509
+rect 5724 11500 5776 11552
+rect 7932 11500 7984 11552
+rect 9680 11543 9732 11552
+rect 9680 11509 9689 11543
+rect 9689 11509 9723 11543
+rect 9723 11509 9732 11543
+rect 9680 11500 9732 11509
+rect 4723 11398 4775 11450
+rect 4787 11398 4839 11450
+rect 4851 11398 4903 11450
+rect 4915 11398 4967 11450
+rect 8464 11398 8516 11450
+rect 8528 11398 8580 11450
+rect 8592 11398 8644 11450
+rect 8656 11398 8708 11450
+rect 2412 11296 2464 11348
+rect 7472 11296 7524 11348
+rect 9772 11296 9824 11348
+rect 9956 11296 10008 11348
+rect 2412 11203 2464 11212
+rect 2412 11169 2421 11203
+rect 2421 11169 2455 11203
+rect 2455 11169 2464 11203
+rect 2412 11160 2464 11169
+rect 3148 11228 3200 11280
+rect 4068 11271 4120 11280
+rect 4068 11237 4077 11271
+rect 4077 11237 4111 11271
+rect 4111 11237 4120 11271
+rect 4068 11228 4120 11237
+rect 5724 11228 5776 11280
+rect 6460 11228 6512 11280
+rect 9128 11228 9180 11280
+rect 9404 11228 9456 11280
+rect 2596 11160 2648 11212
+rect 4528 11203 4580 11212
+rect 4528 11169 4537 11203
+rect 4537 11169 4571 11203
+rect 4571 11169 4580 11203
+rect 4528 11160 4580 11169
+rect 4620 11160 4672 11212
+rect 5264 11160 5316 11212
+rect 5540 11160 5592 11212
+rect 5908 11203 5960 11212
+rect 5908 11169 5917 11203
+rect 5917 11169 5951 11203
+rect 5951 11169 5960 11203
+rect 5908 11160 5960 11169
+rect 3516 11092 3568 11144
+rect 4436 11092 4488 11144
+rect 7380 11160 7432 11212
+rect 1400 11024 1452 11076
+rect 7012 11092 7064 11144
+rect 7656 11024 7708 11076
+rect 9312 11160 9364 11212
+rect 10692 11203 10744 11212
+rect 9496 11092 9548 11144
+rect 9680 11092 9732 11144
+rect 10692 11169 10701 11203
+rect 10701 11169 10735 11203
+rect 10735 11169 10744 11203
+rect 10692 11160 10744 11169
+rect 9956 11067 10008 11076
+rect 9956 11033 9965 11067
+rect 9965 11033 9999 11067
+rect 9999 11033 10008 11067
+rect 9956 11024 10008 11033
+rect 11152 11092 11204 11144
+rect 10692 11024 10744 11076
+rect 10968 11024 11020 11076
+rect 12900 11024 12952 11076
+rect 3240 10956 3292 11008
+rect 4344 10956 4396 11008
+rect 5080 10956 5132 11008
+rect 9496 10956 9548 11008
+rect 2852 10854 2904 10906
+rect 2916 10854 2968 10906
+rect 2980 10854 3032 10906
+rect 3044 10854 3096 10906
+rect 6594 10854 6646 10906
+rect 6658 10854 6710 10906
+rect 6722 10854 6774 10906
+rect 6786 10854 6838 10906
+rect 10335 10854 10387 10906
+rect 10399 10854 10451 10906
+rect 10463 10854 10515 10906
+rect 10527 10854 10579 10906
+rect 5724 10752 5776 10804
+rect 1492 10684 1544 10736
+rect 4712 10684 4764 10736
+rect 9036 10684 9088 10736
+rect 3976 10616 4028 10668
+rect 3700 10591 3752 10600
+rect 1308 10412 1360 10464
+rect 3700 10557 3709 10591
+rect 3709 10557 3743 10591
+rect 3743 10557 3752 10591
+rect 3700 10548 3752 10557
+rect 8760 10616 8812 10668
+rect 4712 10548 4764 10600
+rect 6276 10548 6328 10600
+rect 7840 10548 7892 10600
+rect 8024 10548 8076 10600
+rect 8116 10591 8168 10600
+rect 8116 10557 8125 10591
+rect 8125 10557 8159 10591
+rect 8159 10557 8168 10591
+rect 11152 10616 11204 10668
+rect 8116 10548 8168 10557
+rect 10232 10548 10284 10600
+rect 3148 10412 3200 10464
+rect 4068 10412 4120 10464
+rect 5448 10455 5500 10464
+rect 5448 10421 5457 10455
+rect 5457 10421 5491 10455
+rect 5491 10421 5500 10455
+rect 5448 10412 5500 10421
+rect 7748 10480 7800 10532
+rect 8208 10480 8260 10532
+rect 9404 10480 9456 10532
+rect 9864 10480 9916 10532
+rect 10140 10480 10192 10532
+rect 8300 10412 8352 10464
+rect 4723 10310 4775 10362
+rect 4787 10310 4839 10362
+rect 4851 10310 4903 10362
+rect 4915 10310 4967 10362
+rect 8464 10310 8516 10362
+rect 8528 10310 8580 10362
+rect 8592 10310 8644 10362
+rect 8656 10310 8708 10362
+rect 3240 10208 3292 10260
+rect 4068 10208 4120 10260
+rect 3424 10140 3476 10192
+rect 5264 10208 5316 10260
+rect 7564 10208 7616 10260
+rect 1400 10115 1452 10124
+rect 1400 10081 1409 10115
+rect 1409 10081 1443 10115
+rect 1443 10081 1452 10115
+rect 1400 10072 1452 10081
+rect 3148 10072 3200 10124
+rect 5080 10072 5132 10124
+rect 6000 10072 6052 10124
+rect 7104 10115 7156 10124
+rect 7104 10081 7113 10115
+rect 7113 10081 7147 10115
+rect 7147 10081 7156 10115
+rect 7104 10072 7156 10081
+rect 7288 10115 7340 10124
+rect 7288 10081 7297 10115
+rect 7297 10081 7331 10115
+rect 7331 10081 7340 10115
+rect 7288 10072 7340 10081
+rect 9864 10115 9916 10124
+rect 9864 10081 9873 10115
+rect 9873 10081 9907 10115
+rect 9907 10081 9916 10115
+rect 9864 10072 9916 10081
+rect 1584 10004 1636 10056
+rect 4160 9936 4212 9988
+rect 7840 10004 7892 10056
+rect 8024 10004 8076 10056
+rect 8576 10047 8628 10056
+rect 8576 10013 8585 10047
+rect 8585 10013 8619 10047
+rect 8619 10013 8628 10047
+rect 8576 10004 8628 10013
+rect 9220 10004 9272 10056
+rect 7196 9936 7248 9988
+rect 8116 9936 8168 9988
+rect 1492 9911 1544 9920
+rect 1492 9877 1501 9911
+rect 1501 9877 1535 9911
+rect 1535 9877 1544 9911
+rect 1492 9868 1544 9877
+rect 1768 9911 1820 9920
+rect 1768 9877 1777 9911
+rect 1777 9877 1811 9911
+rect 1811 9877 1820 9911
+rect 1768 9868 1820 9877
+rect 2688 9868 2740 9920
+rect 5080 9868 5132 9920
+rect 7472 9868 7524 9920
+rect 8576 9868 8628 9920
+rect 2852 9766 2904 9818
+rect 2916 9766 2968 9818
+rect 2980 9766 3032 9818
+rect 3044 9766 3096 9818
+rect 6594 9766 6646 9818
+rect 6658 9766 6710 9818
+rect 6722 9766 6774 9818
+rect 6786 9766 6838 9818
+rect 10335 9766 10387 9818
+rect 10399 9766 10451 9818
+rect 10463 9766 10515 9818
+rect 10527 9766 10579 9818
+rect 3608 9664 3660 9716
+rect 1492 9503 1544 9512
+rect 1492 9469 1501 9503
+rect 1501 9469 1535 9503
+rect 1535 9469 1544 9503
+rect 1492 9460 1544 9469
+rect 1952 9596 2004 9648
+rect 4344 9596 4396 9648
+rect 6920 9664 6972 9716
+rect 7380 9664 7432 9716
+rect 2504 9528 2556 9580
+rect 3148 9528 3200 9580
+rect 2596 9392 2648 9444
+rect 2872 9460 2924 9512
+rect 6092 9528 6144 9580
+rect 6184 9528 6236 9580
+rect 6368 9528 6420 9580
+rect 7564 9528 7616 9580
+rect 10784 9528 10836 9580
+rect 11244 9528 11296 9580
+rect 3792 9460 3844 9512
+rect 4068 9503 4120 9512
+rect 4068 9469 4077 9503
+rect 4077 9469 4111 9503
+rect 4111 9469 4120 9503
+rect 4068 9460 4120 9469
+rect 5632 9503 5684 9512
+rect 5632 9469 5641 9503
+rect 5641 9469 5675 9503
+rect 5675 9469 5684 9503
+rect 5632 9460 5684 9469
+rect 6828 9460 6880 9512
+rect 8944 9503 8996 9512
+rect 8944 9469 8953 9503
+rect 8953 9469 8987 9503
+rect 8987 9469 8996 9503
+rect 8944 9460 8996 9469
+rect 10140 9503 10192 9512
+rect 10140 9469 10149 9503
+rect 10149 9469 10183 9503
+rect 10183 9469 10192 9503
+rect 10140 9460 10192 9469
+rect 7472 9392 7524 9444
+rect 10692 9435 10744 9444
+rect 10692 9401 10701 9435
+rect 10701 9401 10735 9435
+rect 10735 9401 10744 9435
+rect 10692 9392 10744 9401
+rect 4436 9324 4488 9376
+rect 5080 9324 5132 9376
+rect 5632 9367 5684 9376
+rect 5632 9333 5641 9367
+rect 5641 9333 5675 9367
+rect 5675 9333 5684 9367
+rect 5632 9324 5684 9333
+rect 5816 9324 5868 9376
+rect 7196 9324 7248 9376
+rect 8852 9367 8904 9376
+rect 8852 9333 8861 9367
+rect 8861 9333 8895 9367
+rect 8895 9333 8904 9367
+rect 8852 9324 8904 9333
+rect 4723 9222 4775 9274
+rect 4787 9222 4839 9274
+rect 4851 9222 4903 9274
+rect 4915 9222 4967 9274
+rect 8464 9222 8516 9274
+rect 8528 9222 8580 9274
+rect 8592 9222 8644 9274
+rect 8656 9222 8708 9274
+rect 2872 9120 2924 9172
+rect 3056 9163 3108 9172
+rect 3056 9129 3065 9163
+rect 3065 9129 3099 9163
+rect 3099 9129 3108 9163
+rect 3056 9120 3108 9129
+rect 7012 9120 7064 9172
+rect 2044 9052 2096 9104
+rect 1860 9027 1912 9036
+rect 1860 8993 1869 9027
+rect 1869 8993 1903 9027
+rect 1903 8993 1912 9027
+rect 1860 8984 1912 8993
+rect 3240 9052 3292 9104
+rect 4160 9052 4212 9104
+rect 4252 9095 4304 9104
+rect 4252 9061 4261 9095
+rect 4261 9061 4295 9095
+rect 4295 9061 4304 9095
+rect 4252 9052 4304 9061
+rect 5540 9052 5592 9104
+rect 5816 9095 5868 9104
+rect 5816 9061 5825 9095
+rect 5825 9061 5859 9095
+rect 5859 9061 5868 9095
+rect 5816 9052 5868 9061
+rect 7196 9052 7248 9104
+rect 8944 9120 8996 9172
+rect 8852 9052 8904 9104
+rect 3424 8984 3476 9036
+rect 1492 8848 1544 8900
+rect 3056 8848 3108 8900
+rect 2044 8780 2096 8832
+rect 3884 8848 3936 8900
+rect 4344 8984 4396 9036
+rect 5448 9027 5500 9036
+rect 5448 8993 5457 9027
+rect 5457 8993 5491 9027
+rect 5491 8993 5500 9027
+rect 5448 8984 5500 8993
+rect 5724 8984 5776 9036
+rect 4436 8916 4488 8968
+rect 4620 8916 4672 8968
+rect 4712 8916 4764 8968
+rect 6000 8916 6052 8968
+rect 5264 8848 5316 8900
+rect 6828 8848 6880 8900
+rect 3240 8780 3292 8832
+rect 4528 8780 4580 8832
+rect 9036 8984 9088 9036
+rect 9404 8984 9456 9036
+rect 7380 8916 7432 8968
+rect 9956 8984 10008 9036
+rect 10784 8984 10836 9036
+rect 7196 8780 7248 8832
+rect 9404 8780 9456 8832
+rect 9772 8823 9824 8832
+rect 9772 8789 9781 8823
+rect 9781 8789 9815 8823
+rect 9815 8789 9824 8823
+rect 9772 8780 9824 8789
+rect 2852 8678 2904 8730
+rect 2916 8678 2968 8730
+rect 2980 8678 3032 8730
+rect 3044 8678 3096 8730
+rect 6594 8678 6646 8730
+rect 6658 8678 6710 8730
+rect 6722 8678 6774 8730
+rect 6786 8678 6838 8730
+rect 10335 8678 10387 8730
+rect 10399 8678 10451 8730
+rect 10463 8678 10515 8730
+rect 10527 8678 10579 8730
+rect 4344 8619 4396 8628
+rect 4344 8585 4353 8619
+rect 4353 8585 4387 8619
+rect 4387 8585 4396 8619
+rect 4344 8576 4396 8585
+rect 5448 8576 5500 8628
+rect 9772 8576 9824 8628
+rect 7012 8551 7064 8560
+rect 2044 8440 2096 8492
+rect 1952 8415 2004 8424
+rect 1952 8381 1961 8415
+rect 1961 8381 1995 8415
+rect 1995 8381 2004 8415
+rect 3240 8440 3292 8492
+rect 1952 8372 2004 8381
+rect 4988 8440 5040 8492
+rect 7012 8517 7021 8551
+rect 7021 8517 7055 8551
+rect 7055 8517 7064 8551
+rect 7012 8508 7064 8517
+rect 2136 8347 2188 8356
+rect 2136 8313 2145 8347
+rect 2145 8313 2179 8347
+rect 2179 8313 2188 8347
+rect 2136 8304 2188 8313
+rect 3792 8304 3844 8356
+rect 5172 8372 5224 8424
+rect 7288 8440 7340 8492
+rect 8024 8440 8076 8492
+rect 9864 8508 9916 8560
+rect 9772 8440 9824 8492
+rect 6184 8372 6236 8424
+rect 4712 8304 4764 8356
+rect 5540 8304 5592 8356
+rect 5724 8304 5776 8356
+rect 8300 8372 8352 8424
+rect 7564 8304 7616 8356
+rect 8116 8304 8168 8356
+rect 10784 8304 10836 8356
+rect 12072 8304 12124 8356
+rect 1860 8236 1912 8288
+rect 7104 8236 7156 8288
+rect 9956 8236 10008 8288
+rect 10048 8236 10100 8288
+rect 4723 8134 4775 8186
+rect 4787 8134 4839 8186
+rect 4851 8134 4903 8186
+rect 4915 8134 4967 8186
+rect 8464 8134 8516 8186
+rect 8528 8134 8580 8186
+rect 8592 8134 8644 8186
+rect 8656 8134 8708 8186
+rect 4344 8032 4396 8084
+rect 1676 7939 1728 7948
+rect 1676 7905 1685 7939
+rect 1685 7905 1719 7939
+rect 1719 7905 1728 7939
+rect 1676 7896 1728 7905
+rect 1860 7939 1912 7948
+rect 1860 7905 1869 7939
+rect 1869 7905 1903 7939
+rect 1903 7905 1912 7939
+rect 1860 7896 1912 7905
+rect 3240 7964 3292 8016
+rect 3700 7964 3752 8016
+rect 3792 7964 3844 8016
+rect 5356 7964 5408 8016
+rect 3424 7896 3476 7948
+rect 4252 7896 4304 7948
+rect 4988 7939 5040 7948
+rect 4528 7828 4580 7880
+rect 4988 7905 4997 7939
+rect 4997 7905 5031 7939
+rect 5031 7905 5040 7939
+rect 7012 7964 7064 8016
+rect 4988 7896 5040 7905
+rect 6828 7896 6880 7948
+rect 9956 8032 10008 8084
+rect 8116 8007 8168 8016
+rect 8116 7973 8125 8007
+rect 8125 7973 8159 8007
+rect 8159 7973 8168 8007
+rect 8116 7964 8168 7973
+rect 9036 7964 9088 8016
+rect 10232 8007 10284 8016
+rect 10232 7973 10241 8007
+rect 10241 7973 10275 8007
+rect 10275 7973 10284 8007
+rect 10232 7964 10284 7973
+rect 5448 7828 5500 7880
+rect 6368 7828 6420 7880
+rect 7656 7939 7708 7948
+rect 7656 7905 7665 7939
+rect 7665 7905 7699 7939
+rect 7699 7905 7708 7939
+rect 7656 7896 7708 7905
+rect 7840 7828 7892 7880
+rect 9496 7828 9548 7880
+rect 11060 7939 11112 7948
+rect 11060 7905 11069 7939
+rect 11069 7905 11103 7939
+rect 11103 7905 11112 7939
+rect 11060 7896 11112 7905
+rect 3976 7760 4028 7812
+rect 4344 7760 4396 7812
+rect 4988 7760 5040 7812
+rect 9588 7760 9640 7812
+rect 2228 7692 2280 7744
+rect 5540 7692 5592 7744
+rect 5632 7692 5684 7744
+rect 5816 7692 5868 7744
+rect 5908 7692 5960 7744
+rect 6184 7692 6236 7744
+rect 7564 7692 7616 7744
+rect 9956 7692 10008 7744
+rect 10140 7692 10192 7744
+rect 10784 7692 10836 7744
+rect 2852 7590 2904 7642
+rect 2916 7590 2968 7642
+rect 2980 7590 3032 7642
+rect 3044 7590 3096 7642
+rect 6594 7590 6646 7642
+rect 6658 7590 6710 7642
+rect 6722 7590 6774 7642
+rect 6786 7590 6838 7642
+rect 10335 7590 10387 7642
+rect 10399 7590 10451 7642
+rect 10463 7590 10515 7642
+rect 10527 7590 10579 7642
+rect 2136 7488 2188 7540
+rect 4252 7488 4304 7540
+rect 4620 7488 4672 7540
+rect 5540 7488 5592 7540
+rect 6000 7488 6052 7540
+rect 6276 7488 6328 7540
+rect 11060 7488 11112 7540
+rect 1676 7284 1728 7336
+rect 2228 7327 2280 7336
+rect 2228 7293 2237 7327
+rect 2237 7293 2271 7327
+rect 2271 7293 2280 7327
+rect 2228 7284 2280 7293
+rect 3240 7327 3292 7336
+rect 3240 7293 3249 7327
+rect 3249 7293 3283 7327
+rect 3283 7293 3292 7327
+rect 3240 7284 3292 7293
+rect 5816 7420 5868 7472
+rect 7380 7420 7432 7472
+rect 7196 7352 7248 7404
+rect 8208 7420 8260 7472
+rect 7840 7395 7892 7404
+rect 7840 7361 7849 7395
+rect 7849 7361 7883 7395
+rect 7883 7361 7892 7395
+rect 7840 7352 7892 7361
+rect 9956 7352 10008 7404
+rect 10140 7395 10192 7404
+rect 10140 7361 10149 7395
+rect 10149 7361 10183 7395
+rect 10183 7361 10192 7395
+rect 10140 7352 10192 7361
+rect 2320 7259 2372 7268
+rect 2320 7225 2329 7259
+rect 2329 7225 2363 7259
+rect 2363 7225 2372 7259
+rect 2320 7216 2372 7225
+rect 4068 7148 4120 7200
+rect 4988 7284 5040 7336
+rect 5264 7284 5316 7336
+rect 7380 7327 7432 7336
+rect 7380 7293 7389 7327
+rect 7389 7293 7423 7327
+rect 7423 7293 7432 7327
+rect 7380 7284 7432 7293
+rect 6552 7216 6604 7268
+rect 8760 7284 8812 7336
+rect 9036 7327 9088 7336
+rect 9036 7293 9045 7327
+rect 9045 7293 9079 7327
+rect 9079 7293 9088 7327
+rect 9036 7284 9088 7293
+rect 10876 7284 10928 7336
+rect 7840 7216 7892 7268
+rect 8208 7216 8260 7268
+rect 5816 7148 5868 7200
+rect 10140 7148 10192 7200
+rect 4723 7046 4775 7098
+rect 4787 7046 4839 7098
+rect 4851 7046 4903 7098
+rect 4915 7046 4967 7098
+rect 8464 7046 8516 7098
+rect 8528 7046 8580 7098
+rect 8592 7046 8644 7098
+rect 8656 7046 8708 7098
+rect 1768 6808 1820 6860
+rect 1952 6851 2004 6860
+rect 1952 6817 1961 6851
+rect 1961 6817 1995 6851
+rect 1995 6817 2004 6851
+rect 3240 6876 3292 6928
+rect 3424 6944 3476 6996
+rect 4068 6876 4120 6928
+rect 1952 6808 2004 6817
+rect 3148 6808 3200 6860
+rect 4252 6808 4304 6860
+rect 4620 6876 4672 6928
+rect 5356 6919 5408 6928
+rect 5356 6885 5365 6919
+rect 5365 6885 5399 6919
+rect 5399 6885 5408 6919
+rect 5356 6876 5408 6885
+rect 6092 6876 6144 6928
+rect 5172 6808 5224 6860
+rect 6460 6808 6512 6860
+rect 6644 6851 6696 6860
+rect 6644 6817 6653 6851
+rect 6653 6817 6687 6851
+rect 6687 6817 6696 6851
+rect 6644 6808 6696 6817
+rect 7748 6851 7800 6860
+rect 4620 6740 4672 6792
+rect 5632 6740 5684 6792
+rect 7748 6817 7757 6851
+rect 7757 6817 7791 6851
+rect 7791 6817 7800 6851
+rect 7748 6808 7800 6817
+rect 8852 6808 8904 6860
+rect 9588 6808 9640 6860
+rect 9680 6808 9732 6860
+rect 10784 6876 10836 6928
+rect 10876 6851 10928 6860
+rect 8392 6740 8444 6792
+rect 10876 6817 10885 6851
+rect 10885 6817 10919 6851
+rect 10919 6817 10928 6851
+rect 10876 6808 10928 6817
+rect 11060 6851 11112 6860
+rect 11060 6817 11069 6851
+rect 11069 6817 11103 6851
+rect 11103 6817 11112 6851
+rect 11060 6808 11112 6817
+rect 3976 6672 4028 6724
+rect 9036 6672 9088 6724
+rect 11152 6740 11204 6792
+rect 3240 6604 3292 6656
+rect 7472 6604 7524 6656
+rect 8576 6604 8628 6656
+rect 9772 6647 9824 6656
+rect 9772 6613 9781 6647
+rect 9781 6613 9815 6647
+rect 9815 6613 9824 6647
+rect 9772 6604 9824 6613
+rect 2852 6502 2904 6554
+rect 2916 6502 2968 6554
+rect 2980 6502 3032 6554
+rect 3044 6502 3096 6554
+rect 6594 6502 6646 6554
+rect 6658 6502 6710 6554
+rect 6722 6502 6774 6554
+rect 6786 6502 6838 6554
+rect 10335 6502 10387 6554
+rect 10399 6502 10451 6554
+rect 10463 6502 10515 6554
+rect 10527 6502 10579 6554
+rect 5816 6443 5868 6452
+rect 3148 6332 3200 6384
+rect 5816 6409 5825 6443
+rect 5825 6409 5859 6443
+rect 5859 6409 5868 6443
+rect 5816 6400 5868 6409
+rect 7472 6443 7524 6452
+rect 7472 6409 7481 6443
+rect 7481 6409 7515 6443
+rect 7515 6409 7524 6443
+rect 7472 6400 7524 6409
+rect 9588 6400 9640 6452
+rect 8576 6332 8628 6384
+rect 8760 6375 8812 6384
+rect 8760 6341 8769 6375
+rect 8769 6341 8803 6375
+rect 8803 6341 8812 6375
+rect 8760 6332 8812 6341
+rect 9036 6332 9088 6384
+rect 9404 6332 9456 6384
+rect 2596 6264 2648 6316
+rect 4068 6264 4120 6316
+rect 1768 6239 1820 6248
+rect 1768 6205 1777 6239
+rect 1777 6205 1811 6239
+rect 1811 6205 1820 6239
+rect 1768 6196 1820 6205
+rect 3240 6239 3292 6248
+rect 3240 6205 3249 6239
+rect 3249 6205 3283 6239
+rect 3283 6205 3292 6239
+rect 3240 6196 3292 6205
+rect 3976 6196 4028 6248
+rect 4344 6196 4396 6248
+rect 7748 6264 7800 6316
+rect 7932 6264 7984 6316
+rect 10232 6264 10284 6316
+rect 5540 6239 5592 6248
+rect 5540 6205 5549 6239
+rect 5549 6205 5583 6239
+rect 5583 6205 5592 6239
+rect 5540 6196 5592 6205
+rect 5816 6196 5868 6248
+rect 7288 6239 7340 6248
+rect 7288 6205 7297 6239
+rect 7297 6205 7331 6239
+rect 7331 6205 7340 6239
+rect 7288 6196 7340 6205
+rect 8392 6239 8444 6248
+rect 8392 6205 8401 6239
+rect 8401 6205 8435 6239
+rect 8435 6205 8444 6239
+rect 8392 6196 8444 6205
+rect 8944 6196 8996 6248
+rect 9588 6239 9640 6248
+rect 9588 6205 9597 6239
+rect 9597 6205 9631 6239
+rect 9631 6205 9640 6239
+rect 9588 6196 9640 6205
+rect 9772 6239 9824 6248
+rect 9772 6205 9781 6239
+rect 9781 6205 9815 6239
+rect 9815 6205 9824 6239
+rect 9772 6196 9824 6205
+rect 10324 6196 10376 6248
+rect 6092 6128 6144 6180
+rect 7472 6128 7524 6180
+rect 10876 6128 10928 6180
+rect 7380 6060 7432 6112
+rect 4723 5958 4775 6010
+rect 4787 5958 4839 6010
+rect 4851 5958 4903 6010
+rect 4915 5958 4967 6010
+rect 8464 5958 8516 6010
+rect 8528 5958 8580 6010
+rect 8592 5958 8644 6010
+rect 8656 5958 8708 6010
+rect 5724 5856 5776 5908
+rect 3332 5788 3384 5840
+rect 4344 5763 4396 5772
+rect 2596 5652 2648 5704
+rect 4344 5729 4353 5763
+rect 4353 5729 4387 5763
+rect 4387 5729 4396 5763
+rect 4344 5720 4396 5729
+rect 5540 5788 5592 5840
+rect 5816 5831 5868 5840
+rect 5816 5797 5825 5831
+rect 5825 5797 5859 5831
+rect 5859 5797 5868 5831
+rect 5816 5788 5868 5797
+rect 5080 5720 5132 5772
+rect 5448 5763 5500 5772
+rect 5448 5729 5457 5763
+rect 5457 5729 5491 5763
+rect 5491 5729 5500 5763
+rect 5448 5720 5500 5729
+rect 6000 5788 6052 5840
+rect 5724 5652 5776 5704
+rect 6828 5763 6880 5772
+rect 6828 5729 6837 5763
+rect 6837 5729 6871 5763
+rect 6871 5729 6880 5763
+rect 6828 5720 6880 5729
+rect 6736 5652 6788 5704
+rect 4160 5584 4212 5636
+rect 5816 5584 5868 5636
+rect 5908 5584 5960 5636
+rect 9588 5788 9640 5840
+rect 8116 5763 8168 5772
+rect 8116 5729 8125 5763
+rect 8125 5729 8159 5763
+rect 8159 5729 8168 5763
+rect 8116 5720 8168 5729
+rect 9128 5720 9180 5772
+rect 9772 5763 9824 5772
+rect 9772 5729 9781 5763
+rect 9781 5729 9815 5763
+rect 9815 5729 9824 5763
+rect 9772 5720 9824 5729
+rect 7840 5652 7892 5704
+rect 10692 5720 10744 5772
+rect 10968 5720 11020 5772
+rect 8116 5584 8168 5636
+rect 9312 5584 9364 5636
+rect 2852 5414 2904 5466
+rect 2916 5414 2968 5466
+rect 2980 5414 3032 5466
+rect 3044 5414 3096 5466
+rect 6594 5414 6646 5466
+rect 6658 5414 6710 5466
+rect 6722 5414 6774 5466
+rect 6786 5414 6838 5466
+rect 10335 5414 10387 5466
+rect 10399 5414 10451 5466
+rect 10463 5414 10515 5466
+rect 10527 5414 10579 5466
+rect 7104 5312 7156 5364
+rect 9772 5312 9824 5364
+rect 4620 5287 4672 5296
+rect 4620 5253 4629 5287
+rect 4629 5253 4663 5287
+rect 4663 5253 4672 5287
+rect 4620 5244 4672 5253
+rect 1952 5176 2004 5228
+rect 4252 5108 4304 5160
+rect 4436 5151 4488 5160
+rect 4436 5117 4445 5151
+rect 4445 5117 4479 5151
+rect 4479 5117 4488 5151
+rect 4436 5108 4488 5117
+rect 4712 5108 4764 5160
+rect 6092 5244 6144 5296
+rect 8852 5244 8904 5296
+rect 6920 5176 6972 5228
+rect 6460 5108 6512 5160
+rect 7196 5108 7248 5160
+rect 9680 5176 9732 5228
+rect 8668 5108 8720 5160
+rect 8944 5151 8996 5160
+rect 6184 5040 6236 5092
+rect 8944 5117 8953 5151
+rect 8953 5117 8987 5151
+rect 8987 5117 8996 5151
+rect 8944 5108 8996 5117
+rect 10140 5151 10192 5160
+rect 10140 5117 10149 5151
+rect 10149 5117 10183 5151
+rect 10183 5117 10192 5151
+rect 10140 5108 10192 5117
+rect 9404 5040 9456 5092
+rect 10048 5040 10100 5092
+rect 4723 4870 4775 4922
+rect 4787 4870 4839 4922
+rect 4851 4870 4903 4922
+rect 4915 4870 4967 4922
+rect 8464 4870 8516 4922
+rect 8528 4870 8580 4922
+rect 8592 4870 8644 4922
+rect 8656 4870 8708 4922
+rect 5540 4768 5592 4820
+rect 8944 4768 8996 4820
+rect 4528 4700 4580 4752
+rect 4344 4632 4396 4684
+rect 5080 4675 5132 4684
+rect 5080 4641 5089 4675
+rect 5089 4641 5123 4675
+rect 5123 4641 5132 4675
+rect 5080 4632 5132 4641
+rect 5540 4632 5592 4684
+rect 5816 4632 5868 4684
+rect 7288 4632 7340 4684
+rect 9220 4700 9272 4752
+rect 9404 4700 9456 4752
+rect 9956 4700 10008 4752
+rect 7564 4675 7616 4684
+rect 7564 4641 7573 4675
+rect 7573 4641 7607 4675
+rect 7607 4641 7616 4675
+rect 7564 4632 7616 4641
+rect 7748 4632 7800 4684
+rect 5356 4496 5408 4548
+rect 5908 4496 5960 4548
+rect 2852 4326 2904 4378
+rect 2916 4326 2968 4378
+rect 2980 4326 3032 4378
+rect 3044 4326 3096 4378
+rect 6594 4326 6646 4378
+rect 6658 4326 6710 4378
+rect 6722 4326 6774 4378
+rect 6786 4326 6838 4378
+rect 10335 4326 10387 4378
+rect 10399 4326 10451 4378
+rect 10463 4326 10515 4378
+rect 10527 4326 10579 4378
+rect 4436 4224 4488 4276
+rect 3976 4088 4028 4140
+rect 5632 4088 5684 4140
+rect 5724 4063 5776 4072
+rect 5724 4029 5733 4063
+rect 5733 4029 5767 4063
+rect 5767 4029 5776 4063
+rect 5724 4020 5776 4029
+rect 7288 4063 7340 4072
+rect 7288 4029 7297 4063
+rect 7297 4029 7331 4063
+rect 7331 4029 7340 4063
+rect 7288 4020 7340 4029
+rect 7656 4020 7708 4072
+rect 9312 4088 9364 4140
+rect 9404 4088 9456 4140
+rect 9680 4088 9732 4140
+rect 9036 4020 9088 4072
+rect 8852 3995 8904 4004
+rect 8852 3961 8861 3995
+rect 8861 3961 8895 3995
+rect 8895 3961 8904 3995
+rect 8852 3952 8904 3961
+rect 6276 3884 6328 3936
+rect 7380 3927 7432 3936
+rect 7380 3893 7389 3927
+rect 7389 3893 7423 3927
+rect 7423 3893 7432 3927
+rect 7380 3884 7432 3893
+rect 4723 3782 4775 3834
+rect 4787 3782 4839 3834
+rect 4851 3782 4903 3834
+rect 4915 3782 4967 3834
+rect 8464 3782 8516 3834
+rect 8528 3782 8580 3834
+rect 8592 3782 8644 3834
+rect 8656 3782 8708 3834
+rect 5540 3680 5592 3732
+rect 5448 3612 5500 3664
+rect 4252 3544 4304 3596
+rect 5264 3544 5316 3596
+rect 11244 3612 11296 3664
+rect 5908 3544 5960 3596
+rect 7012 3587 7064 3596
+rect 7012 3553 7021 3587
+rect 7021 3553 7055 3587
+rect 7055 3553 7064 3587
+rect 7012 3544 7064 3553
+rect 7472 3544 7524 3596
+rect 8116 3544 8168 3596
+rect 7012 3408 7064 3460
+rect 2852 3238 2904 3290
+rect 2916 3238 2968 3290
+rect 2980 3238 3032 3290
+rect 3044 3238 3096 3290
+rect 6594 3238 6646 3290
+rect 6658 3238 6710 3290
+rect 6722 3238 6774 3290
+rect 6786 3238 6838 3290
+rect 10335 3238 10387 3290
+rect 10399 3238 10451 3290
+rect 10463 3238 10515 3290
+rect 10527 3238 10579 3290
+rect 5264 3068 5316 3120
+rect 8300 3111 8352 3120
+rect 8300 3077 8309 3111
+rect 8309 3077 8343 3111
+rect 8343 3077 8352 3111
+rect 8300 3068 8352 3077
+rect 5080 2932 5132 2984
+rect 7012 2975 7064 2984
+rect 7012 2941 7021 2975
+rect 7021 2941 7055 2975
+rect 7055 2941 7064 2975
+rect 7012 2932 7064 2941
+rect 8024 2975 8076 2984
+rect 8024 2941 8033 2975
+rect 8033 2941 8067 2975
+rect 8067 2941 8076 2975
+rect 8024 2932 8076 2941
+rect 8208 2975 8260 2984
+rect 8208 2941 8217 2975
+rect 8217 2941 8251 2975
+rect 8251 2941 8260 2975
+rect 8208 2932 8260 2941
+rect 9496 2796 9548 2848
+rect 11152 2796 11204 2848
+rect 4723 2694 4775 2746
+rect 4787 2694 4839 2746
+rect 4851 2694 4903 2746
+rect 4915 2694 4967 2746
+rect 8464 2694 8516 2746
+rect 8528 2694 8580 2746
+rect 8592 2694 8644 2746
+rect 8656 2694 8708 2746
+rect 2852 2150 2904 2202
+rect 2916 2150 2968 2202
+rect 2980 2150 3032 2202
+rect 3044 2150 3096 2202
+rect 6594 2150 6646 2202
+rect 6658 2150 6710 2202
+rect 6722 2150 6774 2202
+rect 6786 2150 6838 2202
+rect 10335 2150 10387 2202
+rect 10399 2150 10451 2202
+rect 10463 2150 10515 2202
+rect 10527 2150 10579 2202
+<< metal2 >>
+rect 478 14842 534 15642
+rect 1490 14842 1546 15642
+rect 2502 14842 2558 15642
+rect 3514 14842 3570 15642
+rect 4618 14842 4674 15642
+rect 5630 14842 5686 15642
+rect 6642 14842 6698 15642
+rect 7746 14842 7802 15642
+rect 8758 14842 8814 15642
+rect 9770 14842 9826 15642
+rect 10874 14842 10930 15642
+rect 11886 14842 11942 15642
+rect 12898 14842 12954 15642
+rect 492 12442 520 14842
+rect 1400 12776 1452 12782
+rect 1398 12744 1400 12753
+rect 1452 12744 1454 12753
+rect 1398 12679 1454 12688
+rect 480 12436 532 12442
+rect 480 12378 532 12384
+rect 1504 12238 1532 14842
+rect 1584 13184 1636 13190
+rect 1584 13126 1636 13132
+rect 1596 12442 1624 13126
+rect 2516 12442 2544 14842
+rect 2826 13084 3122 13104
+rect 2882 13082 2906 13084
+rect 2962 13082 2986 13084
+rect 3042 13082 3066 13084
+rect 2904 13030 2906 13082
+rect 2968 13030 2980 13082
+rect 3042 13030 3044 13082
+rect 2882 13028 2906 13030
+rect 2962 13028 2986 13030
+rect 3042 13028 3066 13030
+rect 2826 13008 3122 13028
+rect 3330 12880 3386 12889
+rect 3330 12815 3386 12824
+rect 1584 12436 1636 12442
+rect 1584 12378 1636 12384
+rect 2504 12436 2556 12442
+rect 2504 12378 2556 12384
+rect 1492 12232 1544 12238
+rect 1492 12174 1544 12180
+rect 1400 11076 1452 11082
+rect 1400 11018 1452 11024
+rect 1308 10464 1360 10470
+rect 1308 10406 1360 10412
+rect 1320 800 1348 10406
+rect 1412 10130 1440 11018
+rect 1492 10736 1544 10742
+rect 1492 10678 1544 10684
+rect 1400 10124 1452 10130
+rect 1400 10066 1452 10072
+rect 1504 9926 1532 10678
+rect 1596 10062 1624 12378
+rect 3148 12300 3200 12306
+rect 3148 12242 3200 12248
+rect 2826 11996 3122 12016
+rect 2882 11994 2906 11996
+rect 2962 11994 2986 11996
+rect 3042 11994 3066 11996
+rect 2904 11942 2906 11994
+rect 2968 11942 2980 11994
+rect 3042 11942 3044 11994
+rect 2882 11940 2906 11942
+rect 2962 11940 2986 11942
+rect 3042 11940 3066 11942
+rect 2826 11920 3122 11940
+rect 2688 11688 2740 11694
+rect 2688 11630 2740 11636
+rect 2504 11552 2556 11558
+rect 2504 11494 2556 11500
+rect 2412 11348 2464 11354
+rect 2412 11290 2464 11296
+rect 2424 11218 2452 11290
+rect 2412 11212 2464 11218
+rect 2412 11154 2464 11160
+rect 1584 10056 1636 10062
+rect 1584 9998 1636 10004
+rect 1492 9920 1544 9926
+rect 1492 9862 1544 9868
+rect 1768 9920 1820 9926
+rect 1768 9862 1820 9868
+rect 1492 9512 1544 9518
+rect 1492 9454 1544 9460
+rect 1504 8906 1532 9454
+rect 1492 8900 1544 8906
+rect 1492 8842 1544 8848
+rect 1674 7984 1730 7993
+rect 1674 7919 1676 7928
+rect 1728 7919 1730 7928
+rect 1676 7890 1728 7896
+rect 1688 7342 1716 7890
+rect 1676 7336 1728 7342
+rect 1676 7278 1728 7284
+rect 1780 6866 1808 9862
+rect 1952 9648 2004 9654
+rect 1952 9590 2004 9596
+rect 1860 9036 1912 9042
+rect 1860 8978 1912 8984
+rect 1872 8401 1900 8978
+rect 1964 8430 1992 9590
+rect 2516 9586 2544 11494
+rect 2596 11212 2648 11218
+rect 2596 11154 2648 11160
+rect 2504 9580 2556 9586
+rect 2504 9522 2556 9528
+rect 2042 9480 2098 9489
+rect 2608 9450 2636 11154
+rect 2700 9926 2728 11630
+rect 3160 11286 3188 12242
+rect 3240 11620 3292 11626
+rect 3240 11562 3292 11568
+rect 3148 11280 3200 11286
+rect 3148 11222 3200 11228
+rect 3252 11014 3280 11562
+rect 3240 11008 3292 11014
+rect 3240 10950 3292 10956
+rect 2826 10908 3122 10928
+rect 2882 10906 2906 10908
+rect 2962 10906 2986 10908
+rect 3042 10906 3066 10908
+rect 2904 10854 2906 10906
+rect 2968 10854 2980 10906
+rect 3042 10854 3044 10906
+rect 2882 10852 2906 10854
+rect 2962 10852 2986 10854
+rect 3042 10852 3066 10854
+rect 2826 10832 3122 10852
+rect 3148 10464 3200 10470
+rect 3148 10406 3200 10412
+rect 3160 10130 3188 10406
+rect 3240 10260 3292 10266
+rect 3240 10202 3292 10208
+rect 3148 10124 3200 10130
+rect 3148 10066 3200 10072
+rect 2688 9920 2740 9926
+rect 2688 9862 2740 9868
+rect 2826 9820 3122 9840
+rect 2882 9818 2906 9820
+rect 2962 9818 2986 9820
+rect 3042 9818 3066 9820
+rect 2904 9766 2906 9818
+rect 2968 9766 2980 9818
+rect 3042 9766 3044 9818
+rect 2882 9764 2906 9766
+rect 2962 9764 2986 9766
+rect 3042 9764 3066 9766
+rect 2826 9744 3122 9764
+rect 3148 9580 3200 9586
+rect 3148 9522 3200 9528
+rect 2872 9512 2924 9518
+rect 2872 9454 2924 9460
+rect 2042 9415 2098 9424
+rect 2596 9444 2648 9450
+rect 2056 9110 2084 9415
+rect 2596 9386 2648 9392
+rect 2044 9104 2096 9110
+rect 2044 9046 2096 9052
+rect 2044 8832 2096 8838
+rect 2044 8774 2096 8780
+rect 2056 8498 2084 8774
+rect 2044 8492 2096 8498
+rect 2044 8434 2096 8440
+rect 1952 8424 2004 8430
+rect 1858 8392 1914 8401
+rect 1952 8366 2004 8372
+rect 1858 8327 1914 8336
+rect 2136 8356 2188 8362
+rect 2136 8298 2188 8304
+rect 1860 8288 1912 8294
+rect 1860 8230 1912 8236
+rect 1872 7954 1900 8230
+rect 1860 7948 1912 7954
+rect 1860 7890 1912 7896
+rect 2148 7546 2176 8298
+rect 2228 7744 2280 7750
+rect 2228 7686 2280 7692
+rect 2136 7540 2188 7546
+rect 2136 7482 2188 7488
+rect 2240 7342 2268 7686
+rect 2228 7336 2280 7342
+rect 2228 7278 2280 7284
+rect 2318 7304 2374 7313
+rect 2318 7239 2320 7248
+rect 2372 7239 2374 7248
+rect 2320 7210 2372 7216
+rect 1768 6860 1820 6866
+rect 1768 6802 1820 6808
+rect 1952 6860 2004 6866
+rect 1952 6802 2004 6808
+rect 1768 6248 1820 6254
+rect 1768 6190 1820 6196
+rect 1780 2689 1808 6190
+rect 1964 5234 1992 6802
+rect 2608 6322 2636 9386
+rect 2884 9178 2912 9454
+rect 2872 9172 2924 9178
+rect 2872 9114 2924 9120
+rect 3056 9172 3108 9178
+rect 3056 9114 3108 9120
+rect 3068 8906 3096 9114
+rect 3056 8900 3108 8906
+rect 3056 8842 3108 8848
+rect 2826 8732 3122 8752
+rect 2882 8730 2906 8732
+rect 2962 8730 2986 8732
+rect 3042 8730 3066 8732
+rect 2904 8678 2906 8730
+rect 2968 8678 2980 8730
+rect 3042 8678 3044 8730
+rect 2882 8676 2906 8678
+rect 2962 8676 2986 8678
+rect 3042 8676 3066 8678
+rect 2826 8656 3122 8676
+rect 3160 7857 3188 9522
+rect 3252 9110 3280 10202
+rect 3240 9104 3292 9110
+rect 3240 9046 3292 9052
+rect 3240 8832 3292 8838
+rect 3240 8774 3292 8780
+rect 3252 8498 3280 8774
+rect 3240 8492 3292 8498
+rect 3240 8434 3292 8440
+rect 3240 8016 3292 8022
+rect 3240 7958 3292 7964
+rect 3146 7848 3202 7857
+rect 3146 7783 3202 7792
+rect 2826 7644 3122 7664
+rect 2882 7642 2906 7644
+rect 2962 7642 2986 7644
+rect 3042 7642 3066 7644
+rect 2904 7590 2906 7642
+rect 2968 7590 2980 7642
+rect 3042 7590 3044 7642
+rect 2882 7588 2906 7590
+rect 2962 7588 2986 7590
+rect 3042 7588 3066 7590
+rect 2826 7568 3122 7588
+rect 3252 7342 3280 7958
+rect 3240 7336 3292 7342
+rect 3240 7278 3292 7284
+rect 3252 6934 3280 7278
+rect 3240 6928 3292 6934
+rect 3240 6870 3292 6876
+rect 3148 6860 3200 6866
+rect 3148 6802 3200 6808
+rect 2826 6556 3122 6576
+rect 2882 6554 2906 6556
+rect 2962 6554 2986 6556
+rect 3042 6554 3066 6556
+rect 2904 6502 2906 6554
+rect 2968 6502 2980 6554
+rect 3042 6502 3044 6554
+rect 2882 6500 2906 6502
+rect 2962 6500 2986 6502
+rect 3042 6500 3066 6502
+rect 2826 6480 3122 6500
+rect 3160 6390 3188 6802
+rect 3240 6656 3292 6662
+rect 3240 6598 3292 6604
+rect 3148 6384 3200 6390
+rect 3148 6326 3200 6332
+rect 2596 6316 2648 6322
+rect 2596 6258 2648 6264
+rect 2608 5710 2636 6258
+rect 3252 6254 3280 6598
+rect 3240 6248 3292 6254
+rect 3240 6190 3292 6196
+rect 3344 5846 3372 12815
+rect 3528 12782 3556 14842
+rect 4632 14754 4660 14842
+rect 4528 14748 4580 14754
+rect 4528 14690 4580 14696
+rect 4620 14748 4672 14754
+rect 4620 14690 4672 14696
+rect 3516 12776 3568 12782
+rect 3516 12718 3568 12724
+rect 4068 12776 4120 12782
+rect 4068 12718 4120 12724
+rect 4160 12776 4212 12782
+rect 4160 12718 4212 12724
+rect 3792 12708 3844 12714
+rect 3792 12650 3844 12656
+rect 3700 12640 3752 12646
+rect 3700 12582 3752 12588
+rect 3516 12164 3568 12170
+rect 3516 12106 3568 12112
+rect 3528 11694 3556 12106
+rect 3608 12096 3660 12102
+rect 3608 12038 3660 12044
+rect 3516 11688 3568 11694
+rect 3516 11630 3568 11636
+rect 3516 11144 3568 11150
+rect 3516 11086 3568 11092
+rect 3424 10192 3476 10198
+rect 3424 10134 3476 10140
+rect 3436 9042 3464 10134
+rect 3424 9036 3476 9042
+rect 3424 8978 3476 8984
+rect 3528 8809 3556 11086
+rect 3620 9722 3648 12038
+rect 3712 10606 3740 12582
+rect 3804 10713 3832 12650
+rect 4080 12306 4108 12718
+rect 4172 12442 4200 12718
+rect 4160 12436 4212 12442
+rect 4160 12378 4212 12384
+rect 4068 12300 4120 12306
+rect 4068 12242 4120 12248
+rect 4080 11778 4108 12242
+rect 4252 11892 4304 11898
+rect 4252 11834 4304 11840
+rect 3988 11762 4108 11778
+rect 3976 11756 4108 11762
+rect 4028 11750 4108 11756
+rect 3976 11698 4028 11704
+rect 3884 11688 3936 11694
+rect 3884 11630 3936 11636
+rect 3790 10704 3846 10713
+rect 3790 10639 3846 10648
+rect 3700 10600 3752 10606
+rect 3700 10542 3752 10548
+rect 3790 10568 3846 10577
+rect 3608 9716 3660 9722
+rect 3608 9658 3660 9664
+rect 3514 8800 3570 8809
+rect 3514 8735 3570 8744
+rect 3712 8022 3740 10542
+rect 3790 10503 3846 10512
+rect 3804 9518 3832 10503
+rect 3792 9512 3844 9518
+rect 3792 9454 3844 9460
+rect 3896 8906 3924 11630
+rect 4068 11280 4120 11286
+rect 4068 11222 4120 11228
+rect 3976 10668 4028 10674
+rect 3976 10610 4028 10616
+rect 3988 9081 4016 10610
+rect 4080 10470 4108 11222
+rect 4068 10464 4120 10470
+rect 4068 10406 4120 10412
+rect 4080 10266 4108 10406
+rect 4068 10260 4120 10266
+rect 4068 10202 4120 10208
+rect 4160 9988 4212 9994
+rect 4160 9930 4212 9936
+rect 4066 9616 4122 9625
+rect 4066 9551 4122 9560
+rect 4080 9518 4108 9551
+rect 4068 9512 4120 9518
+rect 4068 9454 4120 9460
+rect 3974 9072 4030 9081
+rect 3974 9007 4030 9016
+rect 3884 8900 3936 8906
+rect 3884 8842 3936 8848
+rect 4080 8786 4108 9454
+rect 4172 9110 4200 9930
+rect 4264 9110 4292 11834
+rect 4540 11336 4568 14690
+rect 5080 12912 5132 12918
+rect 5080 12854 5132 12860
+rect 4697 12540 4993 12560
+rect 4753 12538 4777 12540
+rect 4833 12538 4857 12540
+rect 4913 12538 4937 12540
+rect 4775 12486 4777 12538
+rect 4839 12486 4851 12538
+rect 4913 12486 4915 12538
+rect 4753 12484 4777 12486
+rect 4833 12484 4857 12486
+rect 4913 12484 4937 12486
+rect 4697 12464 4993 12484
+rect 4697 11452 4993 11472
+rect 4753 11450 4777 11452
+rect 4833 11450 4857 11452
+rect 4913 11450 4937 11452
+rect 4775 11398 4777 11450
+rect 4839 11398 4851 11450
+rect 4913 11398 4915 11450
+rect 4753 11396 4777 11398
+rect 4833 11396 4857 11398
+rect 4913 11396 4937 11398
+rect 4697 11376 4993 11396
+rect 4540 11308 4752 11336
+rect 4528 11212 4580 11218
+rect 4528 11154 4580 11160
+rect 4620 11212 4672 11218
+rect 4620 11154 4672 11160
+rect 4436 11144 4488 11150
+rect 4436 11086 4488 11092
+rect 4344 11008 4396 11014
+rect 4344 10950 4396 10956
+rect 4356 9654 4384 10950
+rect 4344 9648 4396 9654
+rect 4344 9590 4396 9596
+rect 4160 9104 4212 9110
+rect 4160 9046 4212 9052
+rect 4252 9104 4304 9110
+rect 4252 9046 4304 9052
+rect 3988 8758 4108 8786
+rect 3792 8356 3844 8362
+rect 3792 8298 3844 8304
+rect 3804 8022 3832 8298
+rect 3700 8016 3752 8022
+rect 3700 7958 3752 7964
+rect 3792 8016 3844 8022
+rect 3792 7958 3844 7964
+rect 3424 7948 3476 7954
+rect 3424 7890 3476 7896
+rect 3436 7002 3464 7890
+rect 3988 7818 4016 8758
+rect 4066 7848 4122 7857
+rect 3976 7812 4028 7818
+rect 4066 7783 4122 7792
+rect 3976 7754 4028 7760
+rect 4080 7206 4108 7783
+rect 4068 7200 4120 7206
+rect 4068 7142 4120 7148
+rect 3424 6996 3476 7002
+rect 3424 6938 3476 6944
+rect 4068 6928 4120 6934
+rect 4068 6870 4120 6876
+rect 3976 6724 4028 6730
+rect 3976 6666 4028 6672
+rect 3988 6254 4016 6666
+rect 4080 6322 4108 6870
+rect 4068 6316 4120 6322
+rect 4068 6258 4120 6264
+rect 3976 6248 4028 6254
+rect 3976 6190 4028 6196
+rect 3332 5840 3384 5846
+rect 3332 5782 3384 5788
+rect 2596 5704 2648 5710
+rect 2596 5646 2648 5652
+rect 4172 5642 4200 9046
+rect 4264 7954 4292 9046
+rect 4356 9042 4384 9590
+rect 4448 9382 4476 11086
+rect 4436 9376 4488 9382
+rect 4436 9318 4488 9324
+rect 4344 9036 4396 9042
+rect 4344 8978 4396 8984
+rect 4436 8968 4488 8974
+rect 4436 8910 4488 8916
+rect 4344 8628 4396 8634
+rect 4344 8570 4396 8576
+rect 4356 8090 4384 8570
+rect 4344 8084 4396 8090
+rect 4344 8026 4396 8032
+rect 4252 7948 4304 7954
+rect 4252 7890 4304 7896
+rect 4344 7812 4396 7818
+rect 4344 7754 4396 7760
+rect 4252 7540 4304 7546
+rect 4252 7482 4304 7488
+rect 4264 6866 4292 7482
+rect 4252 6860 4304 6866
+rect 4252 6802 4304 6808
+rect 4356 6746 4384 7754
+rect 4264 6718 4384 6746
+rect 4160 5636 4212 5642
+rect 4160 5578 4212 5584
+rect 2826 5468 3122 5488
+rect 2882 5466 2906 5468
+rect 2962 5466 2986 5468
+rect 3042 5466 3066 5468
+rect 2904 5414 2906 5466
+rect 2968 5414 2980 5466
+rect 3042 5414 3044 5466
+rect 2882 5412 2906 5414
+rect 2962 5412 2986 5414
+rect 3042 5412 3066 5414
+rect 2826 5392 3122 5412
+rect 1952 5228 2004 5234
+rect 1952 5170 2004 5176
+rect 4264 5166 4292 6718
+rect 4344 6248 4396 6254
+rect 4344 6190 4396 6196
+rect 4356 5778 4384 6190
+rect 4344 5772 4396 5778
+rect 4344 5714 4396 5720
+rect 4252 5160 4304 5166
+rect 4252 5102 4304 5108
+rect 2826 4380 3122 4400
+rect 2882 4378 2906 4380
+rect 2962 4378 2986 4380
+rect 3042 4378 3066 4380
+rect 2904 4326 2906 4378
+rect 2968 4326 2980 4378
+rect 3042 4326 3044 4378
+rect 2882 4324 2906 4326
+rect 2962 4324 2986 4326
+rect 3042 4324 3066 4326
+rect 2826 4304 3122 4324
+rect 3976 4140 4028 4146
+rect 3976 4082 4028 4088
+rect 2826 3292 3122 3312
+rect 2882 3290 2906 3292
+rect 2962 3290 2986 3292
+rect 3042 3290 3066 3292
+rect 2904 3238 2906 3290
+rect 2968 3238 2980 3290
+rect 3042 3238 3044 3290
+rect 2882 3236 2906 3238
+rect 2962 3236 2986 3238
+rect 3042 3236 3066 3238
+rect 2826 3216 3122 3236
+rect 1766 2680 1822 2689
+rect 1766 2615 1822 2624
+rect 2826 2204 3122 2224
+rect 2882 2202 2906 2204
+rect 2962 2202 2986 2204
+rect 3042 2202 3066 2204
+rect 2904 2150 2906 2202
+rect 2968 2150 2980 2202
+rect 3042 2150 3044 2202
+rect 2882 2148 2906 2150
+rect 2962 2148 2986 2150
+rect 3042 2148 3066 2150
+rect 2826 2128 3122 2148
+rect 3988 800 4016 4082
+rect 4264 3602 4292 5102
+rect 4356 4690 4384 5714
+rect 4448 5166 4476 8910
+rect 4540 8838 4568 11154
+rect 4632 8974 4660 11154
+rect 4724 10742 4752 11308
+rect 5092 11014 5120 12854
+rect 5448 12640 5500 12646
+rect 5448 12582 5500 12588
+rect 5264 12300 5316 12306
+rect 5264 12242 5316 12248
+rect 5172 11552 5224 11558
+rect 5172 11494 5224 11500
+rect 5080 11008 5132 11014
+rect 5080 10950 5132 10956
+rect 4712 10736 4764 10742
+rect 4712 10678 4764 10684
+rect 4712 10600 4764 10606
+rect 4710 10568 4712 10577
+rect 4764 10568 4766 10577
+rect 4710 10503 4766 10512
+rect 4697 10364 4993 10384
+rect 4753 10362 4777 10364
+rect 4833 10362 4857 10364
+rect 4913 10362 4937 10364
+rect 4775 10310 4777 10362
+rect 4839 10310 4851 10362
+rect 4913 10310 4915 10362
+rect 4753 10308 4777 10310
+rect 4833 10308 4857 10310
+rect 4913 10308 4937 10310
+rect 4697 10288 4993 10308
+rect 5080 10124 5132 10130
+rect 5184 10112 5212 11494
+rect 5276 11218 5304 12242
+rect 5460 12238 5488 12582
+rect 5448 12232 5500 12238
+rect 5448 12174 5500 12180
+rect 5460 11937 5488 12174
+rect 5446 11928 5502 11937
+rect 5446 11863 5502 11872
+rect 5644 11506 5672 14842
+rect 6656 13682 6684 14842
+rect 6472 13654 6684 13682
+rect 6276 12368 6328 12374
+rect 6276 12310 6328 12316
+rect 6288 11694 6316 12310
+rect 6276 11688 6328 11694
+rect 6276 11630 6328 11636
+rect 5368 11478 5672 11506
+rect 5724 11552 5776 11558
+rect 5724 11494 5776 11500
+rect 5264 11212 5316 11218
+rect 5264 11154 5316 11160
+rect 5264 10260 5316 10266
+rect 5264 10202 5316 10208
+rect 5132 10084 5212 10112
+rect 5080 10066 5132 10072
+rect 5170 10024 5226 10033
+rect 5170 9959 5226 9968
+rect 5080 9920 5132 9926
+rect 5080 9862 5132 9868
+rect 5092 9761 5120 9862
+rect 5078 9752 5134 9761
+rect 5078 9687 5134 9696
+rect 5080 9376 5132 9382
+rect 5080 9318 5132 9324
+rect 4697 9276 4993 9296
+rect 4753 9274 4777 9276
+rect 4833 9274 4857 9276
+rect 4913 9274 4937 9276
+rect 4775 9222 4777 9274
+rect 4839 9222 4851 9274
+rect 4913 9222 4915 9274
+rect 4753 9220 4777 9222
+rect 4833 9220 4857 9222
+rect 4913 9220 4937 9222
+rect 4697 9200 4993 9220
+rect 4620 8968 4672 8974
+rect 4620 8910 4672 8916
+rect 4712 8968 4764 8974
+rect 4712 8910 4764 8916
+rect 4528 8832 4580 8838
+rect 4528 8774 4580 8780
+rect 4540 8378 4568 8774
+rect 4540 8350 4660 8378
+rect 4724 8362 4752 8910
+rect 4988 8492 5040 8498
+rect 4988 8434 5040 8440
+rect 5000 8401 5028 8434
+rect 4986 8392 5042 8401
+rect 4528 7880 4580 7886
+rect 4528 7822 4580 7828
+rect 4436 5160 4488 5166
+rect 4436 5102 4488 5108
+rect 4344 4684 4396 4690
+rect 4344 4626 4396 4632
+rect 4448 4282 4476 5102
+rect 4540 4758 4568 7822
+rect 4632 7546 4660 8350
+rect 4712 8356 4764 8362
+rect 4986 8327 5042 8336
+rect 4712 8298 4764 8304
+rect 4697 8188 4993 8208
+rect 4753 8186 4777 8188
+rect 4833 8186 4857 8188
+rect 4913 8186 4937 8188
+rect 4775 8134 4777 8186
+rect 4839 8134 4851 8186
+rect 4913 8134 4915 8186
+rect 4753 8132 4777 8134
+rect 4833 8132 4857 8134
+rect 4913 8132 4937 8134
+rect 4697 8112 4993 8132
+rect 4988 7948 5040 7954
+rect 4988 7890 5040 7896
+rect 5000 7818 5028 7890
+rect 4988 7812 5040 7818
+rect 4988 7754 5040 7760
+rect 4710 7576 4766 7585
+rect 4620 7540 4672 7546
+rect 4710 7511 4766 7520
+rect 4620 7482 4672 7488
+rect 4724 7188 4752 7511
+rect 4986 7440 5042 7449
+rect 4986 7375 5042 7384
+rect 5000 7342 5028 7375
+rect 4988 7336 5040 7342
+rect 4988 7278 5040 7284
+rect 4632 7160 4752 7188
+rect 4632 6934 4660 7160
+rect 4697 7100 4993 7120
+rect 4753 7098 4777 7100
+rect 4833 7098 4857 7100
+rect 4913 7098 4937 7100
+rect 4775 7046 4777 7098
+rect 4839 7046 4851 7098
+rect 4913 7046 4915 7098
+rect 4753 7044 4777 7046
+rect 4833 7044 4857 7046
+rect 4913 7044 4937 7046
+rect 4697 7024 4993 7044
+rect 4620 6928 4672 6934
+rect 4620 6870 4672 6876
+rect 4620 6792 4672 6798
+rect 4620 6734 4672 6740
+rect 4632 5302 4660 6734
+rect 4697 6012 4993 6032
+rect 4753 6010 4777 6012
+rect 4833 6010 4857 6012
+rect 4913 6010 4937 6012
+rect 4775 5958 4777 6010
+rect 4839 5958 4851 6010
+rect 4913 5958 4915 6010
+rect 4753 5956 4777 5958
+rect 4833 5956 4857 5958
+rect 4913 5956 4937 5958
+rect 4697 5936 4993 5956
+rect 5092 5778 5120 9318
+rect 5184 8430 5212 9959
+rect 5276 8906 5304 10202
+rect 5264 8900 5316 8906
+rect 5264 8842 5316 8848
+rect 5172 8424 5224 8430
+rect 5172 8366 5224 8372
+rect 5276 7342 5304 8842
+rect 5368 8022 5396 11478
+rect 5736 11286 5764 11494
+rect 5724 11280 5776 11286
+rect 5776 11240 5856 11268
+rect 5724 11222 5776 11228
+rect 5540 11212 5592 11218
+rect 5540 11154 5592 11160
+rect 5448 10464 5500 10470
+rect 5448 10406 5500 10412
+rect 5460 9042 5488 10406
+rect 5552 10010 5580 11154
+rect 5724 10804 5776 10810
+rect 5724 10746 5776 10752
+rect 5552 9982 5672 10010
+rect 5538 9888 5594 9897
+rect 5538 9823 5594 9832
+rect 5552 9110 5580 9823
+rect 5644 9518 5672 9982
+rect 5632 9512 5684 9518
+rect 5630 9480 5632 9489
+rect 5684 9480 5686 9489
+rect 5630 9415 5686 9424
+rect 5632 9376 5684 9382
+rect 5632 9318 5684 9324
+rect 5540 9104 5592 9110
+rect 5540 9046 5592 9052
+rect 5448 9036 5500 9042
+rect 5448 8978 5500 8984
+rect 5448 8628 5500 8634
+rect 5448 8570 5500 8576
+rect 5460 8242 5488 8570
+rect 5552 8362 5580 9046
+rect 5540 8356 5592 8362
+rect 5540 8298 5592 8304
+rect 5460 8214 5580 8242
+rect 5356 8016 5408 8022
+rect 5356 7958 5408 7964
+rect 5264 7336 5316 7342
+rect 5184 7296 5264 7324
+rect 5184 6866 5212 7296
+rect 5264 7278 5316 7284
+rect 5368 6934 5396 7958
+rect 5448 7880 5500 7886
+rect 5448 7822 5500 7828
+rect 5356 6928 5408 6934
+rect 5356 6870 5408 6876
+rect 5172 6860 5224 6866
+rect 5172 6802 5224 6808
+rect 5460 6746 5488 7822
+rect 5552 7750 5580 8214
+rect 5644 7993 5672 9318
+rect 5736 9042 5764 10746
+rect 5828 9382 5856 11240
+rect 5908 11212 5960 11218
+rect 5908 11154 5960 11160
+rect 5816 9376 5868 9382
+rect 5816 9318 5868 9324
+rect 5816 9104 5868 9110
+rect 5814 9072 5816 9081
+rect 5868 9072 5870 9081
+rect 5724 9036 5776 9042
+rect 5814 9007 5870 9016
+rect 5724 8978 5776 8984
+rect 5722 8936 5778 8945
+rect 5722 8871 5778 8880
+rect 5736 8514 5764 8871
+rect 5736 8486 5856 8514
+rect 5724 8356 5776 8362
+rect 5724 8298 5776 8304
+rect 5630 7984 5686 7993
+rect 5630 7919 5686 7928
+rect 5540 7744 5592 7750
+rect 5540 7686 5592 7692
+rect 5632 7744 5684 7750
+rect 5632 7686 5684 7692
+rect 5540 7540 5592 7546
+rect 5540 7482 5592 7488
+rect 5368 6718 5488 6746
+rect 5080 5772 5132 5778
+rect 5080 5714 5132 5720
+rect 4710 5672 4766 5681
+rect 4710 5607 4766 5616
+rect 4620 5296 4672 5302
+rect 4620 5238 4672 5244
+rect 4724 5166 4752 5607
+rect 4712 5160 4764 5166
+rect 4712 5102 4764 5108
+rect 4697 4924 4993 4944
+rect 4753 4922 4777 4924
+rect 4833 4922 4857 4924
+rect 4913 4922 4937 4924
+rect 4775 4870 4777 4922
+rect 4839 4870 4851 4922
+rect 4913 4870 4915 4922
+rect 4753 4868 4777 4870
+rect 4833 4868 4857 4870
+rect 4913 4868 4937 4870
+rect 4697 4848 4993 4868
+rect 4528 4752 4580 4758
+rect 4528 4694 4580 4700
+rect 5080 4684 5132 4690
+rect 5080 4626 5132 4632
+rect 4436 4276 4488 4282
+rect 4436 4218 4488 4224
+rect 4697 3836 4993 3856
+rect 4753 3834 4777 3836
+rect 4833 3834 4857 3836
+rect 4913 3834 4937 3836
+rect 4775 3782 4777 3834
+rect 4839 3782 4851 3834
+rect 4913 3782 4915 3834
+rect 4753 3780 4777 3782
+rect 4833 3780 4857 3782
+rect 4913 3780 4937 3782
+rect 4697 3760 4993 3780
+rect 4252 3596 4304 3602
+rect 4252 3538 4304 3544
+rect 5092 2990 5120 4626
+rect 5368 4554 5396 6718
+rect 5552 6254 5580 7482
+rect 5644 6882 5672 7686
+rect 5736 7585 5764 8298
+rect 5828 7750 5856 8486
+rect 5920 7750 5948 11154
+rect 6288 10606 6316 11630
+rect 6472 11286 6500 13654
+rect 7472 13184 7524 13190
+rect 7472 13126 7524 13132
+rect 6568 13084 6864 13104
+rect 6624 13082 6648 13084
+rect 6704 13082 6728 13084
+rect 6784 13082 6808 13084
+rect 6646 13030 6648 13082
+rect 6710 13030 6722 13082
+rect 6784 13030 6786 13082
+rect 6624 13028 6648 13030
+rect 6704 13028 6728 13030
+rect 6784 13028 6808 13030
+rect 6568 13008 6864 13028
+rect 7484 12850 7512 13126
+rect 7472 12844 7524 12850
+rect 7472 12786 7524 12792
+rect 7104 12300 7156 12306
+rect 7104 12242 7156 12248
+rect 7288 12300 7340 12306
+rect 7288 12242 7340 12248
+rect 7472 12300 7524 12306
+rect 7472 12242 7524 12248
+rect 7116 12209 7144 12242
+rect 7102 12200 7158 12209
+rect 7102 12135 7158 12144
+rect 6568 11996 6864 12016
+rect 6624 11994 6648 11996
+rect 6704 11994 6728 11996
+rect 6784 11994 6808 11996
+rect 6646 11942 6648 11994
+rect 6710 11942 6722 11994
+rect 6784 11942 6786 11994
+rect 6624 11940 6648 11942
+rect 6704 11940 6728 11942
+rect 6784 11940 6808 11942
+rect 6568 11920 6864 11940
+rect 7116 11626 7144 12135
+rect 7196 12096 7248 12102
+rect 7196 12038 7248 12044
+rect 7104 11620 7156 11626
+rect 7104 11562 7156 11568
+rect 6460 11280 6512 11286
+rect 6460 11222 6512 11228
+rect 7012 11144 7064 11150
+rect 7012 11086 7064 11092
+rect 6568 10908 6864 10928
+rect 6624 10906 6648 10908
+rect 6704 10906 6728 10908
+rect 6784 10906 6808 10908
+rect 6646 10854 6648 10906
+rect 6710 10854 6722 10906
+rect 6784 10854 6786 10906
+rect 6624 10852 6648 10854
+rect 6704 10852 6728 10854
+rect 6784 10852 6808 10854
+rect 6568 10832 6864 10852
+rect 6276 10600 6328 10606
+rect 6276 10542 6328 10548
+rect 6288 10169 6316 10542
+rect 6274 10160 6330 10169
+rect 6000 10124 6052 10130
+rect 6274 10095 6330 10104
+rect 6000 10066 6052 10072
+rect 6012 8974 6040 10066
+rect 6568 9820 6864 9840
+rect 6624 9818 6648 9820
+rect 6704 9818 6728 9820
+rect 6784 9818 6808 9820
+rect 6646 9766 6648 9818
+rect 6710 9766 6722 9818
+rect 6784 9766 6786 9818
+rect 6624 9764 6648 9766
+rect 6704 9764 6728 9766
+rect 6784 9764 6808 9766
+rect 6568 9744 6864 9764
+rect 6920 9716 6972 9722
+rect 6920 9658 6972 9664
+rect 6092 9580 6144 9586
+rect 6092 9522 6144 9528
+rect 6184 9580 6236 9586
+rect 6184 9522 6236 9528
+rect 6368 9580 6420 9586
+rect 6368 9522 6420 9528
+rect 6000 8968 6052 8974
+rect 6000 8910 6052 8916
+rect 5998 8800 6054 8809
+rect 5998 8735 6054 8744
+rect 5816 7744 5868 7750
+rect 5816 7686 5868 7692
+rect 5908 7744 5960 7750
+rect 5908 7686 5960 7692
+rect 5722 7576 5778 7585
+rect 6012 7546 6040 8735
+rect 5722 7511 5778 7520
+rect 6000 7540 6052 7546
+rect 6000 7482 6052 7488
+rect 5816 7472 5868 7478
+rect 5998 7440 6054 7449
+rect 5868 7420 5948 7426
+rect 5816 7414 5948 7420
+rect 5828 7398 5948 7414
+rect 5816 7200 5868 7206
+rect 5816 7142 5868 7148
+rect 5644 6854 5764 6882
+rect 5632 6792 5684 6798
+rect 5632 6734 5684 6740
+rect 5540 6248 5592 6254
+rect 5540 6190 5592 6196
+rect 5540 5840 5592 5846
+rect 5540 5782 5592 5788
+rect 5448 5772 5500 5778
+rect 5448 5714 5500 5720
+rect 5356 4548 5408 4554
+rect 5356 4490 5408 4496
+rect 5460 3670 5488 5714
+rect 5552 4826 5580 5782
+rect 5540 4820 5592 4826
+rect 5540 4762 5592 4768
+rect 5540 4684 5592 4690
+rect 5540 4626 5592 4632
+rect 5552 3738 5580 4626
+rect 5644 4146 5672 6734
+rect 5736 5914 5764 6854
+rect 5828 6458 5856 7142
+rect 5816 6452 5868 6458
+rect 5816 6394 5868 6400
+rect 5816 6248 5868 6254
+rect 5816 6190 5868 6196
+rect 5724 5908 5776 5914
+rect 5724 5850 5776 5856
+rect 5828 5846 5856 6190
+rect 5816 5840 5868 5846
+rect 5816 5782 5868 5788
+rect 5724 5704 5776 5710
+rect 5724 5646 5776 5652
+rect 5632 4140 5684 4146
+rect 5632 4082 5684 4088
+rect 5736 4078 5764 5646
+rect 5920 5642 5948 7398
+rect 5998 7375 6054 7384
+rect 6012 5846 6040 7375
+rect 6104 6934 6132 9522
+rect 6196 8430 6224 9522
+rect 6184 8424 6236 8430
+rect 6184 8366 6236 8372
+rect 6380 7970 6408 9522
+rect 6828 9512 6880 9518
+rect 6828 9454 6880 9460
+rect 6840 8906 6868 9454
+rect 6828 8900 6880 8906
+rect 6828 8842 6880 8848
+rect 6568 8732 6864 8752
+rect 6624 8730 6648 8732
+rect 6704 8730 6728 8732
+rect 6784 8730 6808 8732
+rect 6646 8678 6648 8730
+rect 6710 8678 6722 8730
+rect 6784 8678 6786 8730
+rect 6624 8676 6648 8678
+rect 6704 8676 6728 8678
+rect 6784 8676 6808 8678
+rect 6568 8656 6864 8676
+rect 6826 8392 6882 8401
+rect 6826 8327 6882 8336
+rect 6380 7942 6500 7970
+rect 6840 7954 6868 8327
+rect 6368 7880 6420 7886
+rect 6368 7822 6420 7828
+rect 6184 7744 6236 7750
+rect 6184 7686 6236 7692
+rect 6092 6928 6144 6934
+rect 6092 6870 6144 6876
+rect 6090 6760 6146 6769
+rect 6090 6695 6146 6704
+rect 6104 6186 6132 6695
+rect 6092 6180 6144 6186
+rect 6092 6122 6144 6128
+rect 6000 5840 6052 5846
+rect 6000 5782 6052 5788
+rect 5816 5636 5868 5642
+rect 5816 5578 5868 5584
+rect 5908 5636 5960 5642
+rect 5908 5578 5960 5584
+rect 5828 4690 5856 5578
+rect 6104 5302 6132 6122
+rect 6092 5296 6144 5302
+rect 6092 5238 6144 5244
+rect 6196 5098 6224 7686
+rect 6276 7540 6328 7546
+rect 6276 7482 6328 7488
+rect 6184 5092 6236 5098
+rect 6184 5034 6236 5040
+rect 5816 4684 5868 4690
+rect 5816 4626 5868 4632
+rect 5908 4548 5960 4554
+rect 5908 4490 5960 4496
+rect 5724 4072 5776 4078
+rect 5724 4014 5776 4020
+rect 5540 3732 5592 3738
+rect 5540 3674 5592 3680
+rect 5448 3664 5500 3670
+rect 5448 3606 5500 3612
+rect 5920 3602 5948 4490
+rect 6288 3942 6316 7482
+rect 6276 3936 6328 3942
+rect 6276 3878 6328 3884
+rect 5264 3596 5316 3602
+rect 5264 3538 5316 3544
+rect 5908 3596 5960 3602
+rect 5908 3538 5960 3544
+rect 5276 3126 5304 3538
+rect 5264 3120 5316 3126
+rect 5264 3062 5316 3068
+rect 5080 2984 5132 2990
+rect 5080 2926 5132 2932
+rect 4697 2748 4993 2768
+rect 4753 2746 4777 2748
+rect 4833 2746 4857 2748
+rect 4913 2746 4937 2748
+rect 4775 2694 4777 2746
+rect 4839 2694 4851 2746
+rect 4913 2694 4915 2746
+rect 4753 2692 4777 2694
+rect 4833 2692 4857 2694
+rect 4913 2692 4937 2694
+rect 4697 2672 4993 2692
+rect 6380 1986 6408 7822
+rect 6472 6866 6500 7942
+rect 6828 7948 6880 7954
+rect 6828 7890 6880 7896
+rect 6568 7644 6864 7664
+rect 6624 7642 6648 7644
+rect 6704 7642 6728 7644
+rect 6784 7642 6808 7644
+rect 6646 7590 6648 7642
+rect 6710 7590 6722 7642
+rect 6784 7590 6786 7642
+rect 6624 7588 6648 7590
+rect 6704 7588 6728 7590
+rect 6784 7588 6808 7590
+rect 6568 7568 6864 7588
+rect 6550 7440 6606 7449
+rect 6550 7375 6606 7384
+rect 6564 7274 6592 7375
+rect 6552 7268 6604 7274
+rect 6552 7210 6604 7216
+rect 6460 6860 6512 6866
+rect 6460 6802 6512 6808
+rect 6564 6644 6592 7210
+rect 6642 7032 6698 7041
+rect 6642 6967 6698 6976
+rect 6656 6866 6684 6967
+rect 6644 6860 6696 6866
+rect 6644 6802 6696 6808
+rect 6472 6616 6592 6644
+rect 6472 5166 6500 6616
+rect 6568 6556 6864 6576
+rect 6624 6554 6648 6556
+rect 6704 6554 6728 6556
+rect 6784 6554 6808 6556
+rect 6646 6502 6648 6554
+rect 6710 6502 6722 6554
+rect 6784 6502 6786 6554
+rect 6624 6500 6648 6502
+rect 6704 6500 6728 6502
+rect 6784 6500 6808 6502
+rect 6568 6480 6864 6500
+rect 6826 6352 6882 6361
+rect 6826 6287 6882 6296
+rect 6734 6216 6790 6225
+rect 6734 6151 6790 6160
+rect 6748 5710 6776 6151
+rect 6840 5778 6868 6287
+rect 6828 5772 6880 5778
+rect 6828 5714 6880 5720
+rect 6736 5704 6788 5710
+rect 6736 5646 6788 5652
+rect 6568 5468 6864 5488
+rect 6624 5466 6648 5468
+rect 6704 5466 6728 5468
+rect 6784 5466 6808 5468
+rect 6646 5414 6648 5466
+rect 6710 5414 6722 5466
+rect 6784 5414 6786 5466
+rect 6624 5412 6648 5414
+rect 6704 5412 6728 5414
+rect 6784 5412 6808 5414
+rect 6568 5392 6864 5412
+rect 6932 5234 6960 9658
+rect 7024 9178 7052 11086
+rect 7116 10577 7144 11562
+rect 7102 10568 7158 10577
+rect 7102 10503 7158 10512
+rect 7208 10305 7236 12038
+rect 7194 10296 7250 10305
+rect 7194 10231 7250 10240
+rect 7300 10130 7328 12242
+rect 7484 11898 7512 12242
+rect 7472 11892 7524 11898
+rect 7472 11834 7524 11840
+rect 7760 11762 7788 14842
+rect 8772 12986 8800 14842
+rect 9586 14104 9642 14113
+rect 9586 14039 9642 14048
+rect 8760 12980 8812 12986
+rect 8760 12922 8812 12928
+rect 9496 12980 9548 12986
+rect 9496 12922 9548 12928
+rect 7932 12776 7984 12782
+rect 7932 12718 7984 12724
+rect 7472 11756 7524 11762
+rect 7472 11698 7524 11704
+rect 7748 11756 7800 11762
+rect 7748 11698 7800 11704
+rect 7484 11354 7512 11698
+rect 7944 11558 7972 12718
+rect 8438 12540 8734 12560
+rect 8494 12538 8518 12540
+rect 8574 12538 8598 12540
+rect 8654 12538 8678 12540
+rect 8516 12486 8518 12538
+rect 8580 12486 8592 12538
+rect 8654 12486 8656 12538
+rect 8494 12484 8518 12486
+rect 8574 12484 8598 12486
+rect 8654 12484 8678 12486
+rect 8438 12464 8734 12484
+rect 8208 12232 8260 12238
+rect 8206 12200 8208 12209
+rect 8260 12200 8262 12209
+rect 8206 12135 8262 12144
+rect 8944 11892 8996 11898
+rect 8944 11834 8996 11840
+rect 8024 11688 8076 11694
+rect 8024 11630 8076 11636
+rect 8300 11688 8352 11694
+rect 8300 11630 8352 11636
+rect 8852 11688 8904 11694
+rect 8852 11630 8904 11636
+rect 7932 11552 7984 11558
+rect 7932 11494 7984 11500
+rect 7472 11348 7524 11354
+rect 7472 11290 7524 11296
+rect 7380 11212 7432 11218
+rect 7380 11154 7432 11160
+rect 7104 10124 7156 10130
+rect 7104 10066 7156 10072
+rect 7288 10124 7340 10130
+rect 7288 10066 7340 10072
+rect 7012 9172 7064 9178
+rect 7012 9114 7064 9120
+rect 7010 9072 7066 9081
+rect 7010 9007 7066 9016
+rect 7024 8566 7052 9007
+rect 7012 8560 7064 8566
+rect 7012 8502 7064 8508
+rect 7116 8378 7144 10066
+rect 7196 9988 7248 9994
+rect 7196 9930 7248 9936
+rect 7208 9625 7236 9930
+rect 7194 9616 7250 9625
+rect 7194 9551 7250 9560
+rect 7196 9376 7248 9382
+rect 7194 9344 7196 9353
+rect 7248 9344 7250 9353
+rect 7194 9279 7250 9288
+rect 7300 9217 7328 10066
+rect 7392 9722 7420 11154
+rect 7484 9926 7512 11290
+rect 7656 11076 7708 11082
+rect 7656 11018 7708 11024
+rect 7564 10260 7616 10266
+rect 7564 10202 7616 10208
+rect 7472 9920 7524 9926
+rect 7472 9862 7524 9868
+rect 7380 9716 7432 9722
+rect 7380 9658 7432 9664
+rect 7576 9586 7604 10202
+rect 7564 9580 7616 9586
+rect 7564 9522 7616 9528
+rect 7472 9444 7524 9450
+rect 7472 9386 7524 9392
+rect 7286 9208 7342 9217
+rect 7286 9143 7342 9152
+rect 7196 9104 7248 9110
+rect 7196 9046 7248 9052
+rect 7208 8838 7236 9046
+rect 7380 8968 7432 8974
+rect 7380 8910 7432 8916
+rect 7196 8832 7248 8838
+rect 7196 8774 7248 8780
+rect 7288 8492 7340 8498
+rect 7288 8434 7340 8440
+rect 7024 8350 7144 8378
+rect 7024 8022 7052 8350
+rect 7104 8288 7156 8294
+rect 7104 8230 7156 8236
+rect 7012 8016 7064 8022
+rect 7012 7958 7064 7964
+rect 7010 7304 7066 7313
+rect 7010 7239 7066 7248
+rect 6920 5228 6972 5234
+rect 6920 5170 6972 5176
+rect 6460 5160 6512 5166
+rect 6460 5102 6512 5108
+rect 6568 4380 6864 4400
+rect 6624 4378 6648 4380
+rect 6704 4378 6728 4380
+rect 6784 4378 6808 4380
+rect 6646 4326 6648 4378
+rect 6710 4326 6722 4378
+rect 6784 4326 6786 4378
+rect 6624 4324 6648 4326
+rect 6704 4324 6728 4326
+rect 6784 4324 6808 4326
+rect 6568 4304 6864 4324
+rect 7024 3602 7052 7239
+rect 7116 5370 7144 8230
+rect 7196 7404 7248 7410
+rect 7196 7346 7248 7352
+rect 7104 5364 7156 5370
+rect 7104 5306 7156 5312
+rect 7208 5166 7236 7346
+rect 7300 6254 7328 8434
+rect 7392 7478 7420 8910
+rect 7380 7472 7432 7478
+rect 7380 7414 7432 7420
+rect 7380 7336 7432 7342
+rect 7380 7278 7432 7284
+rect 7392 6338 7420 7278
+rect 7484 6662 7512 9386
+rect 7564 8356 7616 8362
+rect 7564 8298 7616 8304
+rect 7576 7750 7604 8298
+rect 7668 7954 7696 11018
+rect 8036 10606 8064 11630
+rect 7840 10600 7892 10606
+rect 8024 10600 8076 10606
+rect 7892 10548 7972 10554
+rect 7840 10542 7972 10548
+rect 8024 10542 8076 10548
+rect 8116 10600 8168 10606
+rect 8116 10542 8168 10548
+rect 7748 10532 7800 10538
+rect 7852 10526 7972 10542
+rect 7748 10474 7800 10480
+rect 7656 7948 7708 7954
+rect 7656 7890 7708 7896
+rect 7564 7744 7616 7750
+rect 7564 7686 7616 7692
+rect 7472 6656 7524 6662
+rect 7472 6598 7524 6604
+rect 7470 6488 7526 6497
+rect 7470 6423 7472 6432
+rect 7524 6423 7526 6432
+rect 7472 6394 7524 6400
+rect 7392 6310 7512 6338
+rect 7288 6248 7340 6254
+rect 7288 6190 7340 6196
+rect 7484 6186 7512 6310
+rect 7472 6180 7524 6186
+rect 7472 6122 7524 6128
+rect 7380 6112 7432 6118
+rect 7380 6054 7432 6060
+rect 7196 5160 7248 5166
+rect 7196 5102 7248 5108
+rect 7288 4684 7340 4690
+rect 7288 4626 7340 4632
+rect 7300 4078 7328 4626
+rect 7288 4072 7340 4078
+rect 7288 4014 7340 4020
+rect 7392 3942 7420 6054
+rect 7380 3936 7432 3942
+rect 7380 3878 7432 3884
+rect 7484 3602 7512 6122
+rect 7576 4690 7604 7686
+rect 7564 4684 7616 4690
+rect 7564 4626 7616 4632
+rect 7668 4078 7696 7890
+rect 7760 6866 7788 10474
+rect 7840 10056 7892 10062
+rect 7840 9998 7892 10004
+rect 7852 7993 7880 9998
+rect 7838 7984 7894 7993
+rect 7838 7919 7894 7928
+rect 7840 7880 7892 7886
+rect 7840 7822 7892 7828
+rect 7852 7410 7880 7822
+rect 7840 7404 7892 7410
+rect 7840 7346 7892 7352
+rect 7838 7304 7894 7313
+rect 7838 7239 7840 7248
+rect 7892 7239 7894 7248
+rect 7840 7210 7892 7216
+rect 7748 6860 7800 6866
+rect 7748 6802 7800 6808
+rect 7748 6316 7800 6322
+rect 7748 6258 7800 6264
+rect 7760 4690 7788 6258
+rect 7852 5710 7880 7210
+rect 7944 6322 7972 10526
+rect 8036 10062 8064 10542
+rect 8024 10056 8076 10062
+rect 8024 9998 8076 10004
+rect 8128 9994 8156 10542
+rect 8208 10532 8260 10538
+rect 8208 10474 8260 10480
+rect 8116 9988 8168 9994
+rect 8116 9930 8168 9936
+rect 8128 8537 8156 9930
+rect 8114 8528 8170 8537
+rect 8024 8492 8076 8498
+rect 8114 8463 8170 8472
+rect 8024 8434 8076 8440
+rect 7932 6316 7984 6322
+rect 7932 6258 7984 6264
+rect 7840 5704 7892 5710
+rect 7840 5646 7892 5652
+rect 7748 4684 7800 4690
+rect 7748 4626 7800 4632
+rect 7656 4072 7708 4078
+rect 7656 4014 7708 4020
+rect 7012 3596 7064 3602
+rect 7012 3538 7064 3544
+rect 7472 3596 7524 3602
+rect 7472 3538 7524 3544
+rect 7012 3460 7064 3466
+rect 7012 3402 7064 3408
+rect 6568 3292 6864 3312
+rect 6624 3290 6648 3292
+rect 6704 3290 6728 3292
+rect 6784 3290 6808 3292
+rect 6646 3238 6648 3290
+rect 6710 3238 6722 3290
+rect 6784 3238 6786 3290
+rect 6624 3236 6648 3238
+rect 6704 3236 6728 3238
+rect 6784 3236 6808 3238
+rect 6568 3216 6864 3236
+rect 7024 2990 7052 3402
+rect 8036 2990 8064 8434
+rect 8116 8356 8168 8362
+rect 8116 8298 8168 8304
+rect 8128 8022 8156 8298
+rect 8116 8016 8168 8022
+rect 8116 7958 8168 7964
+rect 8220 7834 8248 10474
+rect 8312 10470 8340 11630
+rect 8438 11452 8734 11472
+rect 8494 11450 8518 11452
+rect 8574 11450 8598 11452
+rect 8654 11450 8678 11452
+rect 8516 11398 8518 11450
+rect 8580 11398 8592 11450
+rect 8654 11398 8656 11450
+rect 8494 11396 8518 11398
+rect 8574 11396 8598 11398
+rect 8654 11396 8678 11398
+rect 8438 11376 8734 11396
+rect 8760 10668 8812 10674
+rect 8760 10610 8812 10616
+rect 8300 10464 8352 10470
+rect 8300 10406 8352 10412
+rect 8312 8673 8340 10406
+rect 8438 10364 8734 10384
+rect 8494 10362 8518 10364
+rect 8574 10362 8598 10364
+rect 8654 10362 8678 10364
+rect 8516 10310 8518 10362
+rect 8580 10310 8592 10362
+rect 8654 10310 8656 10362
+rect 8494 10308 8518 10310
+rect 8574 10308 8598 10310
+rect 8654 10308 8678 10310
+rect 8438 10288 8734 10308
+rect 8576 10056 8628 10062
+rect 8574 10024 8576 10033
+rect 8628 10024 8630 10033
+rect 8574 9959 8630 9968
+rect 8576 9920 8628 9926
+rect 8576 9862 8628 9868
+rect 8588 9489 8616 9862
+rect 8574 9480 8630 9489
+rect 8574 9415 8630 9424
+rect 8438 9276 8734 9296
+rect 8494 9274 8518 9276
+rect 8574 9274 8598 9276
+rect 8654 9274 8678 9276
+rect 8516 9222 8518 9274
+rect 8580 9222 8592 9274
+rect 8654 9222 8656 9274
+rect 8494 9220 8518 9222
+rect 8574 9220 8598 9222
+rect 8654 9220 8678 9222
+rect 8438 9200 8734 9220
+rect 8298 8664 8354 8673
+rect 8298 8599 8354 8608
+rect 8300 8424 8352 8430
+rect 8300 8366 8352 8372
+rect 8128 7806 8248 7834
+rect 8128 5778 8156 7806
+rect 8206 7712 8262 7721
+rect 8206 7647 8262 7656
+rect 8220 7478 8248 7647
+rect 8208 7472 8260 7478
+rect 8208 7414 8260 7420
+rect 8208 7268 8260 7274
+rect 8208 7210 8260 7216
+rect 8116 5772 8168 5778
+rect 8116 5714 8168 5720
+rect 8116 5636 8168 5642
+rect 8116 5578 8168 5584
+rect 8128 3602 8156 5578
+rect 8116 3596 8168 3602
+rect 8116 3538 8168 3544
+rect 8220 2990 8248 7210
+rect 8312 3126 8340 8366
+rect 8438 8188 8734 8208
+rect 8494 8186 8518 8188
+rect 8574 8186 8598 8188
+rect 8654 8186 8678 8188
+rect 8516 8134 8518 8186
+rect 8580 8134 8592 8186
+rect 8654 8134 8656 8186
+rect 8494 8132 8518 8134
+rect 8574 8132 8598 8134
+rect 8654 8132 8678 8134
+rect 8438 8112 8734 8132
+rect 8772 7426 8800 10610
+rect 8864 9382 8892 11630
+rect 8956 9518 8984 11834
+rect 9128 11280 9180 11286
+rect 9128 11222 9180 11228
+rect 9404 11280 9456 11286
+rect 9404 11222 9456 11228
+rect 9036 10736 9088 10742
+rect 9036 10678 9088 10684
+rect 8944 9512 8996 9518
+rect 8944 9454 8996 9460
+rect 8852 9376 8904 9382
+rect 8852 9318 8904 9324
+rect 8864 9110 8892 9318
+rect 8956 9178 8984 9454
+rect 8944 9172 8996 9178
+rect 8944 9114 8996 9120
+rect 8852 9104 8904 9110
+rect 8852 9046 8904 9052
+rect 8680 7398 8800 7426
+rect 8680 7313 8708 7398
+rect 8760 7336 8812 7342
+rect 8666 7304 8722 7313
+rect 8760 7278 8812 7284
+rect 8666 7239 8722 7248
+rect 8438 7100 8734 7120
+rect 8494 7098 8518 7100
+rect 8574 7098 8598 7100
+rect 8654 7098 8678 7100
+rect 8516 7046 8518 7098
+rect 8580 7046 8592 7098
+rect 8654 7046 8656 7098
+rect 8494 7044 8518 7046
+rect 8574 7044 8598 7046
+rect 8654 7044 8678 7046
+rect 8438 7024 8734 7044
+rect 8392 6792 8444 6798
+rect 8392 6734 8444 6740
+rect 8404 6254 8432 6734
+rect 8576 6656 8628 6662
+rect 8576 6598 8628 6604
+rect 8588 6390 8616 6598
+rect 8772 6390 8800 7278
+rect 8864 6866 8892 9046
+rect 8852 6860 8904 6866
+rect 8852 6802 8904 6808
+rect 8850 6760 8906 6769
+rect 8850 6695 8906 6704
+rect 8576 6384 8628 6390
+rect 8576 6326 8628 6332
+rect 8760 6384 8812 6390
+rect 8760 6326 8812 6332
+rect 8392 6248 8444 6254
+rect 8392 6190 8444 6196
+rect 8438 6012 8734 6032
+rect 8494 6010 8518 6012
+rect 8574 6010 8598 6012
+rect 8654 6010 8678 6012
+rect 8516 5958 8518 6010
+rect 8580 5958 8592 6010
+rect 8654 5958 8656 6010
+rect 8494 5956 8518 5958
+rect 8574 5956 8598 5958
+rect 8654 5956 8678 5958
+rect 8438 5936 8734 5956
+rect 8864 5302 8892 6695
+rect 8956 6254 8984 9114
+rect 9048 9042 9076 10678
+rect 9036 9036 9088 9042
+rect 9036 8978 9088 8984
+rect 9048 8022 9076 8978
+rect 9036 8016 9088 8022
+rect 9036 7958 9088 7964
+rect 9036 7336 9088 7342
+rect 9036 7278 9088 7284
+rect 9048 6730 9076 7278
+rect 9036 6724 9088 6730
+rect 9036 6666 9088 6672
+rect 9036 6384 9088 6390
+rect 9036 6326 9088 6332
+rect 8944 6248 8996 6254
+rect 8944 6190 8996 6196
+rect 8852 5296 8904 5302
+rect 8666 5264 8722 5273
+rect 8852 5238 8904 5244
+rect 8666 5199 8722 5208
+rect 8680 5166 8708 5199
+rect 8668 5160 8720 5166
+rect 8668 5102 8720 5108
+rect 8944 5160 8996 5166
+rect 8944 5102 8996 5108
+rect 8438 4924 8734 4944
+rect 8494 4922 8518 4924
+rect 8574 4922 8598 4924
+rect 8654 4922 8678 4924
+rect 8516 4870 8518 4922
+rect 8580 4870 8592 4922
+rect 8654 4870 8656 4922
+rect 8494 4868 8518 4870
+rect 8574 4868 8598 4870
+rect 8654 4868 8678 4870
+rect 8438 4848 8734 4868
+rect 8956 4826 8984 5102
+rect 8944 4820 8996 4826
+rect 8944 4762 8996 4768
+rect 8850 4176 8906 4185
+rect 8850 4111 8906 4120
+rect 8864 4010 8892 4111
+rect 9048 4078 9076 6326
+rect 9140 5778 9168 11222
+rect 9312 11212 9364 11218
+rect 9312 11154 9364 11160
+rect 9220 10056 9272 10062
+rect 9220 9998 9272 10004
+rect 9128 5772 9180 5778
+rect 9128 5714 9180 5720
+rect 9232 4758 9260 9998
+rect 9324 5642 9352 11154
+rect 9416 10538 9444 11222
+rect 9508 11150 9536 12922
+rect 9600 12782 9628 14039
+rect 9588 12776 9640 12782
+rect 9588 12718 9640 12724
+rect 9600 12442 9628 12718
+rect 9680 12708 9732 12714
+rect 9680 12650 9732 12656
+rect 9588 12436 9640 12442
+rect 9588 12378 9640 12384
+rect 9588 11892 9640 11898
+rect 9588 11834 9640 11840
+rect 9496 11144 9548 11150
+rect 9496 11086 9548 11092
+rect 9496 11008 9548 11014
+rect 9496 10950 9548 10956
+rect 9404 10532 9456 10538
+rect 9404 10474 9456 10480
+rect 9404 9036 9456 9042
+rect 9404 8978 9456 8984
+rect 9416 8838 9444 8978
+rect 9404 8832 9456 8838
+rect 9404 8774 9456 8780
+rect 9508 7970 9536 10950
+rect 9416 7942 9536 7970
+rect 9416 6390 9444 7942
+rect 9496 7880 9548 7886
+rect 9496 7822 9548 7828
+rect 9404 6384 9456 6390
+rect 9404 6326 9456 6332
+rect 9312 5636 9364 5642
+rect 9312 5578 9364 5584
+rect 9220 4752 9272 4758
+rect 9220 4694 9272 4700
+rect 9324 4146 9352 5578
+rect 9404 5092 9456 5098
+rect 9404 5034 9456 5040
+rect 9416 4758 9444 5034
+rect 9404 4752 9456 4758
+rect 9404 4694 9456 4700
+rect 9312 4140 9364 4146
+rect 9312 4082 9364 4088
+rect 9404 4140 9456 4146
+rect 9404 4082 9456 4088
+rect 9036 4072 9088 4078
+rect 9036 4014 9088 4020
+rect 8852 4004 8904 4010
+rect 8852 3946 8904 3952
+rect 8438 3836 8734 3856
+rect 8494 3834 8518 3836
+rect 8574 3834 8598 3836
+rect 8654 3834 8678 3836
+rect 8516 3782 8518 3834
+rect 8580 3782 8592 3834
+rect 8654 3782 8656 3834
+rect 8494 3780 8518 3782
+rect 8574 3780 8598 3782
+rect 8654 3780 8678 3782
+rect 8438 3760 8734 3780
+rect 8300 3120 8352 3126
+rect 8300 3062 8352 3068
+rect 7012 2984 7064 2990
+rect 7012 2926 7064 2932
+rect 8024 2984 8076 2990
+rect 8024 2926 8076 2932
+rect 8208 2984 8260 2990
+rect 8208 2926 8260 2932
+rect 8438 2748 8734 2768
+rect 8494 2746 8518 2748
+rect 8574 2746 8598 2748
+rect 8654 2746 8678 2748
+rect 8516 2694 8518 2746
+rect 8580 2694 8592 2746
+rect 8654 2694 8656 2746
+rect 8494 2692 8518 2694
+rect 8574 2692 8598 2694
+rect 8654 2692 8678 2694
+rect 8438 2672 8734 2692
+rect 6568 2204 6864 2224
+rect 6624 2202 6648 2204
+rect 6704 2202 6728 2204
+rect 6784 2202 6808 2204
+rect 6646 2150 6648 2202
+rect 6710 2150 6722 2202
+rect 6784 2150 6786 2202
+rect 6624 2148 6648 2150
+rect 6704 2148 6728 2150
+rect 6784 2148 6808 2150
+rect 6568 2128 6864 2148
+rect 6380 1958 6684 1986
+rect 6656 800 6684 1958
+rect 9416 800 9444 4082
+rect 9508 2854 9536 7822
+rect 9600 7818 9628 11834
+rect 9692 11558 9720 12650
+rect 9784 11626 9812 14842
+rect 10309 13084 10605 13104
+rect 10365 13082 10389 13084
+rect 10445 13082 10469 13084
+rect 10525 13082 10549 13084
+rect 10387 13030 10389 13082
+rect 10451 13030 10463 13082
+rect 10525 13030 10527 13082
+rect 10365 13028 10389 13030
+rect 10445 13028 10469 13030
+rect 10525 13028 10549 13030
+rect 10309 13008 10605 13028
+rect 10692 12980 10744 12986
+rect 10692 12922 10744 12928
+rect 9864 12844 9916 12850
+rect 9864 12786 9916 12792
+rect 9772 11620 9824 11626
+rect 9772 11562 9824 11568
+rect 9680 11552 9732 11558
+rect 9680 11494 9732 11500
+rect 9772 11348 9824 11354
+rect 9772 11290 9824 11296
+rect 9680 11144 9732 11150
+rect 9680 11086 9732 11092
+rect 9692 8673 9720 11086
+rect 9784 10418 9812 11290
+rect 9876 10538 9904 12786
+rect 10704 12782 10732 12922
+rect 10888 12918 10916 14842
+rect 11900 12986 11928 14842
+rect 11888 12980 11940 12986
+rect 11888 12922 11940 12928
+rect 10876 12912 10928 12918
+rect 10876 12854 10928 12860
+rect 10692 12776 10744 12782
+rect 10692 12718 10744 12724
+rect 10876 12776 10928 12782
+rect 10876 12718 10928 12724
+rect 10704 12374 10732 12718
+rect 10692 12368 10744 12374
+rect 10692 12310 10744 12316
+rect 10309 11996 10605 12016
+rect 10365 11994 10389 11996
+rect 10445 11994 10469 11996
+rect 10525 11994 10549 11996
+rect 10387 11942 10389 11994
+rect 10451 11942 10463 11994
+rect 10525 11942 10527 11994
+rect 10365 11940 10389 11942
+rect 10445 11940 10469 11942
+rect 10525 11940 10549 11942
+rect 10309 11920 10605 11940
+rect 10048 11824 10100 11830
+rect 10048 11766 10100 11772
+rect 9956 11688 10008 11694
+rect 9956 11630 10008 11636
+rect 9968 11354 9996 11630
+rect 9956 11348 10008 11354
+rect 9956 11290 10008 11296
+rect 9956 11076 10008 11082
+rect 9956 11018 10008 11024
+rect 9864 10532 9916 10538
+rect 9864 10474 9916 10480
+rect 9784 10390 9904 10418
+rect 9876 10130 9904 10390
+rect 9864 10124 9916 10130
+rect 9864 10066 9916 10072
+rect 9772 8832 9824 8838
+rect 9772 8774 9824 8780
+rect 9678 8664 9734 8673
+rect 9784 8634 9812 8774
+rect 9678 8599 9734 8608
+rect 9772 8628 9824 8634
+rect 9772 8570 9824 8576
+rect 9876 8566 9904 10066
+rect 9968 9042 9996 11018
+rect 9956 9036 10008 9042
+rect 9956 8978 10008 8984
+rect 9864 8560 9916 8566
+rect 9864 8502 9916 8508
+rect 9772 8492 9824 8498
+rect 9772 8434 9824 8440
+rect 9588 7812 9640 7818
+rect 9588 7754 9640 7760
+rect 9600 6866 9628 7754
+rect 9678 6896 9734 6905
+rect 9588 6860 9640 6866
+rect 9678 6831 9680 6840
+rect 9588 6802 9640 6808
+rect 9732 6831 9734 6840
+rect 9680 6802 9732 6808
+rect 9784 6746 9812 8434
+rect 10060 8378 10088 11766
+rect 10784 11688 10836 11694
+rect 10784 11630 10836 11636
+rect 10692 11620 10744 11626
+rect 10692 11562 10744 11568
+rect 10704 11218 10732 11562
+rect 10692 11212 10744 11218
+rect 10692 11154 10744 11160
+rect 10692 11076 10744 11082
+rect 10692 11018 10744 11024
+rect 10704 10985 10732 11018
+rect 10690 10976 10746 10985
+rect 10309 10908 10605 10928
+rect 10690 10911 10746 10920
+rect 10365 10906 10389 10908
+rect 10445 10906 10469 10908
+rect 10525 10906 10549 10908
+rect 10387 10854 10389 10906
+rect 10451 10854 10463 10906
+rect 10525 10854 10527 10906
+rect 10365 10852 10389 10854
+rect 10445 10852 10469 10854
+rect 10525 10852 10549 10854
+rect 10309 10832 10605 10852
+rect 10232 10600 10284 10606
+rect 10232 10542 10284 10548
+rect 10140 10532 10192 10538
+rect 10140 10474 10192 10480
+rect 10152 9518 10180 10474
+rect 10140 9512 10192 9518
+rect 10140 9454 10192 9460
+rect 9600 6718 9812 6746
+rect 9876 8350 10088 8378
+rect 9600 6458 9628 6718
+rect 9772 6656 9824 6662
+rect 9772 6598 9824 6604
+rect 9588 6452 9640 6458
+rect 9588 6394 9640 6400
+rect 9600 6338 9628 6394
+rect 9600 6310 9720 6338
+rect 9588 6248 9640 6254
+rect 9588 6190 9640 6196
+rect 9600 5846 9628 6190
+rect 9588 5840 9640 5846
+rect 9588 5782 9640 5788
+rect 9692 5234 9720 6310
+rect 9784 6254 9812 6598
+rect 9772 6248 9824 6254
+rect 9772 6190 9824 6196
+rect 9876 6066 9904 8350
+rect 9956 8288 10008 8294
+rect 9956 8230 10008 8236
+rect 10048 8288 10100 8294
+rect 10048 8230 10100 8236
+rect 9968 8090 9996 8230
+rect 9956 8084 10008 8090
+rect 9956 8026 10008 8032
+rect 9968 7750 9996 8026
+rect 9956 7744 10008 7750
+rect 9956 7686 10008 7692
+rect 9956 7404 10008 7410
+rect 9956 7346 10008 7352
+rect 9784 6038 9904 6066
+rect 9784 5778 9812 6038
+rect 9772 5772 9824 5778
+rect 9772 5714 9824 5720
+rect 9784 5370 9812 5714
+rect 9772 5364 9824 5370
+rect 9772 5306 9824 5312
+rect 9680 5228 9732 5234
+rect 9680 5170 9732 5176
+rect 9692 4146 9720 5170
+rect 9968 4758 9996 7346
+rect 10060 5098 10088 8230
+rect 10152 7834 10180 9454
+rect 10244 8022 10272 10542
+rect 10309 9820 10605 9840
+rect 10365 9818 10389 9820
+rect 10445 9818 10469 9820
+rect 10525 9818 10549 9820
+rect 10387 9766 10389 9818
+rect 10451 9766 10463 9818
+rect 10525 9766 10527 9818
+rect 10365 9764 10389 9766
+rect 10445 9764 10469 9766
+rect 10525 9764 10549 9766
+rect 10309 9744 10605 9764
+rect 10796 9586 10824 11630
+rect 10784 9580 10836 9586
+rect 10784 9522 10836 9528
+rect 10692 9444 10744 9450
+rect 10888 9432 10916 12718
+rect 11152 11144 11204 11150
+rect 11152 11086 11204 11092
+rect 10968 11076 11020 11082
+rect 10968 11018 11020 11024
+rect 10744 9404 10916 9432
+rect 10692 9386 10744 9392
+rect 10309 8732 10605 8752
+rect 10365 8730 10389 8732
+rect 10445 8730 10469 8732
+rect 10525 8730 10549 8732
+rect 10387 8678 10389 8730
+rect 10451 8678 10463 8730
+rect 10525 8678 10527 8730
+rect 10365 8676 10389 8678
+rect 10445 8676 10469 8678
+rect 10525 8676 10549 8678
+rect 10309 8656 10605 8676
+rect 10232 8016 10284 8022
+rect 10232 7958 10284 7964
+rect 10152 7806 10272 7834
+rect 10140 7744 10192 7750
+rect 10140 7686 10192 7692
+rect 10152 7410 10180 7686
+rect 10140 7404 10192 7410
+rect 10140 7346 10192 7352
+rect 10140 7200 10192 7206
+rect 10140 7142 10192 7148
+rect 10152 5166 10180 7142
+rect 10244 6322 10272 7806
+rect 10309 7644 10605 7664
+rect 10365 7642 10389 7644
+rect 10445 7642 10469 7644
+rect 10525 7642 10549 7644
+rect 10387 7590 10389 7642
+rect 10451 7590 10463 7642
+rect 10525 7590 10527 7642
+rect 10365 7588 10389 7590
+rect 10445 7588 10469 7590
+rect 10525 7588 10549 7590
+rect 10309 7568 10605 7588
+rect 10309 6556 10605 6576
+rect 10365 6554 10389 6556
+rect 10445 6554 10469 6556
+rect 10525 6554 10549 6556
+rect 10387 6502 10389 6554
+rect 10451 6502 10463 6554
+rect 10525 6502 10527 6554
+rect 10365 6500 10389 6502
+rect 10445 6500 10469 6502
+rect 10525 6500 10549 6502
+rect 10309 6480 10605 6500
+rect 10322 6352 10378 6361
+rect 10232 6316 10284 6322
+rect 10322 6287 10378 6296
+rect 10232 6258 10284 6264
+rect 10336 6254 10364 6287
+rect 10324 6248 10376 6254
+rect 10324 6190 10376 6196
+rect 10704 5778 10732 9386
+rect 10980 9364 11008 11018
+rect 11164 10674 11192 11086
+rect 12912 11082 12940 14842
+rect 12900 11076 12952 11082
+rect 12900 11018 12952 11024
+rect 11152 10668 11204 10674
+rect 11152 10610 11204 10616
+rect 10888 9336 11008 9364
+rect 10784 9036 10836 9042
+rect 10784 8978 10836 8984
+rect 10796 8362 10824 8978
+rect 10784 8356 10836 8362
+rect 10784 8298 10836 8304
+rect 10784 7744 10836 7750
+rect 10784 7686 10836 7692
+rect 10796 6934 10824 7686
+rect 10888 7342 10916 9336
+rect 11060 7948 11112 7954
+rect 11060 7890 11112 7896
+rect 10966 7848 11022 7857
+rect 10966 7783 11022 7792
+rect 10876 7336 10928 7342
+rect 10876 7278 10928 7284
+rect 10784 6928 10836 6934
+rect 10784 6870 10836 6876
+rect 10692 5772 10744 5778
+rect 10692 5714 10744 5720
+rect 10309 5468 10605 5488
+rect 10365 5466 10389 5468
+rect 10445 5466 10469 5468
+rect 10525 5466 10549 5468
+rect 10387 5414 10389 5466
+rect 10451 5414 10463 5466
+rect 10525 5414 10527 5466
+rect 10365 5412 10389 5414
+rect 10445 5412 10469 5414
+rect 10525 5412 10549 5414
+rect 10309 5392 10605 5412
+rect 10140 5160 10192 5166
+rect 10140 5102 10192 5108
+rect 10048 5092 10100 5098
+rect 10048 5034 10100 5040
+rect 9956 4752 10008 4758
+rect 10796 4729 10824 6870
+rect 10876 6860 10928 6866
+rect 10876 6802 10928 6808
+rect 10888 6186 10916 6802
+rect 10876 6180 10928 6186
+rect 10876 6122 10928 6128
+rect 10980 5778 11008 7783
+rect 11072 7546 11100 7890
+rect 11060 7540 11112 7546
+rect 11060 7482 11112 7488
+rect 11058 6896 11114 6905
+rect 11058 6831 11060 6840
+rect 11112 6831 11114 6840
+rect 11060 6802 11112 6808
+rect 11164 6798 11192 10610
+rect 11244 9580 11296 9586
+rect 11244 9522 11296 9528
+rect 11152 6792 11204 6798
+rect 11152 6734 11204 6740
+rect 10968 5772 11020 5778
+rect 10968 5714 11020 5720
+rect 9956 4694 10008 4700
+rect 10782 4720 10838 4729
+rect 10782 4655 10838 4664
+rect 10309 4380 10605 4400
+rect 10365 4378 10389 4380
+rect 10445 4378 10469 4380
+rect 10525 4378 10549 4380
+rect 10387 4326 10389 4378
+rect 10451 4326 10463 4378
+rect 10525 4326 10527 4378
+rect 10365 4324 10389 4326
+rect 10445 4324 10469 4326
+rect 10525 4324 10549 4326
+rect 10309 4304 10605 4324
+rect 9680 4140 9732 4146
+rect 9680 4082 9732 4088
+rect 11256 3670 11284 9522
+rect 12072 8356 12124 8362
+rect 12072 8298 12124 8304
+rect 11244 3664 11296 3670
+rect 11244 3606 11296 3612
+rect 10309 3292 10605 3312
+rect 10365 3290 10389 3292
+rect 10445 3290 10469 3292
+rect 10525 3290 10549 3292
+rect 10387 3238 10389 3290
+rect 10451 3238 10463 3290
+rect 10525 3238 10527 3290
+rect 10365 3236 10389 3238
+rect 10445 3236 10469 3238
+rect 10525 3236 10549 3238
+rect 10309 3216 10605 3236
+rect 9496 2848 9548 2854
+rect 9496 2790 9548 2796
+rect 11152 2848 11204 2854
+rect 11152 2790 11204 2796
+rect 10309 2204 10605 2224
+rect 10365 2202 10389 2204
+rect 10445 2202 10469 2204
+rect 10525 2202 10549 2204
+rect 10387 2150 10389 2202
+rect 10451 2150 10463 2202
+rect 10525 2150 10527 2202
+rect 10365 2148 10389 2150
+rect 10445 2148 10469 2150
+rect 10525 2148 10549 2150
+rect 10309 2128 10605 2148
+rect 11164 1601 11192 2790
+rect 11150 1592 11206 1601
+rect 11150 1527 11206 1536
+rect 12084 800 12112 8298
+rect 1306 0 1362 800
+rect 3974 0 4030 800
+rect 6642 0 6698 800
+rect 9402 0 9458 800
+rect 12070 0 12126 800
+<< via2 >>
+rect 1398 12724 1400 12744
+rect 1400 12724 1452 12744
+rect 1452 12724 1454 12744
+rect 1398 12688 1454 12724
+rect 2826 13082 2882 13084
+rect 2906 13082 2962 13084
+rect 2986 13082 3042 13084
+rect 3066 13082 3122 13084
+rect 2826 13030 2852 13082
+rect 2852 13030 2882 13082
+rect 2906 13030 2916 13082
+rect 2916 13030 2962 13082
+rect 2986 13030 3032 13082
+rect 3032 13030 3042 13082
+rect 3066 13030 3096 13082
+rect 3096 13030 3122 13082
+rect 2826 13028 2882 13030
+rect 2906 13028 2962 13030
+rect 2986 13028 3042 13030
+rect 3066 13028 3122 13030
+rect 3330 12824 3386 12880
+rect 2826 11994 2882 11996
+rect 2906 11994 2962 11996
+rect 2986 11994 3042 11996
+rect 3066 11994 3122 11996
+rect 2826 11942 2852 11994
+rect 2852 11942 2882 11994
+rect 2906 11942 2916 11994
+rect 2916 11942 2962 11994
+rect 2986 11942 3032 11994
+rect 3032 11942 3042 11994
+rect 3066 11942 3096 11994
+rect 3096 11942 3122 11994
+rect 2826 11940 2882 11942
+rect 2906 11940 2962 11942
+rect 2986 11940 3042 11942
+rect 3066 11940 3122 11942
+rect 1674 7948 1730 7984
+rect 1674 7928 1676 7948
+rect 1676 7928 1728 7948
+rect 1728 7928 1730 7948
+rect 2042 9424 2098 9480
+rect 2826 10906 2882 10908
+rect 2906 10906 2962 10908
+rect 2986 10906 3042 10908
+rect 3066 10906 3122 10908
+rect 2826 10854 2852 10906
+rect 2852 10854 2882 10906
+rect 2906 10854 2916 10906
+rect 2916 10854 2962 10906
+rect 2986 10854 3032 10906
+rect 3032 10854 3042 10906
+rect 3066 10854 3096 10906
+rect 3096 10854 3122 10906
+rect 2826 10852 2882 10854
+rect 2906 10852 2962 10854
+rect 2986 10852 3042 10854
+rect 3066 10852 3122 10854
+rect 2826 9818 2882 9820
+rect 2906 9818 2962 9820
+rect 2986 9818 3042 9820
+rect 3066 9818 3122 9820
+rect 2826 9766 2852 9818
+rect 2852 9766 2882 9818
+rect 2906 9766 2916 9818
+rect 2916 9766 2962 9818
+rect 2986 9766 3032 9818
+rect 3032 9766 3042 9818
+rect 3066 9766 3096 9818
+rect 3096 9766 3122 9818
+rect 2826 9764 2882 9766
+rect 2906 9764 2962 9766
+rect 2986 9764 3042 9766
+rect 3066 9764 3122 9766
+rect 1858 8336 1914 8392
+rect 2318 7268 2374 7304
+rect 2318 7248 2320 7268
+rect 2320 7248 2372 7268
+rect 2372 7248 2374 7268
+rect 2826 8730 2882 8732
+rect 2906 8730 2962 8732
+rect 2986 8730 3042 8732
+rect 3066 8730 3122 8732
+rect 2826 8678 2852 8730
+rect 2852 8678 2882 8730
+rect 2906 8678 2916 8730
+rect 2916 8678 2962 8730
+rect 2986 8678 3032 8730
+rect 3032 8678 3042 8730
+rect 3066 8678 3096 8730
+rect 3096 8678 3122 8730
+rect 2826 8676 2882 8678
+rect 2906 8676 2962 8678
+rect 2986 8676 3042 8678
+rect 3066 8676 3122 8678
+rect 3146 7792 3202 7848
+rect 2826 7642 2882 7644
+rect 2906 7642 2962 7644
+rect 2986 7642 3042 7644
+rect 3066 7642 3122 7644
+rect 2826 7590 2852 7642
+rect 2852 7590 2882 7642
+rect 2906 7590 2916 7642
+rect 2916 7590 2962 7642
+rect 2986 7590 3032 7642
+rect 3032 7590 3042 7642
+rect 3066 7590 3096 7642
+rect 3096 7590 3122 7642
+rect 2826 7588 2882 7590
+rect 2906 7588 2962 7590
+rect 2986 7588 3042 7590
+rect 3066 7588 3122 7590
+rect 2826 6554 2882 6556
+rect 2906 6554 2962 6556
+rect 2986 6554 3042 6556
+rect 3066 6554 3122 6556
+rect 2826 6502 2852 6554
+rect 2852 6502 2882 6554
+rect 2906 6502 2916 6554
+rect 2916 6502 2962 6554
+rect 2986 6502 3032 6554
+rect 3032 6502 3042 6554
+rect 3066 6502 3096 6554
+rect 3096 6502 3122 6554
+rect 2826 6500 2882 6502
+rect 2906 6500 2962 6502
+rect 2986 6500 3042 6502
+rect 3066 6500 3122 6502
+rect 3790 10648 3846 10704
+rect 3514 8744 3570 8800
+rect 3790 10512 3846 10568
+rect 4066 9560 4122 9616
+rect 3974 9016 4030 9072
+rect 4697 12538 4753 12540
+rect 4777 12538 4833 12540
+rect 4857 12538 4913 12540
+rect 4937 12538 4993 12540
+rect 4697 12486 4723 12538
+rect 4723 12486 4753 12538
+rect 4777 12486 4787 12538
+rect 4787 12486 4833 12538
+rect 4857 12486 4903 12538
+rect 4903 12486 4913 12538
+rect 4937 12486 4967 12538
+rect 4967 12486 4993 12538
+rect 4697 12484 4753 12486
+rect 4777 12484 4833 12486
+rect 4857 12484 4913 12486
+rect 4937 12484 4993 12486
+rect 4697 11450 4753 11452
+rect 4777 11450 4833 11452
+rect 4857 11450 4913 11452
+rect 4937 11450 4993 11452
+rect 4697 11398 4723 11450
+rect 4723 11398 4753 11450
+rect 4777 11398 4787 11450
+rect 4787 11398 4833 11450
+rect 4857 11398 4903 11450
+rect 4903 11398 4913 11450
+rect 4937 11398 4967 11450
+rect 4967 11398 4993 11450
+rect 4697 11396 4753 11398
+rect 4777 11396 4833 11398
+rect 4857 11396 4913 11398
+rect 4937 11396 4993 11398
+rect 4066 7792 4122 7848
+rect 2826 5466 2882 5468
+rect 2906 5466 2962 5468
+rect 2986 5466 3042 5468
+rect 3066 5466 3122 5468
+rect 2826 5414 2852 5466
+rect 2852 5414 2882 5466
+rect 2906 5414 2916 5466
+rect 2916 5414 2962 5466
+rect 2986 5414 3032 5466
+rect 3032 5414 3042 5466
+rect 3066 5414 3096 5466
+rect 3096 5414 3122 5466
+rect 2826 5412 2882 5414
+rect 2906 5412 2962 5414
+rect 2986 5412 3042 5414
+rect 3066 5412 3122 5414
+rect 2826 4378 2882 4380
+rect 2906 4378 2962 4380
+rect 2986 4378 3042 4380
+rect 3066 4378 3122 4380
+rect 2826 4326 2852 4378
+rect 2852 4326 2882 4378
+rect 2906 4326 2916 4378
+rect 2916 4326 2962 4378
+rect 2986 4326 3032 4378
+rect 3032 4326 3042 4378
+rect 3066 4326 3096 4378
+rect 3096 4326 3122 4378
+rect 2826 4324 2882 4326
+rect 2906 4324 2962 4326
+rect 2986 4324 3042 4326
+rect 3066 4324 3122 4326
+rect 2826 3290 2882 3292
+rect 2906 3290 2962 3292
+rect 2986 3290 3042 3292
+rect 3066 3290 3122 3292
+rect 2826 3238 2852 3290
+rect 2852 3238 2882 3290
+rect 2906 3238 2916 3290
+rect 2916 3238 2962 3290
+rect 2986 3238 3032 3290
+rect 3032 3238 3042 3290
+rect 3066 3238 3096 3290
+rect 3096 3238 3122 3290
+rect 2826 3236 2882 3238
+rect 2906 3236 2962 3238
+rect 2986 3236 3042 3238
+rect 3066 3236 3122 3238
+rect 1766 2624 1822 2680
+rect 2826 2202 2882 2204
+rect 2906 2202 2962 2204
+rect 2986 2202 3042 2204
+rect 3066 2202 3122 2204
+rect 2826 2150 2852 2202
+rect 2852 2150 2882 2202
+rect 2906 2150 2916 2202
+rect 2916 2150 2962 2202
+rect 2986 2150 3032 2202
+rect 3032 2150 3042 2202
+rect 3066 2150 3096 2202
+rect 3096 2150 3122 2202
+rect 2826 2148 2882 2150
+rect 2906 2148 2962 2150
+rect 2986 2148 3042 2150
+rect 3066 2148 3122 2150
+rect 4710 10548 4712 10568
+rect 4712 10548 4764 10568
+rect 4764 10548 4766 10568
+rect 4710 10512 4766 10548
+rect 4697 10362 4753 10364
+rect 4777 10362 4833 10364
+rect 4857 10362 4913 10364
+rect 4937 10362 4993 10364
+rect 4697 10310 4723 10362
+rect 4723 10310 4753 10362
+rect 4777 10310 4787 10362
+rect 4787 10310 4833 10362
+rect 4857 10310 4903 10362
+rect 4903 10310 4913 10362
+rect 4937 10310 4967 10362
+rect 4967 10310 4993 10362
+rect 4697 10308 4753 10310
+rect 4777 10308 4833 10310
+rect 4857 10308 4913 10310
+rect 4937 10308 4993 10310
+rect 5446 11872 5502 11928
+rect 5170 9968 5226 10024
+rect 5078 9696 5134 9752
+rect 4697 9274 4753 9276
+rect 4777 9274 4833 9276
+rect 4857 9274 4913 9276
+rect 4937 9274 4993 9276
+rect 4697 9222 4723 9274
+rect 4723 9222 4753 9274
+rect 4777 9222 4787 9274
+rect 4787 9222 4833 9274
+rect 4857 9222 4903 9274
+rect 4903 9222 4913 9274
+rect 4937 9222 4967 9274
+rect 4967 9222 4993 9274
+rect 4697 9220 4753 9222
+rect 4777 9220 4833 9222
+rect 4857 9220 4913 9222
+rect 4937 9220 4993 9222
+rect 4986 8336 5042 8392
+rect 4697 8186 4753 8188
+rect 4777 8186 4833 8188
+rect 4857 8186 4913 8188
+rect 4937 8186 4993 8188
+rect 4697 8134 4723 8186
+rect 4723 8134 4753 8186
+rect 4777 8134 4787 8186
+rect 4787 8134 4833 8186
+rect 4857 8134 4903 8186
+rect 4903 8134 4913 8186
+rect 4937 8134 4967 8186
+rect 4967 8134 4993 8186
+rect 4697 8132 4753 8134
+rect 4777 8132 4833 8134
+rect 4857 8132 4913 8134
+rect 4937 8132 4993 8134
+rect 4710 7520 4766 7576
+rect 4986 7384 5042 7440
+rect 4697 7098 4753 7100
+rect 4777 7098 4833 7100
+rect 4857 7098 4913 7100
+rect 4937 7098 4993 7100
+rect 4697 7046 4723 7098
+rect 4723 7046 4753 7098
+rect 4777 7046 4787 7098
+rect 4787 7046 4833 7098
+rect 4857 7046 4903 7098
+rect 4903 7046 4913 7098
+rect 4937 7046 4967 7098
+rect 4967 7046 4993 7098
+rect 4697 7044 4753 7046
+rect 4777 7044 4833 7046
+rect 4857 7044 4913 7046
+rect 4937 7044 4993 7046
+rect 4697 6010 4753 6012
+rect 4777 6010 4833 6012
+rect 4857 6010 4913 6012
+rect 4937 6010 4993 6012
+rect 4697 5958 4723 6010
+rect 4723 5958 4753 6010
+rect 4777 5958 4787 6010
+rect 4787 5958 4833 6010
+rect 4857 5958 4903 6010
+rect 4903 5958 4913 6010
+rect 4937 5958 4967 6010
+rect 4967 5958 4993 6010
+rect 4697 5956 4753 5958
+rect 4777 5956 4833 5958
+rect 4857 5956 4913 5958
+rect 4937 5956 4993 5958
+rect 5538 9832 5594 9888
+rect 5630 9460 5632 9480
+rect 5632 9460 5684 9480
+rect 5684 9460 5686 9480
+rect 5630 9424 5686 9460
+rect 5814 9052 5816 9072
+rect 5816 9052 5868 9072
+rect 5868 9052 5870 9072
+rect 5814 9016 5870 9052
+rect 5722 8880 5778 8936
+rect 5630 7928 5686 7984
+rect 4710 5616 4766 5672
+rect 4697 4922 4753 4924
+rect 4777 4922 4833 4924
+rect 4857 4922 4913 4924
+rect 4937 4922 4993 4924
+rect 4697 4870 4723 4922
+rect 4723 4870 4753 4922
+rect 4777 4870 4787 4922
+rect 4787 4870 4833 4922
+rect 4857 4870 4903 4922
+rect 4903 4870 4913 4922
+rect 4937 4870 4967 4922
+rect 4967 4870 4993 4922
+rect 4697 4868 4753 4870
+rect 4777 4868 4833 4870
+rect 4857 4868 4913 4870
+rect 4937 4868 4993 4870
+rect 4697 3834 4753 3836
+rect 4777 3834 4833 3836
+rect 4857 3834 4913 3836
+rect 4937 3834 4993 3836
+rect 4697 3782 4723 3834
+rect 4723 3782 4753 3834
+rect 4777 3782 4787 3834
+rect 4787 3782 4833 3834
+rect 4857 3782 4903 3834
+rect 4903 3782 4913 3834
+rect 4937 3782 4967 3834
+rect 4967 3782 4993 3834
+rect 4697 3780 4753 3782
+rect 4777 3780 4833 3782
+rect 4857 3780 4913 3782
+rect 4937 3780 4993 3782
+rect 6568 13082 6624 13084
+rect 6648 13082 6704 13084
+rect 6728 13082 6784 13084
+rect 6808 13082 6864 13084
+rect 6568 13030 6594 13082
+rect 6594 13030 6624 13082
+rect 6648 13030 6658 13082
+rect 6658 13030 6704 13082
+rect 6728 13030 6774 13082
+rect 6774 13030 6784 13082
+rect 6808 13030 6838 13082
+rect 6838 13030 6864 13082
+rect 6568 13028 6624 13030
+rect 6648 13028 6704 13030
+rect 6728 13028 6784 13030
+rect 6808 13028 6864 13030
+rect 7102 12144 7158 12200
+rect 6568 11994 6624 11996
+rect 6648 11994 6704 11996
+rect 6728 11994 6784 11996
+rect 6808 11994 6864 11996
+rect 6568 11942 6594 11994
+rect 6594 11942 6624 11994
+rect 6648 11942 6658 11994
+rect 6658 11942 6704 11994
+rect 6728 11942 6774 11994
+rect 6774 11942 6784 11994
+rect 6808 11942 6838 11994
+rect 6838 11942 6864 11994
+rect 6568 11940 6624 11942
+rect 6648 11940 6704 11942
+rect 6728 11940 6784 11942
+rect 6808 11940 6864 11942
+rect 6568 10906 6624 10908
+rect 6648 10906 6704 10908
+rect 6728 10906 6784 10908
+rect 6808 10906 6864 10908
+rect 6568 10854 6594 10906
+rect 6594 10854 6624 10906
+rect 6648 10854 6658 10906
+rect 6658 10854 6704 10906
+rect 6728 10854 6774 10906
+rect 6774 10854 6784 10906
+rect 6808 10854 6838 10906
+rect 6838 10854 6864 10906
+rect 6568 10852 6624 10854
+rect 6648 10852 6704 10854
+rect 6728 10852 6784 10854
+rect 6808 10852 6864 10854
+rect 6274 10104 6330 10160
+rect 6568 9818 6624 9820
+rect 6648 9818 6704 9820
+rect 6728 9818 6784 9820
+rect 6808 9818 6864 9820
+rect 6568 9766 6594 9818
+rect 6594 9766 6624 9818
+rect 6648 9766 6658 9818
+rect 6658 9766 6704 9818
+rect 6728 9766 6774 9818
+rect 6774 9766 6784 9818
+rect 6808 9766 6838 9818
+rect 6838 9766 6864 9818
+rect 6568 9764 6624 9766
+rect 6648 9764 6704 9766
+rect 6728 9764 6784 9766
+rect 6808 9764 6864 9766
+rect 5998 8744 6054 8800
+rect 5722 7520 5778 7576
+rect 5998 7384 6054 7440
+rect 6568 8730 6624 8732
+rect 6648 8730 6704 8732
+rect 6728 8730 6784 8732
+rect 6808 8730 6864 8732
+rect 6568 8678 6594 8730
+rect 6594 8678 6624 8730
+rect 6648 8678 6658 8730
+rect 6658 8678 6704 8730
+rect 6728 8678 6774 8730
+rect 6774 8678 6784 8730
+rect 6808 8678 6838 8730
+rect 6838 8678 6864 8730
+rect 6568 8676 6624 8678
+rect 6648 8676 6704 8678
+rect 6728 8676 6784 8678
+rect 6808 8676 6864 8678
+rect 6826 8336 6882 8392
+rect 6090 6704 6146 6760
+rect 4697 2746 4753 2748
+rect 4777 2746 4833 2748
+rect 4857 2746 4913 2748
+rect 4937 2746 4993 2748
+rect 4697 2694 4723 2746
+rect 4723 2694 4753 2746
+rect 4777 2694 4787 2746
+rect 4787 2694 4833 2746
+rect 4857 2694 4903 2746
+rect 4903 2694 4913 2746
+rect 4937 2694 4967 2746
+rect 4967 2694 4993 2746
+rect 4697 2692 4753 2694
+rect 4777 2692 4833 2694
+rect 4857 2692 4913 2694
+rect 4937 2692 4993 2694
+rect 6568 7642 6624 7644
+rect 6648 7642 6704 7644
+rect 6728 7642 6784 7644
+rect 6808 7642 6864 7644
+rect 6568 7590 6594 7642
+rect 6594 7590 6624 7642
+rect 6648 7590 6658 7642
+rect 6658 7590 6704 7642
+rect 6728 7590 6774 7642
+rect 6774 7590 6784 7642
+rect 6808 7590 6838 7642
+rect 6838 7590 6864 7642
+rect 6568 7588 6624 7590
+rect 6648 7588 6704 7590
+rect 6728 7588 6784 7590
+rect 6808 7588 6864 7590
+rect 6550 7384 6606 7440
+rect 6642 6976 6698 7032
+rect 6568 6554 6624 6556
+rect 6648 6554 6704 6556
+rect 6728 6554 6784 6556
+rect 6808 6554 6864 6556
+rect 6568 6502 6594 6554
+rect 6594 6502 6624 6554
+rect 6648 6502 6658 6554
+rect 6658 6502 6704 6554
+rect 6728 6502 6774 6554
+rect 6774 6502 6784 6554
+rect 6808 6502 6838 6554
+rect 6838 6502 6864 6554
+rect 6568 6500 6624 6502
+rect 6648 6500 6704 6502
+rect 6728 6500 6784 6502
+rect 6808 6500 6864 6502
+rect 6826 6296 6882 6352
+rect 6734 6160 6790 6216
+rect 6568 5466 6624 5468
+rect 6648 5466 6704 5468
+rect 6728 5466 6784 5468
+rect 6808 5466 6864 5468
+rect 6568 5414 6594 5466
+rect 6594 5414 6624 5466
+rect 6648 5414 6658 5466
+rect 6658 5414 6704 5466
+rect 6728 5414 6774 5466
+rect 6774 5414 6784 5466
+rect 6808 5414 6838 5466
+rect 6838 5414 6864 5466
+rect 6568 5412 6624 5414
+rect 6648 5412 6704 5414
+rect 6728 5412 6784 5414
+rect 6808 5412 6864 5414
+rect 7102 10512 7158 10568
+rect 7194 10240 7250 10296
+rect 9586 14048 9642 14104
+rect 8438 12538 8494 12540
+rect 8518 12538 8574 12540
+rect 8598 12538 8654 12540
+rect 8678 12538 8734 12540
+rect 8438 12486 8464 12538
+rect 8464 12486 8494 12538
+rect 8518 12486 8528 12538
+rect 8528 12486 8574 12538
+rect 8598 12486 8644 12538
+rect 8644 12486 8654 12538
+rect 8678 12486 8708 12538
+rect 8708 12486 8734 12538
+rect 8438 12484 8494 12486
+rect 8518 12484 8574 12486
+rect 8598 12484 8654 12486
+rect 8678 12484 8734 12486
+rect 8206 12180 8208 12200
+rect 8208 12180 8260 12200
+rect 8260 12180 8262 12200
+rect 8206 12144 8262 12180
+rect 7010 9016 7066 9072
+rect 7194 9560 7250 9616
+rect 7194 9324 7196 9344
+rect 7196 9324 7248 9344
+rect 7248 9324 7250 9344
+rect 7194 9288 7250 9324
+rect 7286 9152 7342 9208
+rect 7010 7248 7066 7304
+rect 6568 4378 6624 4380
+rect 6648 4378 6704 4380
+rect 6728 4378 6784 4380
+rect 6808 4378 6864 4380
+rect 6568 4326 6594 4378
+rect 6594 4326 6624 4378
+rect 6648 4326 6658 4378
+rect 6658 4326 6704 4378
+rect 6728 4326 6774 4378
+rect 6774 4326 6784 4378
+rect 6808 4326 6838 4378
+rect 6838 4326 6864 4378
+rect 6568 4324 6624 4326
+rect 6648 4324 6704 4326
+rect 6728 4324 6784 4326
+rect 6808 4324 6864 4326
+rect 7470 6452 7526 6488
+rect 7470 6432 7472 6452
+rect 7472 6432 7524 6452
+rect 7524 6432 7526 6452
+rect 7838 7928 7894 7984
+rect 7838 7268 7894 7304
+rect 7838 7248 7840 7268
+rect 7840 7248 7892 7268
+rect 7892 7248 7894 7268
+rect 8114 8472 8170 8528
+rect 6568 3290 6624 3292
+rect 6648 3290 6704 3292
+rect 6728 3290 6784 3292
+rect 6808 3290 6864 3292
+rect 6568 3238 6594 3290
+rect 6594 3238 6624 3290
+rect 6648 3238 6658 3290
+rect 6658 3238 6704 3290
+rect 6728 3238 6774 3290
+rect 6774 3238 6784 3290
+rect 6808 3238 6838 3290
+rect 6838 3238 6864 3290
+rect 6568 3236 6624 3238
+rect 6648 3236 6704 3238
+rect 6728 3236 6784 3238
+rect 6808 3236 6864 3238
+rect 8438 11450 8494 11452
+rect 8518 11450 8574 11452
+rect 8598 11450 8654 11452
+rect 8678 11450 8734 11452
+rect 8438 11398 8464 11450
+rect 8464 11398 8494 11450
+rect 8518 11398 8528 11450
+rect 8528 11398 8574 11450
+rect 8598 11398 8644 11450
+rect 8644 11398 8654 11450
+rect 8678 11398 8708 11450
+rect 8708 11398 8734 11450
+rect 8438 11396 8494 11398
+rect 8518 11396 8574 11398
+rect 8598 11396 8654 11398
+rect 8678 11396 8734 11398
+rect 8438 10362 8494 10364
+rect 8518 10362 8574 10364
+rect 8598 10362 8654 10364
+rect 8678 10362 8734 10364
+rect 8438 10310 8464 10362
+rect 8464 10310 8494 10362
+rect 8518 10310 8528 10362
+rect 8528 10310 8574 10362
+rect 8598 10310 8644 10362
+rect 8644 10310 8654 10362
+rect 8678 10310 8708 10362
+rect 8708 10310 8734 10362
+rect 8438 10308 8494 10310
+rect 8518 10308 8574 10310
+rect 8598 10308 8654 10310
+rect 8678 10308 8734 10310
+rect 8574 10004 8576 10024
+rect 8576 10004 8628 10024
+rect 8628 10004 8630 10024
+rect 8574 9968 8630 10004
+rect 8574 9424 8630 9480
+rect 8438 9274 8494 9276
+rect 8518 9274 8574 9276
+rect 8598 9274 8654 9276
+rect 8678 9274 8734 9276
+rect 8438 9222 8464 9274
+rect 8464 9222 8494 9274
+rect 8518 9222 8528 9274
+rect 8528 9222 8574 9274
+rect 8598 9222 8644 9274
+rect 8644 9222 8654 9274
+rect 8678 9222 8708 9274
+rect 8708 9222 8734 9274
+rect 8438 9220 8494 9222
+rect 8518 9220 8574 9222
+rect 8598 9220 8654 9222
+rect 8678 9220 8734 9222
+rect 8298 8608 8354 8664
+rect 8206 7656 8262 7712
+rect 8438 8186 8494 8188
+rect 8518 8186 8574 8188
+rect 8598 8186 8654 8188
+rect 8678 8186 8734 8188
+rect 8438 8134 8464 8186
+rect 8464 8134 8494 8186
+rect 8518 8134 8528 8186
+rect 8528 8134 8574 8186
+rect 8598 8134 8644 8186
+rect 8644 8134 8654 8186
+rect 8678 8134 8708 8186
+rect 8708 8134 8734 8186
+rect 8438 8132 8494 8134
+rect 8518 8132 8574 8134
+rect 8598 8132 8654 8134
+rect 8678 8132 8734 8134
+rect 8666 7248 8722 7304
+rect 8438 7098 8494 7100
+rect 8518 7098 8574 7100
+rect 8598 7098 8654 7100
+rect 8678 7098 8734 7100
+rect 8438 7046 8464 7098
+rect 8464 7046 8494 7098
+rect 8518 7046 8528 7098
+rect 8528 7046 8574 7098
+rect 8598 7046 8644 7098
+rect 8644 7046 8654 7098
+rect 8678 7046 8708 7098
+rect 8708 7046 8734 7098
+rect 8438 7044 8494 7046
+rect 8518 7044 8574 7046
+rect 8598 7044 8654 7046
+rect 8678 7044 8734 7046
+rect 8850 6704 8906 6760
+rect 8438 6010 8494 6012
+rect 8518 6010 8574 6012
+rect 8598 6010 8654 6012
+rect 8678 6010 8734 6012
+rect 8438 5958 8464 6010
+rect 8464 5958 8494 6010
+rect 8518 5958 8528 6010
+rect 8528 5958 8574 6010
+rect 8598 5958 8644 6010
+rect 8644 5958 8654 6010
+rect 8678 5958 8708 6010
+rect 8708 5958 8734 6010
+rect 8438 5956 8494 5958
+rect 8518 5956 8574 5958
+rect 8598 5956 8654 5958
+rect 8678 5956 8734 5958
+rect 8666 5208 8722 5264
+rect 8438 4922 8494 4924
+rect 8518 4922 8574 4924
+rect 8598 4922 8654 4924
+rect 8678 4922 8734 4924
+rect 8438 4870 8464 4922
+rect 8464 4870 8494 4922
+rect 8518 4870 8528 4922
+rect 8528 4870 8574 4922
+rect 8598 4870 8644 4922
+rect 8644 4870 8654 4922
+rect 8678 4870 8708 4922
+rect 8708 4870 8734 4922
+rect 8438 4868 8494 4870
+rect 8518 4868 8574 4870
+rect 8598 4868 8654 4870
+rect 8678 4868 8734 4870
+rect 8850 4120 8906 4176
+rect 8438 3834 8494 3836
+rect 8518 3834 8574 3836
+rect 8598 3834 8654 3836
+rect 8678 3834 8734 3836
+rect 8438 3782 8464 3834
+rect 8464 3782 8494 3834
+rect 8518 3782 8528 3834
+rect 8528 3782 8574 3834
+rect 8598 3782 8644 3834
+rect 8644 3782 8654 3834
+rect 8678 3782 8708 3834
+rect 8708 3782 8734 3834
+rect 8438 3780 8494 3782
+rect 8518 3780 8574 3782
+rect 8598 3780 8654 3782
+rect 8678 3780 8734 3782
+rect 8438 2746 8494 2748
+rect 8518 2746 8574 2748
+rect 8598 2746 8654 2748
+rect 8678 2746 8734 2748
+rect 8438 2694 8464 2746
+rect 8464 2694 8494 2746
+rect 8518 2694 8528 2746
+rect 8528 2694 8574 2746
+rect 8598 2694 8644 2746
+rect 8644 2694 8654 2746
+rect 8678 2694 8708 2746
+rect 8708 2694 8734 2746
+rect 8438 2692 8494 2694
+rect 8518 2692 8574 2694
+rect 8598 2692 8654 2694
+rect 8678 2692 8734 2694
+rect 6568 2202 6624 2204
+rect 6648 2202 6704 2204
+rect 6728 2202 6784 2204
+rect 6808 2202 6864 2204
+rect 6568 2150 6594 2202
+rect 6594 2150 6624 2202
+rect 6648 2150 6658 2202
+rect 6658 2150 6704 2202
+rect 6728 2150 6774 2202
+rect 6774 2150 6784 2202
+rect 6808 2150 6838 2202
+rect 6838 2150 6864 2202
+rect 6568 2148 6624 2150
+rect 6648 2148 6704 2150
+rect 6728 2148 6784 2150
+rect 6808 2148 6864 2150
+rect 10309 13082 10365 13084
+rect 10389 13082 10445 13084
+rect 10469 13082 10525 13084
+rect 10549 13082 10605 13084
+rect 10309 13030 10335 13082
+rect 10335 13030 10365 13082
+rect 10389 13030 10399 13082
+rect 10399 13030 10445 13082
+rect 10469 13030 10515 13082
+rect 10515 13030 10525 13082
+rect 10549 13030 10579 13082
+rect 10579 13030 10605 13082
+rect 10309 13028 10365 13030
+rect 10389 13028 10445 13030
+rect 10469 13028 10525 13030
+rect 10549 13028 10605 13030
+rect 10309 11994 10365 11996
+rect 10389 11994 10445 11996
+rect 10469 11994 10525 11996
+rect 10549 11994 10605 11996
+rect 10309 11942 10335 11994
+rect 10335 11942 10365 11994
+rect 10389 11942 10399 11994
+rect 10399 11942 10445 11994
+rect 10469 11942 10515 11994
+rect 10515 11942 10525 11994
+rect 10549 11942 10579 11994
+rect 10579 11942 10605 11994
+rect 10309 11940 10365 11942
+rect 10389 11940 10445 11942
+rect 10469 11940 10525 11942
+rect 10549 11940 10605 11942
+rect 9678 8608 9734 8664
+rect 9678 6860 9734 6896
+rect 9678 6840 9680 6860
+rect 9680 6840 9732 6860
+rect 9732 6840 9734 6860
+rect 10690 10920 10746 10976
+rect 10309 10906 10365 10908
+rect 10389 10906 10445 10908
+rect 10469 10906 10525 10908
+rect 10549 10906 10605 10908
+rect 10309 10854 10335 10906
+rect 10335 10854 10365 10906
+rect 10389 10854 10399 10906
+rect 10399 10854 10445 10906
+rect 10469 10854 10515 10906
+rect 10515 10854 10525 10906
+rect 10549 10854 10579 10906
+rect 10579 10854 10605 10906
+rect 10309 10852 10365 10854
+rect 10389 10852 10445 10854
+rect 10469 10852 10525 10854
+rect 10549 10852 10605 10854
+rect 10309 9818 10365 9820
+rect 10389 9818 10445 9820
+rect 10469 9818 10525 9820
+rect 10549 9818 10605 9820
+rect 10309 9766 10335 9818
+rect 10335 9766 10365 9818
+rect 10389 9766 10399 9818
+rect 10399 9766 10445 9818
+rect 10469 9766 10515 9818
+rect 10515 9766 10525 9818
+rect 10549 9766 10579 9818
+rect 10579 9766 10605 9818
+rect 10309 9764 10365 9766
+rect 10389 9764 10445 9766
+rect 10469 9764 10525 9766
+rect 10549 9764 10605 9766
+rect 10309 8730 10365 8732
+rect 10389 8730 10445 8732
+rect 10469 8730 10525 8732
+rect 10549 8730 10605 8732
+rect 10309 8678 10335 8730
+rect 10335 8678 10365 8730
+rect 10389 8678 10399 8730
+rect 10399 8678 10445 8730
+rect 10469 8678 10515 8730
+rect 10515 8678 10525 8730
+rect 10549 8678 10579 8730
+rect 10579 8678 10605 8730
+rect 10309 8676 10365 8678
+rect 10389 8676 10445 8678
+rect 10469 8676 10525 8678
+rect 10549 8676 10605 8678
+rect 10309 7642 10365 7644
+rect 10389 7642 10445 7644
+rect 10469 7642 10525 7644
+rect 10549 7642 10605 7644
+rect 10309 7590 10335 7642
+rect 10335 7590 10365 7642
+rect 10389 7590 10399 7642
+rect 10399 7590 10445 7642
+rect 10469 7590 10515 7642
+rect 10515 7590 10525 7642
+rect 10549 7590 10579 7642
+rect 10579 7590 10605 7642
+rect 10309 7588 10365 7590
+rect 10389 7588 10445 7590
+rect 10469 7588 10525 7590
+rect 10549 7588 10605 7590
+rect 10309 6554 10365 6556
+rect 10389 6554 10445 6556
+rect 10469 6554 10525 6556
+rect 10549 6554 10605 6556
+rect 10309 6502 10335 6554
+rect 10335 6502 10365 6554
+rect 10389 6502 10399 6554
+rect 10399 6502 10445 6554
+rect 10469 6502 10515 6554
+rect 10515 6502 10525 6554
+rect 10549 6502 10579 6554
+rect 10579 6502 10605 6554
+rect 10309 6500 10365 6502
+rect 10389 6500 10445 6502
+rect 10469 6500 10525 6502
+rect 10549 6500 10605 6502
+rect 10322 6296 10378 6352
+rect 10966 7792 11022 7848
+rect 10309 5466 10365 5468
+rect 10389 5466 10445 5468
+rect 10469 5466 10525 5468
+rect 10549 5466 10605 5468
+rect 10309 5414 10335 5466
+rect 10335 5414 10365 5466
+rect 10389 5414 10399 5466
+rect 10399 5414 10445 5466
+rect 10469 5414 10515 5466
+rect 10515 5414 10525 5466
+rect 10549 5414 10579 5466
+rect 10579 5414 10605 5466
+rect 10309 5412 10365 5414
+rect 10389 5412 10445 5414
+rect 10469 5412 10525 5414
+rect 10549 5412 10605 5414
+rect 11058 6860 11114 6896
+rect 11058 6840 11060 6860
+rect 11060 6840 11112 6860
+rect 11112 6840 11114 6860
+rect 10782 4664 10838 4720
+rect 10309 4378 10365 4380
+rect 10389 4378 10445 4380
+rect 10469 4378 10525 4380
+rect 10549 4378 10605 4380
+rect 10309 4326 10335 4378
+rect 10335 4326 10365 4378
+rect 10389 4326 10399 4378
+rect 10399 4326 10445 4378
+rect 10469 4326 10515 4378
+rect 10515 4326 10525 4378
+rect 10549 4326 10579 4378
+rect 10579 4326 10605 4378
+rect 10309 4324 10365 4326
+rect 10389 4324 10445 4326
+rect 10469 4324 10525 4326
+rect 10549 4324 10605 4326
+rect 10309 3290 10365 3292
+rect 10389 3290 10445 3292
+rect 10469 3290 10525 3292
+rect 10549 3290 10605 3292
+rect 10309 3238 10335 3290
+rect 10335 3238 10365 3290
+rect 10389 3238 10399 3290
+rect 10399 3238 10445 3290
+rect 10469 3238 10515 3290
+rect 10515 3238 10525 3290
+rect 10549 3238 10579 3290
+rect 10579 3238 10605 3290
+rect 10309 3236 10365 3238
+rect 10389 3236 10445 3238
+rect 10469 3236 10525 3238
+rect 10549 3236 10605 3238
+rect 10309 2202 10365 2204
+rect 10389 2202 10445 2204
+rect 10469 2202 10525 2204
+rect 10549 2202 10605 2204
+rect 10309 2150 10335 2202
+rect 10335 2150 10365 2202
+rect 10389 2150 10399 2202
+rect 10399 2150 10445 2202
+rect 10469 2150 10515 2202
+rect 10515 2150 10525 2202
+rect 10549 2150 10579 2202
+rect 10579 2150 10605 2202
+rect 10309 2148 10365 2150
+rect 10389 2148 10445 2150
+rect 10469 2148 10525 2150
+rect 10549 2148 10605 2150
+rect 11150 1536 11206 1592
+<< metal3 >>
+rect 9581 14106 9647 14109
+rect 12698 14106 13498 14136
+rect 9581 14104 13498 14106
+rect 9581 14048 9586 14104
+rect 9642 14048 13498 14104
+rect 9581 14046 13498 14048
+rect 9581 14043 9647 14046
+rect 12698 14016 13498 14046
+rect 2814 13088 3134 13089
+rect 0 13018 800 13048
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3134 13088
+rect 2814 13023 3134 13024
+rect 6556 13088 6876 13089
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 13023 6876 13024
+rect 10297 13088 10617 13089
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 13023 10617 13024
+rect 0 12958 2698 13018
+rect 0 12928 800 12958
+rect 2638 12882 2698 12958
+rect 3325 12882 3391 12885
+rect 2638 12880 3391 12882
+rect 2638 12824 3330 12880
+rect 3386 12824 3391 12880
+rect 2638 12822 3391 12824
+rect 3325 12819 3391 12822
+rect 1393 12746 1459 12749
+rect 7598 12746 7604 12748
+rect 1393 12744 7604 12746
+rect 1393 12688 1398 12744
+rect 1454 12688 7604 12744
+rect 1393 12686 7604 12688
+rect 1393 12683 1459 12686
+rect 7598 12684 7604 12686
+rect 7668 12684 7674 12748
+rect 4685 12544 5005 12545
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 12479 5005 12480
+rect 8426 12544 8746 12545
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 12479 8746 12480
+rect 7097 12202 7163 12205
+rect 8201 12202 8267 12205
+rect 7097 12200 8267 12202
+rect 7097 12144 7102 12200
+rect 7158 12144 8206 12200
+rect 8262 12144 8267 12200
+rect 7097 12142 8267 12144
+rect 7097 12139 7163 12142
+rect 8201 12139 8267 12142
+rect 2814 12000 3134 12001
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3134 12000
+rect 2814 11935 3134 11936
+rect 6556 12000 6876 12001
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 6556 11935 6876 11936
+rect 10297 12000 10617 12001
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 11935 10617 11936
+rect 5441 11930 5507 11933
+rect 5574 11930 5580 11932
+rect 5441 11928 5580 11930
+rect 5441 11872 5446 11928
+rect 5502 11872 5580 11928
+rect 5441 11870 5580 11872
+rect 5441 11867 5507 11870
+rect 5574 11868 5580 11870
+rect 5644 11868 5650 11932
+rect 4685 11456 5005 11457
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 11391 5005 11392
+rect 8426 11456 8746 11457
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 11391 8746 11392
+rect 10685 10978 10751 10981
+rect 12698 10978 13498 11008
+rect 10685 10976 13498 10978
+rect 10685 10920 10690 10976
+rect 10746 10920 13498 10976
+rect 10685 10918 13498 10920
+rect 10685 10915 10751 10918
+rect 2814 10912 3134 10913
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3134 10912
+rect 2814 10847 3134 10848
+rect 6556 10912 6876 10913
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 6556 10847 6876 10848
+rect 10297 10912 10617 10913
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 12698 10888 13498 10918
+rect 10297 10847 10617 10848
+rect 3785 10706 3851 10709
+rect 5758 10706 5764 10708
+rect 3785 10704 5764 10706
+rect 3785 10648 3790 10704
+rect 3846 10648 5764 10704
+rect 3785 10646 5764 10648
+rect 3785 10643 3851 10646
+rect 5758 10644 5764 10646
+rect 5828 10644 5834 10708
+rect 3785 10570 3851 10573
+rect 4705 10570 4771 10573
+rect 7097 10572 7163 10573
+rect 7046 10570 7052 10572
+rect 3785 10568 4771 10570
+rect 3785 10512 3790 10568
+rect 3846 10512 4710 10568
+rect 4766 10512 4771 10568
+rect 3785 10510 4771 10512
+rect 7006 10510 7052 10570
+rect 7116 10568 7163 10572
+rect 7158 10512 7163 10568
+rect 3785 10507 3851 10510
+rect 4705 10507 4771 10510
+rect 7046 10508 7052 10510
+rect 7116 10508 7163 10512
+rect 7097 10507 7163 10508
+rect 4685 10368 5005 10369
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 10303 5005 10304
+rect 8426 10368 8746 10369
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 10303 8746 10304
+rect 7189 10298 7255 10301
+rect 5398 10296 7255 10298
+rect 5398 10240 7194 10296
+rect 7250 10240 7255 10296
+rect 5398 10238 7255 10240
+rect 5165 10026 5231 10029
+rect 5398 10026 5458 10238
+rect 7189 10235 7255 10238
+rect 6126 10100 6132 10164
+rect 6196 10162 6202 10164
+rect 6269 10162 6335 10165
+rect 6196 10160 6335 10162
+rect 6196 10104 6274 10160
+rect 6330 10104 6335 10160
+rect 6196 10102 6335 10104
+rect 6196 10100 6202 10102
+rect 6269 10099 6335 10102
+rect 8569 10026 8635 10029
+rect 5165 10024 5458 10026
+rect 5165 9968 5170 10024
+rect 5226 9968 5458 10024
+rect 5165 9966 5458 9968
+rect 5536 10024 8635 10026
+rect 5536 9968 8574 10024
+rect 8630 9968 8635 10024
+rect 5536 9966 8635 9968
+rect 5165 9963 5231 9966
+rect 5536 9893 5596 9966
+rect 8569 9963 8635 9966
+rect 5533 9888 5599 9893
+rect 5533 9832 5538 9888
+rect 5594 9832 5599 9888
+rect 5533 9827 5599 9832
+rect 2814 9824 3134 9825
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3134 9824
+rect 2814 9759 3134 9760
+rect 6556 9824 6876 9825
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6556 9759 6876 9760
+rect 10297 9824 10617 9825
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 10297 9759 10617 9760
+rect 5073 9754 5139 9757
+rect 6310 9754 6316 9756
+rect 5073 9752 6316 9754
+rect 5073 9696 5078 9752
+rect 5134 9696 6316 9752
+rect 5073 9694 6316 9696
+rect 5073 9691 5139 9694
+rect 6310 9692 6316 9694
+rect 6380 9692 6386 9756
+rect 4061 9618 4127 9621
+rect 7189 9618 7255 9621
+rect 4061 9616 7255 9618
+rect 4061 9560 4066 9616
+rect 4122 9560 7194 9616
+rect 7250 9560 7255 9616
+rect 4061 9558 7255 9560
+rect 4061 9555 4127 9558
+rect 7189 9555 7255 9558
+rect 2037 9482 2103 9485
+rect 5625 9482 5691 9485
+rect 5942 9482 5948 9484
+rect 2037 9480 5948 9482
+rect 2037 9424 2042 9480
+rect 2098 9424 5630 9480
+rect 5686 9424 5948 9480
+rect 2037 9422 5948 9424
+rect 2037 9419 2103 9422
+rect 5625 9419 5691 9422
+rect 5942 9420 5948 9422
+rect 6012 9420 6018 9484
+rect 8569 9482 8635 9485
+rect 8886 9482 8892 9484
+rect 8569 9480 8892 9482
+rect 8569 9424 8574 9480
+rect 8630 9424 8892 9480
+rect 8569 9422 8892 9424
+rect 8569 9419 8635 9422
+rect 8886 9420 8892 9422
+rect 8956 9420 8962 9484
+rect 7189 9348 7255 9349
+rect 7189 9346 7236 9348
+rect 7144 9344 7236 9346
+rect 7144 9288 7194 9344
+rect 7144 9286 7236 9288
+rect 7189 9284 7236 9286
+rect 7300 9284 7306 9348
+rect 7189 9283 7255 9284
+rect 4685 9280 5005 9281
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 9215 5005 9216
+rect 8426 9280 8746 9281
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8426 9215 8746 9216
+rect 5206 9148 5212 9212
+rect 5276 9210 5282 9212
+rect 7281 9210 7347 9213
+rect 5276 9208 7347 9210
+rect 5276 9152 7286 9208
+rect 7342 9152 7347 9208
+rect 5276 9150 7347 9152
+rect 5276 9148 5282 9150
+rect 7281 9147 7347 9150
+rect 3969 9074 4035 9077
+rect 5809 9074 5875 9077
+rect 3969 9072 5875 9074
+rect 3969 9016 3974 9072
+rect 4030 9016 5814 9072
+rect 5870 9016 5875 9072
+rect 3969 9014 5875 9016
+rect 3969 9011 4035 9014
+rect 5766 9011 5875 9014
+rect 6310 9012 6316 9076
+rect 6380 9074 6386 9076
+rect 7005 9074 7071 9077
+rect 6380 9072 7071 9074
+rect 6380 9016 7010 9072
+rect 7066 9016 7071 9072
+rect 6380 9014 7071 9016
+rect 6380 9012 6386 9014
+rect 7005 9011 7071 9014
+rect 5766 8941 5826 9011
+rect 5717 8936 5826 8941
+rect 5717 8880 5722 8936
+rect 5778 8880 5826 8936
+rect 5717 8878 5826 8880
+rect 5717 8875 5783 8878
+rect 3509 8802 3575 8805
+rect 5993 8802 6059 8805
+rect 3509 8800 6059 8802
+rect 3509 8744 3514 8800
+rect 3570 8744 5998 8800
+rect 6054 8744 6059 8800
+rect 3509 8742 6059 8744
+rect 3509 8739 3575 8742
+rect 5993 8739 6059 8742
+rect 2814 8736 3134 8737
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3134 8736
+rect 2814 8671 3134 8672
+rect 6556 8736 6876 8737
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6556 8671 6876 8672
+rect 10297 8736 10617 8737
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 10297 8671 10617 8672
+rect 5574 8604 5580 8668
+rect 5644 8666 5650 8668
+rect 6310 8666 6316 8668
+rect 5644 8606 6316 8666
+rect 5644 8604 5650 8606
+rect 6310 8604 6316 8606
+rect 6380 8604 6386 8668
+rect 8150 8604 8156 8668
+rect 8220 8666 8226 8668
+rect 8293 8666 8359 8669
+rect 8220 8664 8359 8666
+rect 8220 8608 8298 8664
+rect 8354 8608 8359 8664
+rect 8220 8606 8359 8608
+rect 8220 8604 8226 8606
+rect 8293 8603 8359 8606
+rect 9673 8666 9739 8669
+rect 9990 8666 9996 8668
+rect 9673 8664 9996 8666
+rect 9673 8608 9678 8664
+rect 9734 8608 9996 8664
+rect 9673 8606 9996 8608
+rect 9673 8603 9739 8606
+rect 9990 8604 9996 8606
+rect 10060 8604 10066 8668
+rect 8109 8530 8175 8533
+rect 8109 8528 8218 8530
+rect 8109 8472 8114 8528
+rect 8170 8472 8218 8528
+rect 8109 8467 8218 8472
+rect 1853 8394 1919 8397
+rect 4981 8394 5047 8397
+rect 1853 8392 5047 8394
+rect 1853 8336 1858 8392
+rect 1914 8336 4986 8392
+rect 5042 8336 5047 8392
+rect 1853 8334 5047 8336
+rect 1853 8331 1919 8334
+rect 4981 8331 5047 8334
+rect 5758 8332 5764 8396
+rect 5828 8394 5834 8396
+rect 6821 8394 6887 8397
+rect 5828 8392 6887 8394
+rect 5828 8336 6826 8392
+rect 6882 8336 6887 8392
+rect 5828 8334 6887 8336
+rect 5828 8332 5834 8334
+rect 6821 8331 6887 8334
+rect 4685 8192 5005 8193
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 8127 5005 8128
+rect 1669 7986 1735 7989
+rect 5625 7986 5691 7989
+rect 7833 7986 7899 7989
+rect 1669 7984 5691 7986
+rect 1669 7928 1674 7984
+rect 1730 7928 5630 7984
+rect 5686 7928 5691 7984
+rect 1669 7926 5691 7928
+rect 1669 7923 1735 7926
+rect 5625 7923 5691 7926
+rect 7790 7984 7899 7986
+rect 7790 7928 7838 7984
+rect 7894 7928 7899 7984
+rect 7790 7923 7899 7928
+rect 0 7850 800 7880
+rect 3141 7850 3207 7853
+rect 0 7848 3207 7850
+rect 0 7792 3146 7848
+rect 3202 7792 3207 7848
+rect 0 7790 3207 7792
+rect 0 7760 800 7790
+rect 3141 7787 3207 7790
+rect 4061 7850 4127 7853
+rect 7790 7850 7850 7923
+rect 4061 7848 7850 7850
+rect 4061 7792 4066 7848
+rect 4122 7792 7850 7848
+rect 4061 7790 7850 7792
+rect 4061 7787 4127 7790
+rect 2814 7648 3134 7649
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3134 7648
+rect 2814 7583 3134 7584
+rect 6556 7648 6876 7649
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6556 7583 6876 7584
+rect 4705 7578 4771 7581
+rect 5717 7578 5783 7581
+rect 4705 7576 5783 7578
+rect 4705 7520 4710 7576
+rect 4766 7520 5722 7576
+rect 5778 7520 5783 7576
+rect 4705 7518 5783 7520
+rect 4705 7515 4771 7518
+rect 5717 7515 5783 7518
+rect 4981 7442 5047 7445
+rect 5993 7442 6059 7445
+rect 4981 7440 6059 7442
+rect 4981 7384 4986 7440
+rect 5042 7384 5998 7440
+rect 6054 7384 6059 7440
+rect 4981 7382 6059 7384
+rect 4981 7379 5047 7382
+rect 5993 7379 6059 7382
+rect 6310 7380 6316 7444
+rect 6380 7442 6386 7444
+rect 6545 7442 6611 7445
+rect 6380 7440 6611 7442
+rect 6380 7384 6550 7440
+rect 6606 7384 6611 7440
+rect 6380 7382 6611 7384
+rect 6380 7380 6386 7382
+rect 6545 7379 6611 7382
+rect 7790 7309 7850 7790
+rect 8158 7717 8218 8467
+rect 8426 8192 8746 8193
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 8127 8746 8128
+rect 10961 7850 11027 7853
+rect 12698 7850 13498 7880
+rect 10961 7848 13498 7850
+rect 10961 7792 10966 7848
+rect 11022 7792 13498 7848
+rect 10961 7790 13498 7792
+rect 10961 7787 11027 7790
+rect 12698 7760 13498 7790
+rect 8158 7712 8267 7717
+rect 8158 7656 8206 7712
+rect 8262 7656 8267 7712
+rect 8158 7654 8267 7656
+rect 8201 7651 8267 7654
+rect 10297 7648 10617 7649
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 7583 10617 7584
+rect 2313 7306 2379 7309
+rect 7005 7306 7071 7309
+rect 2313 7304 7071 7306
+rect 2313 7248 2318 7304
+rect 2374 7248 7010 7304
+rect 7066 7248 7071 7304
+rect 2313 7246 7071 7248
+rect 7790 7304 7899 7309
+rect 7790 7248 7838 7304
+rect 7894 7248 7899 7304
+rect 7790 7246 7899 7248
+rect 2313 7243 2379 7246
+rect 7005 7243 7071 7246
+rect 7833 7243 7899 7246
+rect 8661 7306 8727 7309
+rect 8661 7304 8908 7306
+rect 8661 7248 8666 7304
+rect 8722 7248 8908 7304
+rect 8661 7246 8908 7248
+rect 8661 7243 8727 7246
+rect 4685 7104 5005 7105
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 7039 5005 7040
+rect 8426 7104 8746 7105
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 7039 8746 7040
+rect 5942 6972 5948 7036
+rect 6012 7034 6018 7036
+rect 6637 7034 6703 7037
+rect 6012 7032 6703 7034
+rect 6012 6976 6642 7032
+rect 6698 6976 6703 7032
+rect 6012 6974 6703 6976
+rect 6012 6972 6018 6974
+rect 6637 6971 6703 6974
+rect 8848 6765 8908 7246
+rect 9673 6898 9739 6901
+rect 11053 6898 11119 6901
+rect 9673 6896 11119 6898
+rect 9673 6840 9678 6896
+rect 9734 6840 11058 6896
+rect 11114 6840 11119 6896
+rect 9673 6838 11119 6840
+rect 9673 6835 9739 6838
+rect 11053 6835 11119 6838
+rect 6085 6764 6151 6765
+rect 6085 6760 6132 6764
+rect 6196 6762 6202 6764
+rect 6085 6704 6090 6760
+rect 6085 6700 6132 6704
+rect 6196 6702 6242 6762
+rect 8845 6760 8911 6765
+rect 8845 6704 8850 6760
+rect 8906 6704 8911 6760
+rect 6196 6700 6202 6702
+rect 6085 6699 6151 6700
+rect 8845 6699 8911 6704
+rect 2814 6560 3134 6561
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3134 6560
+rect 2814 6495 3134 6496
+rect 6556 6560 6876 6561
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 6556 6495 6876 6496
+rect 10297 6560 10617 6561
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 10297 6495 10617 6496
+rect 7465 6490 7531 6493
+rect 7598 6490 7604 6492
+rect 7465 6488 7604 6490
+rect 7465 6432 7470 6488
+rect 7526 6432 7604 6488
+rect 7465 6430 7604 6432
+rect 7465 6427 7531 6430
+rect 7598 6428 7604 6430
+rect 7668 6428 7674 6492
+rect 6821 6354 6887 6357
+rect 7230 6354 7236 6356
+rect 6821 6352 7236 6354
+rect 6821 6296 6826 6352
+rect 6882 6296 7236 6352
+rect 6821 6294 7236 6296
+rect 6821 6291 6887 6294
+rect 7230 6292 7236 6294
+rect 7300 6292 7306 6356
+rect 9990 6292 9996 6356
+rect 10060 6354 10066 6356
+rect 10317 6354 10383 6357
+rect 10060 6352 10383 6354
+rect 10060 6296 10322 6352
+rect 10378 6296 10383 6352
+rect 10060 6294 10383 6296
+rect 10060 6292 10066 6294
+rect 10317 6291 10383 6294
+rect 6729 6218 6795 6221
+rect 7046 6218 7052 6220
+rect 6729 6216 7052 6218
+rect 6729 6160 6734 6216
+rect 6790 6160 7052 6216
+rect 6729 6158 7052 6160
+rect 6729 6155 6795 6158
+rect 7046 6156 7052 6158
+rect 7116 6156 7122 6220
+rect 4685 6016 5005 6017
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 5951 5005 5952
+rect 8426 6016 8746 6017
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8426 5951 8746 5952
+rect 4705 5674 4771 5677
+rect 5206 5674 5212 5676
+rect 4705 5672 5212 5674
+rect 4705 5616 4710 5672
+rect 4766 5616 5212 5672
+rect 4705 5614 5212 5616
+rect 4705 5611 4771 5614
+rect 5206 5612 5212 5614
+rect 5276 5612 5282 5676
+rect 2814 5472 3134 5473
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3134 5472
+rect 2814 5407 3134 5408
+rect 6556 5472 6876 5473
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 5407 6876 5408
+rect 10297 5472 10617 5473
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 5407 10617 5408
+rect 8150 5204 8156 5268
+rect 8220 5266 8226 5268
+rect 8661 5266 8727 5269
+rect 8220 5264 8727 5266
+rect 8220 5208 8666 5264
+rect 8722 5208 8727 5264
+rect 8220 5206 8727 5208
+rect 8220 5204 8226 5206
+rect 8661 5203 8727 5206
+rect 4685 4928 5005 4929
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 4863 5005 4864
+rect 8426 4928 8746 4929
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 4863 8746 4864
+rect 10777 4722 10843 4725
+rect 12698 4722 13498 4752
+rect 10777 4720 13498 4722
+rect 10777 4664 10782 4720
+rect 10838 4664 13498 4720
+rect 10777 4662 13498 4664
+rect 10777 4659 10843 4662
+rect 12698 4632 13498 4662
+rect 2814 4384 3134 4385
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3134 4384
+rect 2814 4319 3134 4320
+rect 6556 4384 6876 4385
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 4319 6876 4320
+rect 10297 4384 10617 4385
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 10297 4319 10617 4320
+rect 8845 4180 8911 4181
+rect 8845 4178 8892 4180
+rect 8800 4176 8892 4178
+rect 8800 4120 8850 4176
+rect 8800 4118 8892 4120
+rect 8845 4116 8892 4118
+rect 8956 4116 8962 4180
+rect 8845 4115 8911 4116
+rect 4685 3840 5005 3841
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 3775 5005 3776
+rect 8426 3840 8746 3841
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 3775 8746 3776
+rect 2814 3296 3134 3297
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3134 3296
+rect 2814 3231 3134 3232
+rect 6556 3296 6876 3297
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 3231 6876 3232
+rect 10297 3296 10617 3297
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 3231 10617 3232
+rect 4685 2752 5005 2753
+rect 0 2682 800 2712
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2687 5005 2688
+rect 8426 2752 8746 2753
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2687 8746 2688
+rect 1761 2682 1827 2685
+rect 0 2680 1827 2682
+rect 0 2624 1766 2680
+rect 1822 2624 1827 2680
+rect 0 2622 1827 2624
+rect 0 2592 800 2622
+rect 1761 2619 1827 2622
+rect 2814 2208 3134 2209
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3134 2208
+rect 2814 2143 3134 2144
+rect 6556 2208 6876 2209
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2143 6876 2144
+rect 10297 2208 10617 2209
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2143 10617 2144
+rect 11145 1594 11211 1597
+rect 12698 1594 13498 1624
+rect 11145 1592 13498 1594
+rect 11145 1536 11150 1592
+rect 11206 1536 13498 1592
+rect 11145 1534 13498 1536
+rect 11145 1531 11211 1534
+rect 12698 1504 13498 1534
+<< via3 >>
+rect 2822 13084 2886 13088
+rect 2822 13028 2826 13084
+rect 2826 13028 2882 13084
+rect 2882 13028 2886 13084
+rect 2822 13024 2886 13028
+rect 2902 13084 2966 13088
+rect 2902 13028 2906 13084
+rect 2906 13028 2962 13084
+rect 2962 13028 2966 13084
+rect 2902 13024 2966 13028
+rect 2982 13084 3046 13088
+rect 2982 13028 2986 13084
+rect 2986 13028 3042 13084
+rect 3042 13028 3046 13084
+rect 2982 13024 3046 13028
+rect 3062 13084 3126 13088
+rect 3062 13028 3066 13084
+rect 3066 13028 3122 13084
+rect 3122 13028 3126 13084
+rect 3062 13024 3126 13028
+rect 6564 13084 6628 13088
+rect 6564 13028 6568 13084
+rect 6568 13028 6624 13084
+rect 6624 13028 6628 13084
+rect 6564 13024 6628 13028
+rect 6644 13084 6708 13088
+rect 6644 13028 6648 13084
+rect 6648 13028 6704 13084
+rect 6704 13028 6708 13084
+rect 6644 13024 6708 13028
+rect 6724 13084 6788 13088
+rect 6724 13028 6728 13084
+rect 6728 13028 6784 13084
+rect 6784 13028 6788 13084
+rect 6724 13024 6788 13028
+rect 6804 13084 6868 13088
+rect 6804 13028 6808 13084
+rect 6808 13028 6864 13084
+rect 6864 13028 6868 13084
+rect 6804 13024 6868 13028
+rect 10305 13084 10369 13088
+rect 10305 13028 10309 13084
+rect 10309 13028 10365 13084
+rect 10365 13028 10369 13084
+rect 10305 13024 10369 13028
+rect 10385 13084 10449 13088
+rect 10385 13028 10389 13084
+rect 10389 13028 10445 13084
+rect 10445 13028 10449 13084
+rect 10385 13024 10449 13028
+rect 10465 13084 10529 13088
+rect 10465 13028 10469 13084
+rect 10469 13028 10525 13084
+rect 10525 13028 10529 13084
+rect 10465 13024 10529 13028
+rect 10545 13084 10609 13088
+rect 10545 13028 10549 13084
+rect 10549 13028 10605 13084
+rect 10605 13028 10609 13084
+rect 10545 13024 10609 13028
+rect 7604 12684 7668 12748
+rect 4693 12540 4757 12544
+rect 4693 12484 4697 12540
+rect 4697 12484 4753 12540
+rect 4753 12484 4757 12540
+rect 4693 12480 4757 12484
+rect 4773 12540 4837 12544
+rect 4773 12484 4777 12540
+rect 4777 12484 4833 12540
+rect 4833 12484 4837 12540
+rect 4773 12480 4837 12484
+rect 4853 12540 4917 12544
+rect 4853 12484 4857 12540
+rect 4857 12484 4913 12540
+rect 4913 12484 4917 12540
+rect 4853 12480 4917 12484
+rect 4933 12540 4997 12544
+rect 4933 12484 4937 12540
+rect 4937 12484 4993 12540
+rect 4993 12484 4997 12540
+rect 4933 12480 4997 12484
+rect 8434 12540 8498 12544
+rect 8434 12484 8438 12540
+rect 8438 12484 8494 12540
+rect 8494 12484 8498 12540
+rect 8434 12480 8498 12484
+rect 8514 12540 8578 12544
+rect 8514 12484 8518 12540
+rect 8518 12484 8574 12540
+rect 8574 12484 8578 12540
+rect 8514 12480 8578 12484
+rect 8594 12540 8658 12544
+rect 8594 12484 8598 12540
+rect 8598 12484 8654 12540
+rect 8654 12484 8658 12540
+rect 8594 12480 8658 12484
+rect 8674 12540 8738 12544
+rect 8674 12484 8678 12540
+rect 8678 12484 8734 12540
+rect 8734 12484 8738 12540
+rect 8674 12480 8738 12484
+rect 2822 11996 2886 12000
+rect 2822 11940 2826 11996
+rect 2826 11940 2882 11996
+rect 2882 11940 2886 11996
+rect 2822 11936 2886 11940
+rect 2902 11996 2966 12000
+rect 2902 11940 2906 11996
+rect 2906 11940 2962 11996
+rect 2962 11940 2966 11996
+rect 2902 11936 2966 11940
+rect 2982 11996 3046 12000
+rect 2982 11940 2986 11996
+rect 2986 11940 3042 11996
+rect 3042 11940 3046 11996
+rect 2982 11936 3046 11940
+rect 3062 11996 3126 12000
+rect 3062 11940 3066 11996
+rect 3066 11940 3122 11996
+rect 3122 11940 3126 11996
+rect 3062 11936 3126 11940
+rect 6564 11996 6628 12000
+rect 6564 11940 6568 11996
+rect 6568 11940 6624 11996
+rect 6624 11940 6628 11996
+rect 6564 11936 6628 11940
+rect 6644 11996 6708 12000
+rect 6644 11940 6648 11996
+rect 6648 11940 6704 11996
+rect 6704 11940 6708 11996
+rect 6644 11936 6708 11940
+rect 6724 11996 6788 12000
+rect 6724 11940 6728 11996
+rect 6728 11940 6784 11996
+rect 6784 11940 6788 11996
+rect 6724 11936 6788 11940
+rect 6804 11996 6868 12000
+rect 6804 11940 6808 11996
+rect 6808 11940 6864 11996
+rect 6864 11940 6868 11996
+rect 6804 11936 6868 11940
+rect 10305 11996 10369 12000
+rect 10305 11940 10309 11996
+rect 10309 11940 10365 11996
+rect 10365 11940 10369 11996
+rect 10305 11936 10369 11940
+rect 10385 11996 10449 12000
+rect 10385 11940 10389 11996
+rect 10389 11940 10445 11996
+rect 10445 11940 10449 11996
+rect 10385 11936 10449 11940
+rect 10465 11996 10529 12000
+rect 10465 11940 10469 11996
+rect 10469 11940 10525 11996
+rect 10525 11940 10529 11996
+rect 10465 11936 10529 11940
+rect 10545 11996 10609 12000
+rect 10545 11940 10549 11996
+rect 10549 11940 10605 11996
+rect 10605 11940 10609 11996
+rect 10545 11936 10609 11940
+rect 5580 11868 5644 11932
+rect 4693 11452 4757 11456
+rect 4693 11396 4697 11452
+rect 4697 11396 4753 11452
+rect 4753 11396 4757 11452
+rect 4693 11392 4757 11396
+rect 4773 11452 4837 11456
+rect 4773 11396 4777 11452
+rect 4777 11396 4833 11452
+rect 4833 11396 4837 11452
+rect 4773 11392 4837 11396
+rect 4853 11452 4917 11456
+rect 4853 11396 4857 11452
+rect 4857 11396 4913 11452
+rect 4913 11396 4917 11452
+rect 4853 11392 4917 11396
+rect 4933 11452 4997 11456
+rect 4933 11396 4937 11452
+rect 4937 11396 4993 11452
+rect 4993 11396 4997 11452
+rect 4933 11392 4997 11396
+rect 8434 11452 8498 11456
+rect 8434 11396 8438 11452
+rect 8438 11396 8494 11452
+rect 8494 11396 8498 11452
+rect 8434 11392 8498 11396
+rect 8514 11452 8578 11456
+rect 8514 11396 8518 11452
+rect 8518 11396 8574 11452
+rect 8574 11396 8578 11452
+rect 8514 11392 8578 11396
+rect 8594 11452 8658 11456
+rect 8594 11396 8598 11452
+rect 8598 11396 8654 11452
+rect 8654 11396 8658 11452
+rect 8594 11392 8658 11396
+rect 8674 11452 8738 11456
+rect 8674 11396 8678 11452
+rect 8678 11396 8734 11452
+rect 8734 11396 8738 11452
+rect 8674 11392 8738 11396
+rect 2822 10908 2886 10912
+rect 2822 10852 2826 10908
+rect 2826 10852 2882 10908
+rect 2882 10852 2886 10908
+rect 2822 10848 2886 10852
+rect 2902 10908 2966 10912
+rect 2902 10852 2906 10908
+rect 2906 10852 2962 10908
+rect 2962 10852 2966 10908
+rect 2902 10848 2966 10852
+rect 2982 10908 3046 10912
+rect 2982 10852 2986 10908
+rect 2986 10852 3042 10908
+rect 3042 10852 3046 10908
+rect 2982 10848 3046 10852
+rect 3062 10908 3126 10912
+rect 3062 10852 3066 10908
+rect 3066 10852 3122 10908
+rect 3122 10852 3126 10908
+rect 3062 10848 3126 10852
+rect 6564 10908 6628 10912
+rect 6564 10852 6568 10908
+rect 6568 10852 6624 10908
+rect 6624 10852 6628 10908
+rect 6564 10848 6628 10852
+rect 6644 10908 6708 10912
+rect 6644 10852 6648 10908
+rect 6648 10852 6704 10908
+rect 6704 10852 6708 10908
+rect 6644 10848 6708 10852
+rect 6724 10908 6788 10912
+rect 6724 10852 6728 10908
+rect 6728 10852 6784 10908
+rect 6784 10852 6788 10908
+rect 6724 10848 6788 10852
+rect 6804 10908 6868 10912
+rect 6804 10852 6808 10908
+rect 6808 10852 6864 10908
+rect 6864 10852 6868 10908
+rect 6804 10848 6868 10852
+rect 10305 10908 10369 10912
+rect 10305 10852 10309 10908
+rect 10309 10852 10365 10908
+rect 10365 10852 10369 10908
+rect 10305 10848 10369 10852
+rect 10385 10908 10449 10912
+rect 10385 10852 10389 10908
+rect 10389 10852 10445 10908
+rect 10445 10852 10449 10908
+rect 10385 10848 10449 10852
+rect 10465 10908 10529 10912
+rect 10465 10852 10469 10908
+rect 10469 10852 10525 10908
+rect 10525 10852 10529 10908
+rect 10465 10848 10529 10852
+rect 10545 10908 10609 10912
+rect 10545 10852 10549 10908
+rect 10549 10852 10605 10908
+rect 10605 10852 10609 10908
+rect 10545 10848 10609 10852
+rect 5764 10644 5828 10708
+rect 7052 10568 7116 10572
+rect 7052 10512 7102 10568
+rect 7102 10512 7116 10568
+rect 7052 10508 7116 10512
+rect 4693 10364 4757 10368
+rect 4693 10308 4697 10364
+rect 4697 10308 4753 10364
+rect 4753 10308 4757 10364
+rect 4693 10304 4757 10308
+rect 4773 10364 4837 10368
+rect 4773 10308 4777 10364
+rect 4777 10308 4833 10364
+rect 4833 10308 4837 10364
+rect 4773 10304 4837 10308
+rect 4853 10364 4917 10368
+rect 4853 10308 4857 10364
+rect 4857 10308 4913 10364
+rect 4913 10308 4917 10364
+rect 4853 10304 4917 10308
+rect 4933 10364 4997 10368
+rect 4933 10308 4937 10364
+rect 4937 10308 4993 10364
+rect 4993 10308 4997 10364
+rect 4933 10304 4997 10308
+rect 8434 10364 8498 10368
+rect 8434 10308 8438 10364
+rect 8438 10308 8494 10364
+rect 8494 10308 8498 10364
+rect 8434 10304 8498 10308
+rect 8514 10364 8578 10368
+rect 8514 10308 8518 10364
+rect 8518 10308 8574 10364
+rect 8574 10308 8578 10364
+rect 8514 10304 8578 10308
+rect 8594 10364 8658 10368
+rect 8594 10308 8598 10364
+rect 8598 10308 8654 10364
+rect 8654 10308 8658 10364
+rect 8594 10304 8658 10308
+rect 8674 10364 8738 10368
+rect 8674 10308 8678 10364
+rect 8678 10308 8734 10364
+rect 8734 10308 8738 10364
+rect 8674 10304 8738 10308
+rect 6132 10100 6196 10164
+rect 2822 9820 2886 9824
+rect 2822 9764 2826 9820
+rect 2826 9764 2882 9820
+rect 2882 9764 2886 9820
+rect 2822 9760 2886 9764
+rect 2902 9820 2966 9824
+rect 2902 9764 2906 9820
+rect 2906 9764 2962 9820
+rect 2962 9764 2966 9820
+rect 2902 9760 2966 9764
+rect 2982 9820 3046 9824
+rect 2982 9764 2986 9820
+rect 2986 9764 3042 9820
+rect 3042 9764 3046 9820
+rect 2982 9760 3046 9764
+rect 3062 9820 3126 9824
+rect 3062 9764 3066 9820
+rect 3066 9764 3122 9820
+rect 3122 9764 3126 9820
+rect 3062 9760 3126 9764
+rect 6564 9820 6628 9824
+rect 6564 9764 6568 9820
+rect 6568 9764 6624 9820
+rect 6624 9764 6628 9820
+rect 6564 9760 6628 9764
+rect 6644 9820 6708 9824
+rect 6644 9764 6648 9820
+rect 6648 9764 6704 9820
+rect 6704 9764 6708 9820
+rect 6644 9760 6708 9764
+rect 6724 9820 6788 9824
+rect 6724 9764 6728 9820
+rect 6728 9764 6784 9820
+rect 6784 9764 6788 9820
+rect 6724 9760 6788 9764
+rect 6804 9820 6868 9824
+rect 6804 9764 6808 9820
+rect 6808 9764 6864 9820
+rect 6864 9764 6868 9820
+rect 6804 9760 6868 9764
+rect 10305 9820 10369 9824
+rect 10305 9764 10309 9820
+rect 10309 9764 10365 9820
+rect 10365 9764 10369 9820
+rect 10305 9760 10369 9764
+rect 10385 9820 10449 9824
+rect 10385 9764 10389 9820
+rect 10389 9764 10445 9820
+rect 10445 9764 10449 9820
+rect 10385 9760 10449 9764
+rect 10465 9820 10529 9824
+rect 10465 9764 10469 9820
+rect 10469 9764 10525 9820
+rect 10525 9764 10529 9820
+rect 10465 9760 10529 9764
+rect 10545 9820 10609 9824
+rect 10545 9764 10549 9820
+rect 10549 9764 10605 9820
+rect 10605 9764 10609 9820
+rect 10545 9760 10609 9764
+rect 6316 9692 6380 9756
+rect 5948 9420 6012 9484
+rect 8892 9420 8956 9484
+rect 7236 9344 7300 9348
+rect 7236 9288 7250 9344
+rect 7250 9288 7300 9344
+rect 7236 9284 7300 9288
+rect 4693 9276 4757 9280
+rect 4693 9220 4697 9276
+rect 4697 9220 4753 9276
+rect 4753 9220 4757 9276
+rect 4693 9216 4757 9220
+rect 4773 9276 4837 9280
+rect 4773 9220 4777 9276
+rect 4777 9220 4833 9276
+rect 4833 9220 4837 9276
+rect 4773 9216 4837 9220
+rect 4853 9276 4917 9280
+rect 4853 9220 4857 9276
+rect 4857 9220 4913 9276
+rect 4913 9220 4917 9276
+rect 4853 9216 4917 9220
+rect 4933 9276 4997 9280
+rect 4933 9220 4937 9276
+rect 4937 9220 4993 9276
+rect 4993 9220 4997 9276
+rect 4933 9216 4997 9220
+rect 8434 9276 8498 9280
+rect 8434 9220 8438 9276
+rect 8438 9220 8494 9276
+rect 8494 9220 8498 9276
+rect 8434 9216 8498 9220
+rect 8514 9276 8578 9280
+rect 8514 9220 8518 9276
+rect 8518 9220 8574 9276
+rect 8574 9220 8578 9276
+rect 8514 9216 8578 9220
+rect 8594 9276 8658 9280
+rect 8594 9220 8598 9276
+rect 8598 9220 8654 9276
+rect 8654 9220 8658 9276
+rect 8594 9216 8658 9220
+rect 8674 9276 8738 9280
+rect 8674 9220 8678 9276
+rect 8678 9220 8734 9276
+rect 8734 9220 8738 9276
+rect 8674 9216 8738 9220
+rect 5212 9148 5276 9212
+rect 6316 9012 6380 9076
+rect 2822 8732 2886 8736
+rect 2822 8676 2826 8732
+rect 2826 8676 2882 8732
+rect 2882 8676 2886 8732
+rect 2822 8672 2886 8676
+rect 2902 8732 2966 8736
+rect 2902 8676 2906 8732
+rect 2906 8676 2962 8732
+rect 2962 8676 2966 8732
+rect 2902 8672 2966 8676
+rect 2982 8732 3046 8736
+rect 2982 8676 2986 8732
+rect 2986 8676 3042 8732
+rect 3042 8676 3046 8732
+rect 2982 8672 3046 8676
+rect 3062 8732 3126 8736
+rect 3062 8676 3066 8732
+rect 3066 8676 3122 8732
+rect 3122 8676 3126 8732
+rect 3062 8672 3126 8676
+rect 6564 8732 6628 8736
+rect 6564 8676 6568 8732
+rect 6568 8676 6624 8732
+rect 6624 8676 6628 8732
+rect 6564 8672 6628 8676
+rect 6644 8732 6708 8736
+rect 6644 8676 6648 8732
+rect 6648 8676 6704 8732
+rect 6704 8676 6708 8732
+rect 6644 8672 6708 8676
+rect 6724 8732 6788 8736
+rect 6724 8676 6728 8732
+rect 6728 8676 6784 8732
+rect 6784 8676 6788 8732
+rect 6724 8672 6788 8676
+rect 6804 8732 6868 8736
+rect 6804 8676 6808 8732
+rect 6808 8676 6864 8732
+rect 6864 8676 6868 8732
+rect 6804 8672 6868 8676
+rect 10305 8732 10369 8736
+rect 10305 8676 10309 8732
+rect 10309 8676 10365 8732
+rect 10365 8676 10369 8732
+rect 10305 8672 10369 8676
+rect 10385 8732 10449 8736
+rect 10385 8676 10389 8732
+rect 10389 8676 10445 8732
+rect 10445 8676 10449 8732
+rect 10385 8672 10449 8676
+rect 10465 8732 10529 8736
+rect 10465 8676 10469 8732
+rect 10469 8676 10525 8732
+rect 10525 8676 10529 8732
+rect 10465 8672 10529 8676
+rect 10545 8732 10609 8736
+rect 10545 8676 10549 8732
+rect 10549 8676 10605 8732
+rect 10605 8676 10609 8732
+rect 10545 8672 10609 8676
+rect 5580 8604 5644 8668
+rect 6316 8604 6380 8668
+rect 8156 8604 8220 8668
+rect 9996 8604 10060 8668
+rect 5764 8332 5828 8396
+rect 4693 8188 4757 8192
+rect 4693 8132 4697 8188
+rect 4697 8132 4753 8188
+rect 4753 8132 4757 8188
+rect 4693 8128 4757 8132
+rect 4773 8188 4837 8192
+rect 4773 8132 4777 8188
+rect 4777 8132 4833 8188
+rect 4833 8132 4837 8188
+rect 4773 8128 4837 8132
+rect 4853 8188 4917 8192
+rect 4853 8132 4857 8188
+rect 4857 8132 4913 8188
+rect 4913 8132 4917 8188
+rect 4853 8128 4917 8132
+rect 4933 8188 4997 8192
+rect 4933 8132 4937 8188
+rect 4937 8132 4993 8188
+rect 4993 8132 4997 8188
+rect 4933 8128 4997 8132
+rect 2822 7644 2886 7648
+rect 2822 7588 2826 7644
+rect 2826 7588 2882 7644
+rect 2882 7588 2886 7644
+rect 2822 7584 2886 7588
+rect 2902 7644 2966 7648
+rect 2902 7588 2906 7644
+rect 2906 7588 2962 7644
+rect 2962 7588 2966 7644
+rect 2902 7584 2966 7588
+rect 2982 7644 3046 7648
+rect 2982 7588 2986 7644
+rect 2986 7588 3042 7644
+rect 3042 7588 3046 7644
+rect 2982 7584 3046 7588
+rect 3062 7644 3126 7648
+rect 3062 7588 3066 7644
+rect 3066 7588 3122 7644
+rect 3122 7588 3126 7644
+rect 3062 7584 3126 7588
+rect 6564 7644 6628 7648
+rect 6564 7588 6568 7644
+rect 6568 7588 6624 7644
+rect 6624 7588 6628 7644
+rect 6564 7584 6628 7588
+rect 6644 7644 6708 7648
+rect 6644 7588 6648 7644
+rect 6648 7588 6704 7644
+rect 6704 7588 6708 7644
+rect 6644 7584 6708 7588
+rect 6724 7644 6788 7648
+rect 6724 7588 6728 7644
+rect 6728 7588 6784 7644
+rect 6784 7588 6788 7644
+rect 6724 7584 6788 7588
+rect 6804 7644 6868 7648
+rect 6804 7588 6808 7644
+rect 6808 7588 6864 7644
+rect 6864 7588 6868 7644
+rect 6804 7584 6868 7588
+rect 6316 7380 6380 7444
+rect 8434 8188 8498 8192
+rect 8434 8132 8438 8188
+rect 8438 8132 8494 8188
+rect 8494 8132 8498 8188
+rect 8434 8128 8498 8132
+rect 8514 8188 8578 8192
+rect 8514 8132 8518 8188
+rect 8518 8132 8574 8188
+rect 8574 8132 8578 8188
+rect 8514 8128 8578 8132
+rect 8594 8188 8658 8192
+rect 8594 8132 8598 8188
+rect 8598 8132 8654 8188
+rect 8654 8132 8658 8188
+rect 8594 8128 8658 8132
+rect 8674 8188 8738 8192
+rect 8674 8132 8678 8188
+rect 8678 8132 8734 8188
+rect 8734 8132 8738 8188
+rect 8674 8128 8738 8132
+rect 10305 7644 10369 7648
+rect 10305 7588 10309 7644
+rect 10309 7588 10365 7644
+rect 10365 7588 10369 7644
+rect 10305 7584 10369 7588
+rect 10385 7644 10449 7648
+rect 10385 7588 10389 7644
+rect 10389 7588 10445 7644
+rect 10445 7588 10449 7644
+rect 10385 7584 10449 7588
+rect 10465 7644 10529 7648
+rect 10465 7588 10469 7644
+rect 10469 7588 10525 7644
+rect 10525 7588 10529 7644
+rect 10465 7584 10529 7588
+rect 10545 7644 10609 7648
+rect 10545 7588 10549 7644
+rect 10549 7588 10605 7644
+rect 10605 7588 10609 7644
+rect 10545 7584 10609 7588
+rect 4693 7100 4757 7104
+rect 4693 7044 4697 7100
+rect 4697 7044 4753 7100
+rect 4753 7044 4757 7100
+rect 4693 7040 4757 7044
+rect 4773 7100 4837 7104
+rect 4773 7044 4777 7100
+rect 4777 7044 4833 7100
+rect 4833 7044 4837 7100
+rect 4773 7040 4837 7044
+rect 4853 7100 4917 7104
+rect 4853 7044 4857 7100
+rect 4857 7044 4913 7100
+rect 4913 7044 4917 7100
+rect 4853 7040 4917 7044
+rect 4933 7100 4997 7104
+rect 4933 7044 4937 7100
+rect 4937 7044 4993 7100
+rect 4993 7044 4997 7100
+rect 4933 7040 4997 7044
+rect 8434 7100 8498 7104
+rect 8434 7044 8438 7100
+rect 8438 7044 8494 7100
+rect 8494 7044 8498 7100
+rect 8434 7040 8498 7044
+rect 8514 7100 8578 7104
+rect 8514 7044 8518 7100
+rect 8518 7044 8574 7100
+rect 8574 7044 8578 7100
+rect 8514 7040 8578 7044
+rect 8594 7100 8658 7104
+rect 8594 7044 8598 7100
+rect 8598 7044 8654 7100
+rect 8654 7044 8658 7100
+rect 8594 7040 8658 7044
+rect 8674 7100 8738 7104
+rect 8674 7044 8678 7100
+rect 8678 7044 8734 7100
+rect 8734 7044 8738 7100
+rect 8674 7040 8738 7044
+rect 5948 6972 6012 7036
+rect 6132 6760 6196 6764
+rect 6132 6704 6146 6760
+rect 6146 6704 6196 6760
+rect 6132 6700 6196 6704
+rect 2822 6556 2886 6560
+rect 2822 6500 2826 6556
+rect 2826 6500 2882 6556
+rect 2882 6500 2886 6556
+rect 2822 6496 2886 6500
+rect 2902 6556 2966 6560
+rect 2902 6500 2906 6556
+rect 2906 6500 2962 6556
+rect 2962 6500 2966 6556
+rect 2902 6496 2966 6500
+rect 2982 6556 3046 6560
+rect 2982 6500 2986 6556
+rect 2986 6500 3042 6556
+rect 3042 6500 3046 6556
+rect 2982 6496 3046 6500
+rect 3062 6556 3126 6560
+rect 3062 6500 3066 6556
+rect 3066 6500 3122 6556
+rect 3122 6500 3126 6556
+rect 3062 6496 3126 6500
+rect 6564 6556 6628 6560
+rect 6564 6500 6568 6556
+rect 6568 6500 6624 6556
+rect 6624 6500 6628 6556
+rect 6564 6496 6628 6500
+rect 6644 6556 6708 6560
+rect 6644 6500 6648 6556
+rect 6648 6500 6704 6556
+rect 6704 6500 6708 6556
+rect 6644 6496 6708 6500
+rect 6724 6556 6788 6560
+rect 6724 6500 6728 6556
+rect 6728 6500 6784 6556
+rect 6784 6500 6788 6556
+rect 6724 6496 6788 6500
+rect 6804 6556 6868 6560
+rect 6804 6500 6808 6556
+rect 6808 6500 6864 6556
+rect 6864 6500 6868 6556
+rect 6804 6496 6868 6500
+rect 10305 6556 10369 6560
+rect 10305 6500 10309 6556
+rect 10309 6500 10365 6556
+rect 10365 6500 10369 6556
+rect 10305 6496 10369 6500
+rect 10385 6556 10449 6560
+rect 10385 6500 10389 6556
+rect 10389 6500 10445 6556
+rect 10445 6500 10449 6556
+rect 10385 6496 10449 6500
+rect 10465 6556 10529 6560
+rect 10465 6500 10469 6556
+rect 10469 6500 10525 6556
+rect 10525 6500 10529 6556
+rect 10465 6496 10529 6500
+rect 10545 6556 10609 6560
+rect 10545 6500 10549 6556
+rect 10549 6500 10605 6556
+rect 10605 6500 10609 6556
+rect 10545 6496 10609 6500
+rect 7604 6428 7668 6492
+rect 7236 6292 7300 6356
+rect 9996 6292 10060 6356
+rect 7052 6156 7116 6220
+rect 4693 6012 4757 6016
+rect 4693 5956 4697 6012
+rect 4697 5956 4753 6012
+rect 4753 5956 4757 6012
+rect 4693 5952 4757 5956
+rect 4773 6012 4837 6016
+rect 4773 5956 4777 6012
+rect 4777 5956 4833 6012
+rect 4833 5956 4837 6012
+rect 4773 5952 4837 5956
+rect 4853 6012 4917 6016
+rect 4853 5956 4857 6012
+rect 4857 5956 4913 6012
+rect 4913 5956 4917 6012
+rect 4853 5952 4917 5956
+rect 4933 6012 4997 6016
+rect 4933 5956 4937 6012
+rect 4937 5956 4993 6012
+rect 4993 5956 4997 6012
+rect 4933 5952 4997 5956
+rect 8434 6012 8498 6016
+rect 8434 5956 8438 6012
+rect 8438 5956 8494 6012
+rect 8494 5956 8498 6012
+rect 8434 5952 8498 5956
+rect 8514 6012 8578 6016
+rect 8514 5956 8518 6012
+rect 8518 5956 8574 6012
+rect 8574 5956 8578 6012
+rect 8514 5952 8578 5956
+rect 8594 6012 8658 6016
+rect 8594 5956 8598 6012
+rect 8598 5956 8654 6012
+rect 8654 5956 8658 6012
+rect 8594 5952 8658 5956
+rect 8674 6012 8738 6016
+rect 8674 5956 8678 6012
+rect 8678 5956 8734 6012
+rect 8734 5956 8738 6012
+rect 8674 5952 8738 5956
+rect 5212 5612 5276 5676
+rect 2822 5468 2886 5472
+rect 2822 5412 2826 5468
+rect 2826 5412 2882 5468
+rect 2882 5412 2886 5468
+rect 2822 5408 2886 5412
+rect 2902 5468 2966 5472
+rect 2902 5412 2906 5468
+rect 2906 5412 2962 5468
+rect 2962 5412 2966 5468
+rect 2902 5408 2966 5412
+rect 2982 5468 3046 5472
+rect 2982 5412 2986 5468
+rect 2986 5412 3042 5468
+rect 3042 5412 3046 5468
+rect 2982 5408 3046 5412
+rect 3062 5468 3126 5472
+rect 3062 5412 3066 5468
+rect 3066 5412 3122 5468
+rect 3122 5412 3126 5468
+rect 3062 5408 3126 5412
+rect 6564 5468 6628 5472
+rect 6564 5412 6568 5468
+rect 6568 5412 6624 5468
+rect 6624 5412 6628 5468
+rect 6564 5408 6628 5412
+rect 6644 5468 6708 5472
+rect 6644 5412 6648 5468
+rect 6648 5412 6704 5468
+rect 6704 5412 6708 5468
+rect 6644 5408 6708 5412
+rect 6724 5468 6788 5472
+rect 6724 5412 6728 5468
+rect 6728 5412 6784 5468
+rect 6784 5412 6788 5468
+rect 6724 5408 6788 5412
+rect 6804 5468 6868 5472
+rect 6804 5412 6808 5468
+rect 6808 5412 6864 5468
+rect 6864 5412 6868 5468
+rect 6804 5408 6868 5412
+rect 10305 5468 10369 5472
+rect 10305 5412 10309 5468
+rect 10309 5412 10365 5468
+rect 10365 5412 10369 5468
+rect 10305 5408 10369 5412
+rect 10385 5468 10449 5472
+rect 10385 5412 10389 5468
+rect 10389 5412 10445 5468
+rect 10445 5412 10449 5468
+rect 10385 5408 10449 5412
+rect 10465 5468 10529 5472
+rect 10465 5412 10469 5468
+rect 10469 5412 10525 5468
+rect 10525 5412 10529 5468
+rect 10465 5408 10529 5412
+rect 10545 5468 10609 5472
+rect 10545 5412 10549 5468
+rect 10549 5412 10605 5468
+rect 10605 5412 10609 5468
+rect 10545 5408 10609 5412
+rect 8156 5204 8220 5268
+rect 4693 4924 4757 4928
+rect 4693 4868 4697 4924
+rect 4697 4868 4753 4924
+rect 4753 4868 4757 4924
+rect 4693 4864 4757 4868
+rect 4773 4924 4837 4928
+rect 4773 4868 4777 4924
+rect 4777 4868 4833 4924
+rect 4833 4868 4837 4924
+rect 4773 4864 4837 4868
+rect 4853 4924 4917 4928
+rect 4853 4868 4857 4924
+rect 4857 4868 4913 4924
+rect 4913 4868 4917 4924
+rect 4853 4864 4917 4868
+rect 4933 4924 4997 4928
+rect 4933 4868 4937 4924
+rect 4937 4868 4993 4924
+rect 4993 4868 4997 4924
+rect 4933 4864 4997 4868
+rect 8434 4924 8498 4928
+rect 8434 4868 8438 4924
+rect 8438 4868 8494 4924
+rect 8494 4868 8498 4924
+rect 8434 4864 8498 4868
+rect 8514 4924 8578 4928
+rect 8514 4868 8518 4924
+rect 8518 4868 8574 4924
+rect 8574 4868 8578 4924
+rect 8514 4864 8578 4868
+rect 8594 4924 8658 4928
+rect 8594 4868 8598 4924
+rect 8598 4868 8654 4924
+rect 8654 4868 8658 4924
+rect 8594 4864 8658 4868
+rect 8674 4924 8738 4928
+rect 8674 4868 8678 4924
+rect 8678 4868 8734 4924
+rect 8734 4868 8738 4924
+rect 8674 4864 8738 4868
+rect 2822 4380 2886 4384
+rect 2822 4324 2826 4380
+rect 2826 4324 2882 4380
+rect 2882 4324 2886 4380
+rect 2822 4320 2886 4324
+rect 2902 4380 2966 4384
+rect 2902 4324 2906 4380
+rect 2906 4324 2962 4380
+rect 2962 4324 2966 4380
+rect 2902 4320 2966 4324
+rect 2982 4380 3046 4384
+rect 2982 4324 2986 4380
+rect 2986 4324 3042 4380
+rect 3042 4324 3046 4380
+rect 2982 4320 3046 4324
+rect 3062 4380 3126 4384
+rect 3062 4324 3066 4380
+rect 3066 4324 3122 4380
+rect 3122 4324 3126 4380
+rect 3062 4320 3126 4324
+rect 6564 4380 6628 4384
+rect 6564 4324 6568 4380
+rect 6568 4324 6624 4380
+rect 6624 4324 6628 4380
+rect 6564 4320 6628 4324
+rect 6644 4380 6708 4384
+rect 6644 4324 6648 4380
+rect 6648 4324 6704 4380
+rect 6704 4324 6708 4380
+rect 6644 4320 6708 4324
+rect 6724 4380 6788 4384
+rect 6724 4324 6728 4380
+rect 6728 4324 6784 4380
+rect 6784 4324 6788 4380
+rect 6724 4320 6788 4324
+rect 6804 4380 6868 4384
+rect 6804 4324 6808 4380
+rect 6808 4324 6864 4380
+rect 6864 4324 6868 4380
+rect 6804 4320 6868 4324
+rect 10305 4380 10369 4384
+rect 10305 4324 10309 4380
+rect 10309 4324 10365 4380
+rect 10365 4324 10369 4380
+rect 10305 4320 10369 4324
+rect 10385 4380 10449 4384
+rect 10385 4324 10389 4380
+rect 10389 4324 10445 4380
+rect 10445 4324 10449 4380
+rect 10385 4320 10449 4324
+rect 10465 4380 10529 4384
+rect 10465 4324 10469 4380
+rect 10469 4324 10525 4380
+rect 10525 4324 10529 4380
+rect 10465 4320 10529 4324
+rect 10545 4380 10609 4384
+rect 10545 4324 10549 4380
+rect 10549 4324 10605 4380
+rect 10605 4324 10609 4380
+rect 10545 4320 10609 4324
+rect 8892 4176 8956 4180
+rect 8892 4120 8906 4176
+rect 8906 4120 8956 4176
+rect 8892 4116 8956 4120
+rect 4693 3836 4757 3840
+rect 4693 3780 4697 3836
+rect 4697 3780 4753 3836
+rect 4753 3780 4757 3836
+rect 4693 3776 4757 3780
+rect 4773 3836 4837 3840
+rect 4773 3780 4777 3836
+rect 4777 3780 4833 3836
+rect 4833 3780 4837 3836
+rect 4773 3776 4837 3780
+rect 4853 3836 4917 3840
+rect 4853 3780 4857 3836
+rect 4857 3780 4913 3836
+rect 4913 3780 4917 3836
+rect 4853 3776 4917 3780
+rect 4933 3836 4997 3840
+rect 4933 3780 4937 3836
+rect 4937 3780 4993 3836
+rect 4993 3780 4997 3836
+rect 4933 3776 4997 3780
+rect 8434 3836 8498 3840
+rect 8434 3780 8438 3836
+rect 8438 3780 8494 3836
+rect 8494 3780 8498 3836
+rect 8434 3776 8498 3780
+rect 8514 3836 8578 3840
+rect 8514 3780 8518 3836
+rect 8518 3780 8574 3836
+rect 8574 3780 8578 3836
+rect 8514 3776 8578 3780
+rect 8594 3836 8658 3840
+rect 8594 3780 8598 3836
+rect 8598 3780 8654 3836
+rect 8654 3780 8658 3836
+rect 8594 3776 8658 3780
+rect 8674 3836 8738 3840
+rect 8674 3780 8678 3836
+rect 8678 3780 8734 3836
+rect 8734 3780 8738 3836
+rect 8674 3776 8738 3780
+rect 2822 3292 2886 3296
+rect 2822 3236 2826 3292
+rect 2826 3236 2882 3292
+rect 2882 3236 2886 3292
+rect 2822 3232 2886 3236
+rect 2902 3292 2966 3296
+rect 2902 3236 2906 3292
+rect 2906 3236 2962 3292
+rect 2962 3236 2966 3292
+rect 2902 3232 2966 3236
+rect 2982 3292 3046 3296
+rect 2982 3236 2986 3292
+rect 2986 3236 3042 3292
+rect 3042 3236 3046 3292
+rect 2982 3232 3046 3236
+rect 3062 3292 3126 3296
+rect 3062 3236 3066 3292
+rect 3066 3236 3122 3292
+rect 3122 3236 3126 3292
+rect 3062 3232 3126 3236
+rect 6564 3292 6628 3296
+rect 6564 3236 6568 3292
+rect 6568 3236 6624 3292
+rect 6624 3236 6628 3292
+rect 6564 3232 6628 3236
+rect 6644 3292 6708 3296
+rect 6644 3236 6648 3292
+rect 6648 3236 6704 3292
+rect 6704 3236 6708 3292
+rect 6644 3232 6708 3236
+rect 6724 3292 6788 3296
+rect 6724 3236 6728 3292
+rect 6728 3236 6784 3292
+rect 6784 3236 6788 3292
+rect 6724 3232 6788 3236
+rect 6804 3292 6868 3296
+rect 6804 3236 6808 3292
+rect 6808 3236 6864 3292
+rect 6864 3236 6868 3292
+rect 6804 3232 6868 3236
+rect 10305 3292 10369 3296
+rect 10305 3236 10309 3292
+rect 10309 3236 10365 3292
+rect 10365 3236 10369 3292
+rect 10305 3232 10369 3236
+rect 10385 3292 10449 3296
+rect 10385 3236 10389 3292
+rect 10389 3236 10445 3292
+rect 10445 3236 10449 3292
+rect 10385 3232 10449 3236
+rect 10465 3292 10529 3296
+rect 10465 3236 10469 3292
+rect 10469 3236 10525 3292
+rect 10525 3236 10529 3292
+rect 10465 3232 10529 3236
+rect 10545 3292 10609 3296
+rect 10545 3236 10549 3292
+rect 10549 3236 10605 3292
+rect 10605 3236 10609 3292
+rect 10545 3232 10609 3236
+rect 4693 2748 4757 2752
+rect 4693 2692 4697 2748
+rect 4697 2692 4753 2748
+rect 4753 2692 4757 2748
+rect 4693 2688 4757 2692
+rect 4773 2748 4837 2752
+rect 4773 2692 4777 2748
+rect 4777 2692 4833 2748
+rect 4833 2692 4837 2748
+rect 4773 2688 4837 2692
+rect 4853 2748 4917 2752
+rect 4853 2692 4857 2748
+rect 4857 2692 4913 2748
+rect 4913 2692 4917 2748
+rect 4853 2688 4917 2692
+rect 4933 2748 4997 2752
+rect 4933 2692 4937 2748
+rect 4937 2692 4993 2748
+rect 4993 2692 4997 2748
+rect 4933 2688 4997 2692
+rect 8434 2748 8498 2752
+rect 8434 2692 8438 2748
+rect 8438 2692 8494 2748
+rect 8494 2692 8498 2748
+rect 8434 2688 8498 2692
+rect 8514 2748 8578 2752
+rect 8514 2692 8518 2748
+rect 8518 2692 8574 2748
+rect 8574 2692 8578 2748
+rect 8514 2688 8578 2692
+rect 8594 2748 8658 2752
+rect 8594 2692 8598 2748
+rect 8598 2692 8654 2748
+rect 8654 2692 8658 2748
+rect 8594 2688 8658 2692
+rect 8674 2748 8738 2752
+rect 8674 2692 8678 2748
+rect 8678 2692 8734 2748
+rect 8734 2692 8738 2748
+rect 8674 2688 8738 2692
+rect 2822 2204 2886 2208
+rect 2822 2148 2826 2204
+rect 2826 2148 2882 2204
+rect 2882 2148 2886 2204
+rect 2822 2144 2886 2148
+rect 2902 2204 2966 2208
+rect 2902 2148 2906 2204
+rect 2906 2148 2962 2204
+rect 2962 2148 2966 2204
+rect 2902 2144 2966 2148
+rect 2982 2204 3046 2208
+rect 2982 2148 2986 2204
+rect 2986 2148 3042 2204
+rect 3042 2148 3046 2204
+rect 2982 2144 3046 2148
+rect 3062 2204 3126 2208
+rect 3062 2148 3066 2204
+rect 3066 2148 3122 2204
+rect 3122 2148 3126 2204
+rect 3062 2144 3126 2148
+rect 6564 2204 6628 2208
+rect 6564 2148 6568 2204
+rect 6568 2148 6624 2204
+rect 6624 2148 6628 2204
+rect 6564 2144 6628 2148
+rect 6644 2204 6708 2208
+rect 6644 2148 6648 2204
+rect 6648 2148 6704 2204
+rect 6704 2148 6708 2204
+rect 6644 2144 6708 2148
+rect 6724 2204 6788 2208
+rect 6724 2148 6728 2204
+rect 6728 2148 6784 2204
+rect 6784 2148 6788 2204
+rect 6724 2144 6788 2148
+rect 6804 2204 6868 2208
+rect 6804 2148 6808 2204
+rect 6808 2148 6864 2204
+rect 6864 2148 6868 2204
+rect 6804 2144 6868 2148
+rect 10305 2204 10369 2208
+rect 10305 2148 10309 2204
+rect 10309 2148 10365 2204
+rect 10365 2148 10369 2204
+rect 10305 2144 10369 2148
+rect 10385 2204 10449 2208
+rect 10385 2148 10389 2204
+rect 10389 2148 10445 2204
+rect 10445 2148 10449 2204
+rect 10385 2144 10449 2148
+rect 10465 2204 10529 2208
+rect 10465 2148 10469 2204
+rect 10469 2148 10525 2204
+rect 10525 2148 10529 2204
+rect 10465 2144 10529 2148
+rect 10545 2204 10609 2208
+rect 10545 2148 10549 2204
+rect 10549 2148 10605 2204
+rect 10605 2148 10609 2204
+rect 10545 2144 10609 2148
+<< metal4 >>
+rect 2814 13088 3135 13104
+rect 2814 13024 2822 13088
+rect 2886 13024 2902 13088
+rect 2966 13024 2982 13088
+rect 3046 13024 3062 13088
+rect 3126 13024 3135 13088
+rect 2814 12000 3135 13024
+rect 2814 11936 2822 12000
+rect 2886 11936 2902 12000
+rect 2966 11936 2982 12000
+rect 3046 11936 3062 12000
+rect 3126 11936 3135 12000
+rect 2814 10912 3135 11936
+rect 2814 10848 2822 10912
+rect 2886 10848 2902 10912
+rect 2966 10848 2982 10912
+rect 3046 10848 3062 10912
+rect 3126 10848 3135 10912
+rect 2814 9824 3135 10848
+rect 2814 9760 2822 9824
+rect 2886 9760 2902 9824
+rect 2966 9760 2982 9824
+rect 3046 9760 3062 9824
+rect 3126 9760 3135 9824
+rect 2814 8736 3135 9760
+rect 2814 8672 2822 8736
+rect 2886 8672 2902 8736
+rect 2966 8672 2982 8736
+rect 3046 8672 3062 8736
+rect 3126 8672 3135 8736
+rect 2814 7648 3135 8672
+rect 2814 7584 2822 7648
+rect 2886 7584 2902 7648
+rect 2966 7584 2982 7648
+rect 3046 7584 3062 7648
+rect 3126 7584 3135 7648
+rect 2814 6560 3135 7584
+rect 2814 6496 2822 6560
+rect 2886 6496 2902 6560
+rect 2966 6496 2982 6560
+rect 3046 6496 3062 6560
+rect 3126 6496 3135 6560
+rect 2814 5472 3135 6496
+rect 2814 5408 2822 5472
+rect 2886 5408 2902 5472
+rect 2966 5408 2982 5472
+rect 3046 5408 3062 5472
+rect 3126 5408 3135 5472
+rect 2814 4384 3135 5408
+rect 2814 4320 2822 4384
+rect 2886 4320 2902 4384
+rect 2966 4320 2982 4384
+rect 3046 4320 3062 4384
+rect 3126 4320 3135 4384
+rect 2814 3296 3135 4320
+rect 2814 3232 2822 3296
+rect 2886 3232 2902 3296
+rect 2966 3232 2982 3296
+rect 3046 3232 3062 3296
+rect 3126 3232 3135 3296
+rect 2814 2208 3135 3232
+rect 2814 2144 2822 2208
+rect 2886 2144 2902 2208
+rect 2966 2144 2982 2208
+rect 3046 2144 3062 2208
+rect 3126 2144 3135 2208
+rect 2814 2128 3135 2144
+rect 4685 12544 5005 13104
+rect 4685 12480 4693 12544
+rect 4757 12480 4773 12544
+rect 4837 12480 4853 12544
+rect 4917 12480 4933 12544
+rect 4997 12480 5005 12544
+rect 4685 11456 5005 12480
+rect 6556 13088 6876 13104
+rect 6556 13024 6564 13088
+rect 6628 13024 6644 13088
+rect 6708 13024 6724 13088
+rect 6788 13024 6804 13088
+rect 6868 13024 6876 13088
+rect 6556 12000 6876 13024
+rect 7603 12748 7669 12749
+rect 7603 12684 7604 12748
+rect 7668 12684 7669 12748
+rect 7603 12683 7669 12684
+rect 6556 11936 6564 12000
+rect 6628 11936 6644 12000
+rect 6708 11936 6724 12000
+rect 6788 11936 6804 12000
+rect 6868 11936 6876 12000
+rect 5579 11932 5645 11933
+rect 5579 11868 5580 11932
+rect 5644 11868 5645 11932
+rect 5579 11867 5645 11868
+rect 4685 11392 4693 11456
+rect 4757 11392 4773 11456
+rect 4837 11392 4853 11456
+rect 4917 11392 4933 11456
+rect 4997 11392 5005 11456
+rect 4685 10368 5005 11392
+rect 4685 10304 4693 10368
+rect 4757 10304 4773 10368
+rect 4837 10304 4853 10368
+rect 4917 10304 4933 10368
+rect 4997 10304 5005 10368
+rect 4685 9280 5005 10304
+rect 4685 9216 4693 9280
+rect 4757 9216 4773 9280
+rect 4837 9216 4853 9280
+rect 4917 9216 4933 9280
+rect 4997 9216 5005 9280
+rect 4685 8192 5005 9216
+rect 5211 9212 5277 9213
+rect 5211 9148 5212 9212
+rect 5276 9148 5277 9212
+rect 5211 9147 5277 9148
+rect 4685 8128 4693 8192
+rect 4757 8128 4773 8192
+rect 4837 8128 4853 8192
+rect 4917 8128 4933 8192
+rect 4997 8128 5005 8192
+rect 4685 7104 5005 8128
+rect 4685 7040 4693 7104
+rect 4757 7040 4773 7104
+rect 4837 7040 4853 7104
+rect 4917 7040 4933 7104
+rect 4997 7040 5005 7104
+rect 4685 6016 5005 7040
+rect 4685 5952 4693 6016
+rect 4757 5952 4773 6016
+rect 4837 5952 4853 6016
+rect 4917 5952 4933 6016
+rect 4997 5952 5005 6016
+rect 4685 4928 5005 5952
+rect 5214 5677 5274 9147
+rect 5582 8669 5642 11867
+rect 6556 10912 6876 11936
+rect 6556 10848 6564 10912
+rect 6628 10848 6644 10912
+rect 6708 10848 6724 10912
+rect 6788 10848 6804 10912
+rect 6868 10848 6876 10912
+rect 5763 10708 5829 10709
+rect 5763 10644 5764 10708
+rect 5828 10644 5829 10708
+rect 5763 10643 5829 10644
+rect 5579 8668 5645 8669
+rect 5579 8604 5580 8668
+rect 5644 8604 5645 8668
+rect 5579 8603 5645 8604
+rect 5766 8397 5826 10643
+rect 6131 10164 6197 10165
+rect 6131 10100 6132 10164
+rect 6196 10100 6197 10164
+rect 6131 10099 6197 10100
+rect 5947 9484 6013 9485
+rect 5947 9420 5948 9484
+rect 6012 9420 6013 9484
+rect 5947 9419 6013 9420
+rect 5763 8396 5829 8397
+rect 5763 8332 5764 8396
+rect 5828 8332 5829 8396
+rect 5763 8331 5829 8332
+rect 5950 7037 6010 9419
+rect 5947 7036 6013 7037
+rect 5947 6972 5948 7036
+rect 6012 6972 6013 7036
+rect 5947 6971 6013 6972
+rect 6134 6765 6194 10099
+rect 6556 9824 6876 10848
+rect 7051 10572 7117 10573
+rect 7051 10508 7052 10572
+rect 7116 10508 7117 10572
+rect 7051 10507 7117 10508
+rect 6556 9760 6564 9824
+rect 6628 9760 6644 9824
+rect 6708 9760 6724 9824
+rect 6788 9760 6804 9824
+rect 6868 9760 6876 9824
+rect 6315 9756 6381 9757
+rect 6315 9692 6316 9756
+rect 6380 9692 6381 9756
+rect 6315 9691 6381 9692
+rect 6318 9077 6378 9691
+rect 6315 9076 6381 9077
+rect 6315 9012 6316 9076
+rect 6380 9012 6381 9076
+rect 6315 9011 6381 9012
+rect 6556 8736 6876 9760
+rect 6556 8672 6564 8736
+rect 6628 8672 6644 8736
+rect 6708 8672 6724 8736
+rect 6788 8672 6804 8736
+rect 6868 8672 6876 8736
+rect 6315 8668 6381 8669
+rect 6315 8604 6316 8668
+rect 6380 8604 6381 8668
+rect 6315 8603 6381 8604
+rect 6318 7445 6378 8603
+rect 6556 7648 6876 8672
+rect 6556 7584 6564 7648
+rect 6628 7584 6644 7648
+rect 6708 7584 6724 7648
+rect 6788 7584 6804 7648
+rect 6868 7584 6876 7648
+rect 6315 7444 6381 7445
+rect 6315 7380 6316 7444
+rect 6380 7380 6381 7444
+rect 6315 7379 6381 7380
+rect 6131 6764 6197 6765
+rect 6131 6700 6132 6764
+rect 6196 6700 6197 6764
+rect 6131 6699 6197 6700
+rect 6556 6560 6876 7584
+rect 6556 6496 6564 6560
+rect 6628 6496 6644 6560
+rect 6708 6496 6724 6560
+rect 6788 6496 6804 6560
+rect 6868 6496 6876 6560
+rect 5211 5676 5277 5677
+rect 5211 5612 5212 5676
+rect 5276 5612 5277 5676
+rect 5211 5611 5277 5612
+rect 4685 4864 4693 4928
+rect 4757 4864 4773 4928
+rect 4837 4864 4853 4928
+rect 4917 4864 4933 4928
+rect 4997 4864 5005 4928
+rect 4685 3840 5005 4864
+rect 4685 3776 4693 3840
+rect 4757 3776 4773 3840
+rect 4837 3776 4853 3840
+rect 4917 3776 4933 3840
+rect 4997 3776 5005 3840
+rect 4685 2752 5005 3776
+rect 4685 2688 4693 2752
+rect 4757 2688 4773 2752
+rect 4837 2688 4853 2752
+rect 4917 2688 4933 2752
+rect 4997 2688 5005 2752
+rect 4685 2128 5005 2688
+rect 6556 5472 6876 6496
+rect 7054 6221 7114 10507
+rect 7235 9348 7301 9349
+rect 7235 9284 7236 9348
+rect 7300 9284 7301 9348
+rect 7235 9283 7301 9284
+rect 7238 6357 7298 9283
+rect 7606 6493 7666 12683
+rect 8426 12544 8746 13104
+rect 8426 12480 8434 12544
+rect 8498 12480 8514 12544
+rect 8578 12480 8594 12544
+rect 8658 12480 8674 12544
+rect 8738 12480 8746 12544
+rect 8426 11456 8746 12480
+rect 8426 11392 8434 11456
+rect 8498 11392 8514 11456
+rect 8578 11392 8594 11456
+rect 8658 11392 8674 11456
+rect 8738 11392 8746 11456
+rect 8426 10368 8746 11392
+rect 8426 10304 8434 10368
+rect 8498 10304 8514 10368
+rect 8578 10304 8594 10368
+rect 8658 10304 8674 10368
+rect 8738 10304 8746 10368
+rect 8426 9280 8746 10304
+rect 10297 13088 10617 13104
+rect 10297 13024 10305 13088
+rect 10369 13024 10385 13088
+rect 10449 13024 10465 13088
+rect 10529 13024 10545 13088
+rect 10609 13024 10617 13088
+rect 10297 12000 10617 13024
+rect 10297 11936 10305 12000
+rect 10369 11936 10385 12000
+rect 10449 11936 10465 12000
+rect 10529 11936 10545 12000
+rect 10609 11936 10617 12000
+rect 10297 10912 10617 11936
+rect 10297 10848 10305 10912
+rect 10369 10848 10385 10912
+rect 10449 10848 10465 10912
+rect 10529 10848 10545 10912
+rect 10609 10848 10617 10912
+rect 10297 9824 10617 10848
+rect 10297 9760 10305 9824
+rect 10369 9760 10385 9824
+rect 10449 9760 10465 9824
+rect 10529 9760 10545 9824
+rect 10609 9760 10617 9824
+rect 8891 9484 8957 9485
+rect 8891 9420 8892 9484
+rect 8956 9420 8957 9484
+rect 8891 9419 8957 9420
+rect 8426 9216 8434 9280
+rect 8498 9216 8514 9280
+rect 8578 9216 8594 9280
+rect 8658 9216 8674 9280
+rect 8738 9216 8746 9280
+rect 8155 8668 8221 8669
+rect 8155 8604 8156 8668
+rect 8220 8604 8221 8668
+rect 8155 8603 8221 8604
+rect 7603 6492 7669 6493
+rect 7603 6428 7604 6492
+rect 7668 6428 7669 6492
+rect 7603 6427 7669 6428
+rect 7235 6356 7301 6357
+rect 7235 6292 7236 6356
+rect 7300 6292 7301 6356
+rect 7235 6291 7301 6292
+rect 7051 6220 7117 6221
+rect 7051 6156 7052 6220
+rect 7116 6156 7117 6220
+rect 7051 6155 7117 6156
+rect 6556 5408 6564 5472
+rect 6628 5408 6644 5472
+rect 6708 5408 6724 5472
+rect 6788 5408 6804 5472
+rect 6868 5408 6876 5472
+rect 6556 4384 6876 5408
+rect 8158 5269 8218 8603
+rect 8426 8192 8746 9216
+rect 8426 8128 8434 8192
+rect 8498 8128 8514 8192
+rect 8578 8128 8594 8192
+rect 8658 8128 8674 8192
+rect 8738 8128 8746 8192
+rect 8426 7104 8746 8128
+rect 8426 7040 8434 7104
+rect 8498 7040 8514 7104
+rect 8578 7040 8594 7104
+rect 8658 7040 8674 7104
+rect 8738 7040 8746 7104
+rect 8426 6016 8746 7040
+rect 8426 5952 8434 6016
+rect 8498 5952 8514 6016
+rect 8578 5952 8594 6016
+rect 8658 5952 8674 6016
+rect 8738 5952 8746 6016
+rect 8155 5268 8221 5269
+rect 8155 5204 8156 5268
+rect 8220 5204 8221 5268
+rect 8155 5203 8221 5204
+rect 6556 4320 6564 4384
+rect 6628 4320 6644 4384
+rect 6708 4320 6724 4384
+rect 6788 4320 6804 4384
+rect 6868 4320 6876 4384
+rect 6556 3296 6876 4320
+rect 6556 3232 6564 3296
+rect 6628 3232 6644 3296
+rect 6708 3232 6724 3296
+rect 6788 3232 6804 3296
+rect 6868 3232 6876 3296
+rect 6556 2208 6876 3232
+rect 6556 2144 6564 2208
+rect 6628 2144 6644 2208
+rect 6708 2144 6724 2208
+rect 6788 2144 6804 2208
+rect 6868 2144 6876 2208
+rect 6556 2128 6876 2144
+rect 8426 4928 8746 5952
+rect 8426 4864 8434 4928
+rect 8498 4864 8514 4928
+rect 8578 4864 8594 4928
+rect 8658 4864 8674 4928
+rect 8738 4864 8746 4928
+rect 8426 3840 8746 4864
+rect 8894 4181 8954 9419
+rect 10297 8736 10617 9760
+rect 10297 8672 10305 8736
+rect 10369 8672 10385 8736
+rect 10449 8672 10465 8736
+rect 10529 8672 10545 8736
+rect 10609 8672 10617 8736
+rect 9995 8668 10061 8669
+rect 9995 8604 9996 8668
+rect 10060 8604 10061 8668
+rect 9995 8603 10061 8604
+rect 9998 6357 10058 8603
+rect 10297 7648 10617 8672
+rect 10297 7584 10305 7648
+rect 10369 7584 10385 7648
+rect 10449 7584 10465 7648
+rect 10529 7584 10545 7648
+rect 10609 7584 10617 7648
+rect 10297 6560 10617 7584
+rect 10297 6496 10305 6560
+rect 10369 6496 10385 6560
+rect 10449 6496 10465 6560
+rect 10529 6496 10545 6560
+rect 10609 6496 10617 6560
+rect 9995 6356 10061 6357
+rect 9995 6292 9996 6356
+rect 10060 6292 10061 6356
+rect 9995 6291 10061 6292
+rect 10297 5472 10617 6496
+rect 10297 5408 10305 5472
+rect 10369 5408 10385 5472
+rect 10449 5408 10465 5472
+rect 10529 5408 10545 5472
+rect 10609 5408 10617 5472
+rect 10297 4384 10617 5408
+rect 10297 4320 10305 4384
+rect 10369 4320 10385 4384
+rect 10449 4320 10465 4384
+rect 10529 4320 10545 4384
+rect 10609 4320 10617 4384
+rect 8891 4180 8957 4181
+rect 8891 4116 8892 4180
+rect 8956 4116 8957 4180
+rect 8891 4115 8957 4116
+rect 8426 3776 8434 3840
+rect 8498 3776 8514 3840
+rect 8578 3776 8594 3840
+rect 8658 3776 8674 3840
+rect 8738 3776 8746 3840
+rect 8426 2752 8746 3776
+rect 8426 2688 8434 2752
+rect 8498 2688 8514 2752
+rect 8578 2688 8594 2752
+rect 8658 2688 8674 2752
+rect 8738 2688 8746 2752
+rect 8426 2128 8746 2688
+rect 10297 3296 10617 4320
+rect 10297 3232 10305 3296
+rect 10369 3232 10385 3296
+rect 10449 3232 10465 3296
+rect 10529 3232 10545 3296
+rect 10609 3232 10617 3296
+rect 10297 2208 10617 3232
+rect 10297 2144 10305 2208
+rect 10369 2144 10385 2208
+rect 10449 2144 10465 2208
+rect 10529 2144 10545 2208
+rect 10609 2144 10617 2208
+rect 10297 2128 10617 2144
+use sky130_fd_sc_hd__decap_3  PHY_39
+timestamp 1606941354
+transform -1 0 12328 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_108
+timestamp 1606941354
+transform 1 0 11040 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_116
+timestamp 1606941354
+transform 1 0 11776 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__o22a_4  _130_
+timestamp 1606941354
+transform 1 0 9752 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_72
+timestamp 1606941354
+transform 1 0 9660 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_19_89
+timestamp 1606941354
+transform 1 0 9292 0 1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__a211o_4  _173_
+timestamp 1606941354
+transform 1 0 6900 0 1 12512
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_71
+timestamp 1606941354
+transform 1 0 6808 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_19_77
+timestamp 1606941354
+transform 1 0 8188 0 1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_51
+timestamp 1606941354
+transform 1 0 5796 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_19_59
+timestamp 1606941354
+transform 1 0 6532 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__dfxtp_4  _182_
+timestamp 1606941354
+transform 1 0 4048 0 1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_70
+timestamp 1606941354
+transform 1 0 3956 0 1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_23
+timestamp 1606941354
+transform 1 0 3220 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _102_
+timestamp 1606941354
+transform 1 0 2392 0 1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__buf_1  _145_
+timestamp 1606941354
+transform 1 0 1380 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_38
+timestamp 1606941354
+transform 1 0 1104 0 1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_19_6
+timestamp 1606941354
+transform 1 0 1656 0 1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_37
+timestamp 1606941354
+transform -1 0 12328 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_102
+timestamp 1606941354
+transform 1 0 10488 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_18_114
+timestamp 1606941354
+transform 1 0 11592 0 -1 12512
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _089_
+timestamp 1606941354
+transform 1 0 9660 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_69
+timestamp 1606941354
+transform 1 0 9568 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__a32o_4  _129_
+timestamp 1606941354
+transform 1 0 6624 0 -1 12512
+box -38 -48 1602 592
+use sky130_fd_sc_hd__decap_12  FILLER_18_77
+timestamp 1606941354
+transform 1 0 8188 0 -1 12512
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__dfxtp_4  _183_
+timestamp 1606941354
+transform 1 0 4140 0 -1 12512
+box -38 -48 1786 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_68
+timestamp 1606941354
+transform 1 0 3956 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_18_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 12512
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _131_
+timestamp 1606941354
+transform 1 0 2392 0 -1 12512
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_36
+timestamp 1606941354
+transform 1 0 1104 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_18_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 12512
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_18_11
+timestamp 1606941354
+transform 1 0 2116 0 -1 12512
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_35
+timestamp 1606941354
+transform -1 0 12328 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_102
+timestamp 1606941354
+transform 1 0 10488 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_114
+timestamp 1606941354
+transform 1 0 11592 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_118
+timestamp 1606941354
+transform 1 0 11960 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__nor4_2  _172_
+timestamp 1606941354
+transform 1 0 9568 0 1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_84
+timestamp 1606941354
+transform 1 0 8832 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__a32o_4  _099_
+timestamp 1606941354
+transform 1 0 7268 0 1 11424
+box -38 -48 1602 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_67
+timestamp 1606941354
+transform 1 0 6716 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_60
+timestamp 1606941354
+transform 1 0 6624 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_17_62
+timestamp 1606941354
+transform 1 0 6808 0 1 11424
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_66
+timestamp 1606941354
+transform 1 0 7176 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_17_48
+timestamp 1606941354
+transform 1 0 5520 0 1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__dfxtp_4  _184_
+timestamp 1606941354
+transform 1 0 3772 0 1 11424
+box -38 -48 1786 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_21
+timestamp 1606941354
+transform 1 0 3036 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _083_
+timestamp 1606941354
+transform 1 0 2208 0 1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_34
+timestamp 1606941354
+transform 1 0 1104 0 1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_17_3
+timestamp 1606941354
+transform 1 0 1380 0 1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_17_11
+timestamp 1606941354
+transform 1 0 2116 0 1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  PHY_33
+timestamp 1606941354
+transform -1 0 12328 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_107
+timestamp 1606941354
+transform 1 0 10948 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__o22a_4  _100_
+timestamp 1606941354
+transform 1 0 9660 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_66
+timestamp 1606941354
+transform 1 0 9568 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_16_90
+timestamp 1606941354
+transform 1 0 9384 0 -1 11424
+box -38 -48 222 592
+use sky130_fd_sc_hd__a211o_4  _149_
+timestamp 1606941354
+transform 1 0 6992 0 -1 11424
+box -38 -48 1326 592
+use sky130_fd_sc_hd__decap_12  FILLER_16_78
+timestamp 1606941354
+transform 1 0 8280 0 -1 11424
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor4_2  _180_
+timestamp 1606941354
+transform 1 0 5336 0 -1 11424
+box -38 -48 958 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_56
+timestamp 1606941354
+transform 1 0 6256 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2  _091_
+timestamp 1606941354
+transform 1 0 4048 0 -1 11424
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_65
+timestamp 1606941354
+transform 1 0 3956 0 -1 11424
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_4  _167_
+timestamp 1606941354
+transform 1 0 2392 0 -1 11424
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_32
+timestamp 1606941354
+transform 1 0 1104 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_16_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 11424
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_16_11
+timestamp 1606941354
+transform 1 0 2116 0 -1 11424
+box -38 -48 314 592
+use sky130_fd_sc_hd__buf_6  _127_
+timestamp 1606941354
+transform 1 0 10488 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_3  PHY_31
+timestamp 1606941354
+transform -1 0 12328 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_111
+timestamp 1606941354
+transform 1 0 11316 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _095_
+timestamp 1606941354
+transform 1 0 8924 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_94
+timestamp 1606941354
+transform 1 0 9752 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21a_4  _106_
+timestamp 1606941354
+transform 1 0 7084 0 1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_64
+timestamp 1606941354
+transform 1 0 6716 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_15_62
+timestamp 1606941354
+transform 1 0 6808 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_77
+timestamp 1606941354
+transform 1 0 8188 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _082_
+timestamp 1606941354
+transform 1 0 5152 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_53
+timestamp 1606941354
+transform 1 0 5980 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _135_
+timestamp 1606941354
+transform 1 0 3588 0 1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_36
+timestamp 1606941354
+transform 1 0 4416 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _096_
+timestamp 1606941354
+transform 1 0 2208 0 1 10336
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_3  PHY_30
+timestamp 1606941354
+transform 1 0 1104 0 1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_3
+timestamp 1606941354
+transform 1 0 1380 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_15_11
+timestamp 1606941354
+transform 1 0 2116 0 1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_15_19
+timestamp 1606941354
+transform 1 0 2852 0 1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_27
+timestamp 1606941354
+transform -1 0 12328 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_29
+timestamp 1606941354
+transform -1 0 12328 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_13_105
+timestamp 1606941354
+transform 1 0 10764 0 1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_13_117
+timestamp 1606941354
+transform 1 0 11868 0 1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_12  FILLER_14_102
+timestamp 1606941354
+transform 1 0 10488 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_4  FILLER_14_114
+timestamp 1606941354
+transform 1 0 11592 0 -1 10336
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__inv_8  _121_
+timestamp 1606941354
+transform 1 0 9660 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _128_
+timestamp 1606941354
+transform 1 0 9936 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_63
+timestamp 1606941354
+transform 1 0 9568 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_88
+timestamp 1606941354
+transform 1 0 9200 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_84
+timestamp 1606941354
+transform 1 0 8832 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor3_2  _080_
+timestamp 1606941354
+transform 1 0 8096 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _090_
+timestamp 1606941354
+transform 1 0 6808 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__inv_8  _098_
+timestamp 1606941354
+transform 1 0 8372 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_61
+timestamp 1606941354
+transform 1 0 6716 0 1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_71
+timestamp 1606941354
+transform 1 0 7636 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_68
+timestamp 1606941354
+transform 1 0 7360 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__o21a_4  _142_
+timestamp 1606941354
+transform 1 0 6256 0 -1 10336
+box -38 -48 1142 592
+use sky130_fd_sc_hd__inv_8  _153_
+timestamp 1606941354
+transform 1 0 5152 0 1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_53
+timestamp 1606941354
+transform 1 0 5980 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_48
+timestamp 1606941354
+transform 1 0 5520 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_6  _085_
+timestamp 1606941354
+transform 1 0 4692 0 -1 10336
+box -38 -48 866 592
+use sky130_fd_sc_hd__and2_2  _105_
+timestamp 1606941354
+transform 1 0 3864 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_62
+timestamp 1606941354
+transform 1 0 3956 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_22
+timestamp 1606941354
+transform 1 0 3128 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_36
+timestamp 1606941354
+transform 1 0 4416 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_6  FILLER_14_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_14_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 10336
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _088_
+timestamp 1606941354
+transform 1 0 1380 0 1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__and3_2  _124_
+timestamp 1606941354
+transform 1 0 2668 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _139_
+timestamp 1606941354
+transform 1 0 2576 0 1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__or3_2  _181_
+timestamp 1606941354
+transform 1 0 1380 0 -1 10336
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_26
+timestamp 1606941354
+transform 1 0 1104 0 1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_28
+timestamp 1606941354
+transform 1 0 1104 0 -1 10336
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_13_8
+timestamp 1606941354
+transform 1 0 1840 0 1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_14_9
+timestamp 1606941354
+transform 1 0 1932 0 -1 10336
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_25
+timestamp 1606941354
+transform -1 0 12328 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_12_101
+timestamp 1606941354
+transform 1 0 10396 0 -1 9248
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_12_113
+timestamp 1606941354
+transform 1 0 11500 0 -1 9248
+box -38 -48 590 592
+use sky130_fd_sc_hd__nand3_2  _101_
+timestamp 1606941354
+transform 1 0 9660 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_60
+timestamp 1606941354
+transform 1 0 9568 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_84
+timestamp 1606941354
+transform 1 0 8832 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_6  _097_
+timestamp 1606941354
+transform 1 0 6624 0 -1 9248
+box -38 -48 866 592
+use sky130_fd_sc_hd__or2_4  _125_
+timestamp 1606941354
+transform 1 0 8188 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_69
+timestamp 1606941354
+transform 1 0 7452 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _084_
+timestamp 1606941354
+transform 1 0 5244 0 -1 9248
+box -38 -48 682 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _079_
+timestamp 1606941354
+transform 1 0 4048 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_59
+timestamp 1606941354
+transform 1 0 3956 0 -1 9248
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_37
+timestamp 1606941354
+transform 1 0 4508 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _087_
+timestamp 1606941354
+transform 1 0 2760 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _118_
+timestamp 1606941354
+transform 1 0 1564 0 -1 9248
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_24
+timestamp 1606941354
+transform 1 0 1104 0 -1 9248
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_12_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 9248
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_12_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 9248
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_23
+timestamp 1606941354
+transform -1 0 12328 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_11_105
+timestamp 1606941354
+transform 1 0 10764 0 1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_11_117
+timestamp 1606941354
+transform 1 0 11868 0 1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__nor3_2  _158_
+timestamp 1606941354
+transform 1 0 10028 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_4  _178_
+timestamp 1606941354
+transform 1 0 8464 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_89
+timestamp 1606941354
+transform 1 0 9292 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_4  _132_
+timestamp 1606941354
+transform 1 0 6900 0 1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_58
+timestamp 1606941354
+transform 1 0 6716 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_11_62
+timestamp 1606941354
+transform 1 0 6808 0 1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_72
+timestamp 1606941354
+transform 1 0 7728 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__and3_2  _117_
+timestamp 1606941354
+transform 1 0 5428 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_53
+timestamp 1606941354
+transform 1 0 5980 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _092_
+timestamp 1606941354
+transform 1 0 2944 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__or3_2  _143_
+timestamp 1606941354
+transform 1 0 4140 0 1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_25
+timestamp 1606941354
+transform 1 0 3404 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_39
+timestamp 1606941354
+transform 1 0 4692 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _122_
+timestamp 1606941354
+transform 1 0 1748 0 1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_22
+timestamp 1606941354
+transform 1 0 1104 0 1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_4  FILLER_11_3
+timestamp 1606941354
+transform 1 0 1380 0 1 8160
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_11_12
+timestamp 1606941354
+transform 1 0 2208 0 1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_1  _109_
+timestamp 1606941354
+transform 1 0 11040 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_21
+timestamp 1606941354
+transform -1 0 12328 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_100
+timestamp 1606941354
+transform 1 0 10304 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_4  _126_
+timestamp 1606941354
+transform 1 0 9660 0 -1 8160
+box -38 -48 682 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_57
+timestamp 1606941354
+transform 1 0 9568 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_3  FILLER_10_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__and3_4  _177_
+timestamp 1606941354
+transform 1 0 7360 0 -1 8160
+box -38 -48 866 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_60
+timestamp 1606941354
+transform 1 0 6624 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_10_77
+timestamp 1606941354
+transform 1 0 8188 0 -1 8160
+box -38 -48 1142 592
+use sky130_fd_sc_hd__and2_2  _103_
+timestamp 1606941354
+transform 1 0 6072 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _141_
+timestamp 1606941354
+transform 1 0 4784 0 -1 8160
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_46
+timestamp 1606941354
+transform 1 0 5336 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_56
+timestamp 1606941354
+transform 1 0 3956 0 -1 8160
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _120_
+timestamp 1606941354
+transform 1 0 2760 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _154_
+timestamp 1606941354
+transform 1 0 1564 0 -1 8160
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_20
+timestamp 1606941354
+transform 1 0 1104 0 -1 8160
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_10_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 8160
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_10_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 8160
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_19
+timestamp 1606941354
+transform -1 0 12328 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_9_104
+timestamp 1606941354
+transform 1 0 10672 0 1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_9_116
+timestamp 1606941354
+transform 1 0 11776 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__and2_2  _108_
+timestamp 1606941354
+transform 1 0 8832 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__and2_2  _157_
+timestamp 1606941354
+transform 1 0 10120 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_90
+timestamp 1606941354
+transform 1 0 9384 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor3_2  _175_
+timestamp 1606941354
+transform 1 0 7360 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_55
+timestamp 1606941354
+transform 1 0 6716 0 1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_62
+timestamp 1606941354
+transform 1 0 6808 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_76
+timestamp 1606941354
+transform 1 0 8096 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _086_
+timestamp 1606941354
+transform 1 0 5520 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_40
+timestamp 1606941354
+transform 1 0 4784 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_53
+timestamp 1606941354
+transform 1 0 5980 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _115_
+timestamp 1606941354
+transform 1 0 4324 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _137_
+timestamp 1606941354
+transform 1 0 3128 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_27
+timestamp 1606941354
+transform 1 0 3588 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _160_
+timestamp 1606941354
+transform 1 0 1932 0 1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_18
+timestamp 1606941354
+transform 1 0 1104 0 1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_6  FILLER_9_3
+timestamp 1606941354
+transform 1 0 1380 0 1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_9_14
+timestamp 1606941354
+transform 1 0 2392 0 1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _119_
+timestamp 1606941354
+transform 1 0 10856 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_17
+timestamp 1606941354
+transform -1 0 12328 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _110_
+timestamp 1606941354
+transform 1 0 9660 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_54
+timestamp 1606941354
+transform 1 0 9568 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_90
+timestamp 1606941354
+transform 1 0 9384 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__or3_2  _107_
+timestamp 1606941354
+transform 1 0 7728 0 -1 7072
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_64
+timestamp 1606941354
+transform 1 0 6992 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_8_78
+timestamp 1606941354
+transform 1 0 8280 0 -1 7072
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _081_
+timestamp 1606941354
+transform 1 0 6532 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _093_
+timestamp 1606941354
+transform 1 0 5336 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_51
+timestamp 1606941354
+transform 1 0 5796 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _123_
+timestamp 1606941354
+transform 1 0 4140 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_53
+timestamp 1606941354
+transform 1 0 3956 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_8_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 7072
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_38
+timestamp 1606941354
+transform 1 0 4600 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _152_
+timestamp 1606941354
+transform 1 0 2760 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _164_
+timestamp 1606941354
+transform 1 0 1564 0 -1 7072
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_16
+timestamp 1606941354
+transform 1 0 1104 0 -1 7072
+box -38 -48 314 592
+use sky130_fd_sc_hd__fill_2  FILLER_8_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 7072
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_8_10
+timestamp 1606941354
+transform 1 0 2024 0 -1 7072
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _146_
+timestamp 1606941354
+transform 1 0 10764 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _147_
+timestamp 1606941354
+transform 1 0 10856 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_13
+timestamp 1606941354
+transform -1 0 12328 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_15
+timestamp 1606941354
+transform -1 0 12328 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_111
+timestamp 1606941354
+transform 1 0 11316 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_110
+timestamp 1606941354
+transform 1 0 11224 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_7_118
+timestamp 1606941354
+transform 1 0 11960 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__nand2_2  _112_
+timestamp 1606941354
+transform 1 0 9568 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _136_
+timestamp 1606941354
+transform 1 0 9660 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_51
+timestamp 1606941354
+transform 1 0 9568 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_81
+timestamp 1606941354
+transform 1 0 8556 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_89
+timestamp 1606941354
+transform 1 0 9292 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_84
+timestamp 1606941354
+transform 1 0 8832 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_97
+timestamp 1606941354
+transform 1 0 10028 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _104_
+timestamp 1606941354
+transform 1 0 8372 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nand2_2  _111_
+timestamp 1606941354
+transform 1 0 8096 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _113_
+timestamp 1606941354
+transform 1 0 6624 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__and2_2  _144_
+timestamp 1606941354
+transform 1 0 7084 0 1 5984
+box -38 -48 590 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_52
+timestamp 1606941354
+transform 1 0 6716 0 1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_65
+timestamp 1606941354
+transform 1 0 7084 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_73
+timestamp 1606941354
+transform 1 0 7820 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_62
+timestamp 1606941354
+transform 1 0 6808 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_71
+timestamp 1606941354
+transform 1 0 7636 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__or2_2  _114_
+timestamp 1606941354
+transform 1 0 5520 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _140_
+timestamp 1606941354
+transform 1 0 5428 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_52
+timestamp 1606941354
+transform 1 0 5888 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_40
+timestamp 1606941354
+transform 1 0 4784 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_53
+timestamp 1606941354
+transform 1 0 5980 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__buf_2  _094_
+timestamp 1606941354
+transform 1 0 3220 0 1 5984
+box -38 -48 406 592
+use sky130_fd_sc_hd__nor2_2  _151_
+timestamp 1606941354
+transform 1 0 4324 0 1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _156_
+timestamp 1606941354
+transform 1 0 4232 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_50
+timestamp 1606941354
+transform 1 0 3956 0 -1 5984
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_23
+timestamp 1606941354
+transform 1 0 3220 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_6_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 5984
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_6_39
+timestamp 1606941354
+transform 1 0 4692 0 -1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_27
+timestamp 1606941354
+transform 1 0 3588 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__inv_8  _138_
+timestamp 1606941354
+transform 1 0 1656 0 1 5984
+box -38 -48 866 592
+use sky130_fd_sc_hd__nand2_2  _165_
+timestamp 1606941354
+transform 1 0 2760 0 -1 5984
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_3  PHY_12
+timestamp 1606941354
+transform 1 0 1104 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_14
+timestamp 1606941354
+transform 1 0 1104 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_6_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 5984
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_6_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  FILLER_7_3
+timestamp 1606941354
+transform 1 0 1380 0 1 5984
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_7_15
+timestamp 1606941354
+transform 1 0 2484 0 1 5984
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_11
+timestamp 1606941354
+transform -1 0 12328 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_101
+timestamp 1606941354
+transform 1 0 10396 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_113
+timestamp 1606941354
+transform 1 0 11500 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__nor2_2  _134_
+timestamp 1606941354
+transform 1 0 8740 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__or2_2  _159_
+timestamp 1606941354
+transform 1 0 9936 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_88
+timestamp 1606941354
+transform 1 0 9200 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _116_
+timestamp 1606941354
+transform 1 0 7544 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_49
+timestamp 1606941354
+transform 1 0 6716 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_62
+timestamp 1606941354
+transform 1 0 6808 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_75
+timestamp 1606941354
+transform 1 0 8004 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _148_
+timestamp 1606941354
+transform 1 0 5520 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_40
+timestamp 1606941354
+transform 1 0 4784 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_53
+timestamp 1606941354
+transform 1 0 5980 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _163_
+timestamp 1606941354
+transform 1 0 4324 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _179_
+timestamp 1606941354
+transform 1 0 3128 0 1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_1  FILLER_5_21
+timestamp 1606941354
+transform 1 0 3036 0 1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_5_27
+timestamp 1606941354
+transform 1 0 3588 0 1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_10
+timestamp 1606941354
+transform 1 0 1104 0 1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_5_3
+timestamp 1606941354
+transform 1 0 1380 0 1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_5_15
+timestamp 1606941354
+transform 1 0 2484 0 1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_3  PHY_9
+timestamp 1606941354
+transform -1 0 12328 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_110
+timestamp 1606941354
+transform 1 0 11224 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__or2_2  _174_
+timestamp 1606941354
+transform 1 0 9660 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_48
+timestamp 1606941354
+transform 1 0 9568 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_4_85
+timestamp 1606941354
+transform 1 0 8924 0 -1 4896
+box -38 -48 590 592
+use sky130_fd_sc_hd__fill_1  FILLER_4_91
+timestamp 1606941354
+transform 1 0 9476 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_98
+timestamp 1606941354
+transform 1 0 10120 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _133_
+timestamp 1606941354
+transform 1 0 7360 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_60
+timestamp 1606941354
+transform 1 0 6624 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_73
+timestamp 1606941354
+transform 1 0 7820 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _155_
+timestamp 1606941354
+transform 1 0 6164 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _162_
+timestamp 1606941354
+transform 1 0 4968 0 -1 4896
+box -38 -48 498 592
+use sky130_fd_sc_hd__fill_2  FILLER_4_40
+timestamp 1606941354
+transform 1 0 4784 0 -1 4896
+box -38 -48 222 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_47
+timestamp 1606941354
+transform 1 0 5428 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_47
+timestamp 1606941354
+transform 1 0 3956 0 -1 4896
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_4_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 4896
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_8  FILLER_4_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 4896
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_8
+timestamp 1606941354
+transform 1 0 1104 0 -1 4896
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_4_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 4896
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_7
+timestamp 1606941354
+transform -1 0 12328 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_109
+timestamp 1606941354
+transform 1 0 11132 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_3_117
+timestamp 1606941354
+transform 1 0 11868 0 1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__nand2_2  _166_
+timestamp 1606941354
+transform 1 0 8464 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_85
+timestamp 1606941354
+transform 1 0 8924 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_97
+timestamp 1606941354
+transform 1 0 10028 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _150_
+timestamp 1606941354
+transform 1 0 7268 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_46
+timestamp 1606941354
+transform 1 0 6716 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_60
+timestamp 1606941354
+transform 1 0 6624 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_3_62
+timestamp 1606941354
+transform 1 0 6808 0 1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_3_66
+timestamp 1606941354
+transform 1 0 7176 0 1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_72
+timestamp 1606941354
+transform 1 0 7728 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nand2_2  _168_
+timestamp 1606941354
+transform 1 0 5428 0 1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_52
+timestamp 1606941354
+transform 1 0 5888 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_27
+timestamp 1606941354
+transform 1 0 3588 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_3_39
+timestamp 1606941354
+transform 1 0 4692 0 1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_3  PHY_6
+timestamp 1606941354
+transform 1 0 1104 0 1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_3
+timestamp 1606941354
+transform 1 0 1380 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_3_15
+timestamp 1606941354
+transform 1 0 2484 0 1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_5
+timestamp 1606941354
+transform -1 0 12328 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_105
+timestamp 1606941354
+transform 1 0 10764 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_2  FILLER_2_117
+timestamp 1606941354
+transform 1 0 11868 0 -1 3808
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_45
+timestamp 1606941354
+transform 1 0 9568 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_80
+timestamp 1606941354
+transform 1 0 8464 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_93
+timestamp 1606941354
+transform 1 0 9660 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _161_
+timestamp 1606941354
+transform 1 0 6808 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _171_
+timestamp 1606941354
+transform 1 0 8004 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_67
+timestamp 1606941354
+transform 1 0 7268 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__nor2_2  _169_
+timestamp 1606941354
+transform 1 0 5612 0 -1 3808
+box -38 -48 498 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_44
+timestamp 1606941354
+transform 1 0 5152 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__fill_1  FILLER_2_48
+timestamp 1606941354
+transform 1 0 5520 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_8  FILLER_2_54
+timestamp 1606941354
+transform 1 0 6072 0 -1 3808
+box -38 -48 774 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_44
+timestamp 1606941354
+transform 1 0 3956 0 -1 3808
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_2_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 3808
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_4
+timestamp 1606941354
+transform 1 0 1104 0 -1 3808
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_2_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 3808
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_1
+timestamp 1606941354
+transform -1 0 12328 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_3
+timestamp 1606941354
+transform -1 0 12328 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_106
+timestamp 1606941354
+transform 1 0 10856 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__fill_1  FILLER_0_118
+timestamp 1606941354
+transform 1 0 11960 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_104
+timestamp 1606941354
+transform 1 0 10672 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  FILLER_1_116
+timestamp 1606941354
+transform 1 0 11776 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_42
+timestamp 1606941354
+transform 1 0 9660 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_87
+timestamp 1606941354
+transform 1 0 9108 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_94
+timestamp 1606941354
+transform 1 0 9752 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_80
+timestamp 1606941354
+transform 1 0 8464 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_92
+timestamp 1606941354
+transform 1 0 9568 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__nor2_2  _170_
+timestamp 1606941354
+transform 1 0 6808 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__nor2_2  _176_
+timestamp 1606941354
+transform 1 0 8004 0 1 2720
+box -38 -48 498 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_41
+timestamp 1606941354
+transform 1 0 6808 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_43
+timestamp 1606941354
+transform 1 0 6716 0 1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_63
+timestamp 1606941354
+transform 1 0 6900 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_75
+timestamp 1606941354
+transform 1 0 8004 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_67
+timestamp 1606941354
+transform 1 0 7268 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_44
+timestamp 1606941354
+transform 1 0 5152 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_6  FILLER_0_56
+timestamp 1606941354
+transform 1 0 6256 0 -1 2720
+box -38 -48 590 592
+use sky130_fd_sc_hd__decap_8  FILLER_1_51
+timestamp 1606941354
+transform 1 0 5796 0 1 2720
+box -38 -48 774 592
+use sky130_fd_sc_hd__fill_2  FILLER_1_59
+timestamp 1606941354
+transform 1 0 6532 0 1 2720
+box -38 -48 222 592
+use sky130_fd_sc_hd__tapvpwrvgnd_1  PHY_40
+timestamp 1606941354
+transform 1 0 3956 0 -1 2720
+box -38 -48 130 592
+use sky130_fd_sc_hd__decap_4  FILLER_0_27
+timestamp 1606941354
+transform 1 0 3588 0 -1 2720
+box -38 -48 406 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_32
+timestamp 1606941354
+transform 1 0 4048 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_27
+timestamp 1606941354
+transform 1 0 3588 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_39
+timestamp 1606941354
+transform 1 0 4692 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_3  PHY_0
+timestamp 1606941354
+transform 1 0 1104 0 -1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_3  PHY_2
+timestamp 1606941354
+transform 1 0 1104 0 1 2720
+box -38 -48 314 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_3
+timestamp 1606941354
+transform 1 0 1380 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_0_15
+timestamp 1606941354
+transform 1 0 2484 0 -1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_3
+timestamp 1606941354
+transform 1 0 1380 0 1 2720
+box -38 -48 1142 592
+use sky130_fd_sc_hd__decap_12  FILLER_1_15
+timestamp 1606941354
+transform 1 0 2484 0 1 2720
+box -38 -48 1142 592
+<< labels >>
+rlabel metal2 s 2502 14842 2558 15642 4 cbitin
+port 1 nsew
+rlabel metal2 s 1306 0 1362 800 4 cbitout
+port 2 nsew
+rlabel metal2 s 1490 14842 1546 15642 4 confclk
+port 3 nsew
+rlabel metal2 s 3974 0 4030 800 4 dempty
+port 4 nsew
+rlabel metal2 s 6642 14842 6698 15642 4 din[0]
+port 5 nsew
+rlabel metal3 s 12698 10888 13498 11008 4 din[1]
+port 6 nsew
+rlabel metal3 s 12698 4632 13498 4752 4 dout[0]
+port 7 nsew
+rlabel metal2 s 9770 14842 9826 15642 4 dout[1]
+port 8 nsew
+rlabel metal2 s 4618 14842 4674 15642 4 hempty
+port 9 nsew
+rlabel metal3 s 0 2592 800 2712 4 lempty
+port 10 nsew
+rlabel metal3 s 0 7760 800 7880 4 lin[0]
+port 11 nsew
+rlabel metal3 s 0 12928 800 13048 4 lin[1]
+port 12 nsew
+rlabel metal2 s 7746 14842 7802 15642 4 lout[0]
+port 13 nsew
+rlabel metal3 s 12698 14016 13498 14136 4 lout[1]
+port 14 nsew
+rlabel metal3 s 12698 1504 13498 1624 4 rempty
+port 15 nsew
+rlabel metal2 s 478 14842 534 15642 4 reset
+port 16 nsew
+rlabel metal3 s 12698 7760 13498 7880 4 rin[0]
+port 17 nsew
+rlabel metal2 s 10874 14842 10930 15642 4 rin[1]
+port 18 nsew
+rlabel metal2 s 8758 14842 8814 15642 4 rout[0]
+port 19 nsew
+rlabel metal2 s 11886 14842 11942 15642 4 rout[1]
+port 20 nsew
+rlabel metal2 s 3514 14842 3570 15642 4 uempty
+port 21 nsew
+rlabel metal2 s 6642 0 6698 800 4 uin[0]
+port 22 nsew
+rlabel metal2 s 12898 14842 12954 15642 4 uin[1]
+port 23 nsew
+rlabel metal2 s 9402 0 9458 800 4 uout[0]
+port 24 nsew
+rlabel metal2 s 12070 0 12126 800 4 uout[1]
+port 25 nsew
+rlabel metal2 s 5630 14842 5686 15642 4 vempty
+port 26 nsew
+rlabel metal4 s 2815 2128 3135 13104 4 VPWR
+port 27 nsew
+rlabel metal4 s 4685 2128 5005 13104 4 VGND
+port 28 nsew
+<< properties >>
+string FIXED_BBOX 0 0 13498 15642
+string GDS_FILE /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.gds
+string GDS_END 532056
+string GDS_START 176590
+<< end >>
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice
new file mode 100644
index 0000000..7a1cc6e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice
@@ -0,0 +1,515 @@
+* NGSPICE file created from ycell.ext - technology: sky130A
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view
+.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
+.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__inv_8 abstract view
+.subckt sky130_fd_sc_hd__inv_8 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
+.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
+.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_4 abstract view
+.subckt sky130_fd_sc_hd__o22a_4 A1 A2 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
+.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view
+.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
+.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
+.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
+.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
+.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a32o_4 abstract view
+.subckt sky130_fd_sc_hd__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_4 abstract view
+.subckt sky130_fd_sc_hd__dfxtp_4 CLK D VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_4 abstract view
+.subckt sky130_fd_sc_hd__or3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_6 abstract view
+.subckt sky130_fd_sc_hd__buf_6 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a211o_4 abstract view
+.subckt sky130_fd_sc_hd__a211o_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_4 abstract view
+.subckt sky130_fd_sc_hd__or2_4 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
+.subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor4_2 abstract view
+.subckt sky130_fd_sc_hd__nor4_2 A B C D VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
+.subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view
+.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and2_2 abstract view
+.subckt sky130_fd_sc_hd__and2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_2 abstract view
+.subckt sky130_fd_sc_hd__and3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_4 abstract view
+.subckt sky130_fd_sc_hd__o21a_4 A1 A2 B1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_4 abstract view
+.subckt sky130_fd_sc_hd__nor2_4 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand3_2 abstract view
+.subckt sky130_fd_sc_hd__nand3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_4 abstract view
+.subckt sky130_fd_sc_hd__and3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_2 abstract view
+.subckt sky130_fd_sc_hd__nor3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+.subckt ycell cbitin cbitout confclk dempty din[0] din[1] dout[0] dout[1] hempty lempty
++ lin[0] lin[1] lout[0] lout[1] rempty reset rin[0] rin[1] rout[0] rout[1] uempty
++ uin[0] uin[1] uout[0] uout[1] vempty VPWR VGND
+XFILLER_9_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_131_ lout[1] VGND VGND VPWR VPWR _132_/C sky130_fd_sc_hd__inv_8
+X_114_ _183_/Q _091_/X VGND VGND VPWR VPWR _114_/X sky130_fd_sc_hd__or2_2
+XFILLER_6_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_130_ _147_/B rout[1] rin[1] _127_/X VGND VGND VPWR VPWR lout[1] sky130_fd_sc_hd__o22a_4
+X_113_ _091_/C _096_/B VGND VGND VPWR VPWR _113_/X sky130_fd_sc_hd__or2_2
+XFILLER_18_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_112_ _112_/A _111_/Y VGND VGND VPWR VPWR uout[0] sky130_fd_sc_hd__nand2_2
+XFILLER_18_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_111_ din[0] _111_/B VGND VGND VPWR VPWR _111_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_110_ _095_/A dout[0] VGND VGND VPWR VPWR _112_/A sky130_fd_sc_hd__nand2_2
+XFILLER_15_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_169_ _080_/B _180_/D VGND VGND VPWR VPWR _169_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_168_ _168_/A _168_/B VGND VGND VPWR VPWR _180_/A sky130_fd_sc_hd__nand2_2
+X_099_ _135_/Y _175_/B _098_/A _172_/A _098_/Y VGND VGND VPWR VPWR dout[1] sky130_fd_sc_hd__a32o_4
+XFILLER_1_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_13_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_184_ confclk _183_/Q VGND VGND VPWR VPWR cbitout sky130_fd_sc_hd__dfxtp_4
+X_098_ _098_/A VGND VGND VPWR VPWR _098_/Y sky130_fd_sc_hd__inv_8
+X_167_ _167_/A lout[1] lout[0] VGND VGND VPWR VPWR _168_/B sky130_fd_sc_hd__or3_4
+XPHY_2 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_183_ confclk _182_/Q VGND VGND VPWR VPWR _183_/Q sky130_fd_sc_hd__dfxtp_4
+X_166_ _166_/A _166_/B VGND VGND VPWR VPWR lout[0] sky130_fd_sc_hd__nand2_2
+X_097_ _097_/A VGND VGND VPWR VPWR _098_/A sky130_fd_sc_hd__buf_6
+X_149_ _166_/A _166_/B _088_/B _148_/Y VGND VGND VPWR VPWR _149_/X sky130_fd_sc_hd__a211o_4
+XPHY_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_182_ confclk cbitin VGND VGND VPWR VPWR _182_/Q sky130_fd_sc_hd__dfxtp_4
+X_165_ _167_/A lin[1] VGND VGND VPWR VPWR _168_/A sky130_fd_sc_hd__nand2_2
+X_096_ cbitout _096_/B VGND VGND VPWR VPWR _097_/A sky130_fd_sc_hd__or2_4
+X_148_ _183_/Q _182_/Q VGND VGND VPWR VPWR _148_/Y sky130_fd_sc_hd__nor2_2
+X_079_ _079_/A _079_/B VGND VGND VPWR VPWR _080_/C sky130_fd_sc_hd__or2_2
+XFILLER_15_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_181_ reset hempty _181_/C VGND VGND VPWR VPWR _181_/X sky130_fd_sc_hd__or3_2
+X_164_ _181_/X _163_/Y VGND VGND VPWR VPWR _080_/A sky130_fd_sc_hd__nor2_2
+X_095_ _095_/A VGND VGND VPWR VPWR _111_/B sky130_fd_sc_hd__inv_8
+XFILLER_19_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_147_ rin[0] _147_/B VGND VGND VPWR VPWR _166_/B sky130_fd_sc_hd__nand2_2
+XFILLER_18_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_13_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_180_ _180_/A _180_/B _179_/Y _180_/D VGND VGND VPWR VPWR _181_/C sky130_fd_sc_hd__nor4_2
+X_163_ _180_/A _080_/A VGND VGND VPWR VPWR _163_/Y sky130_fd_sc_hd__nor2_2
+X_094_ _094_/A VGND VGND VPWR VPWR _095_/A sky130_fd_sc_hd__buf_2
+XFILLER_1_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_146_ _127_/X rout[0] VGND VGND VPWR VPWR _166_/A sky130_fd_sc_hd__nand2_2
+XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_129_ _079_/A _080_/A _089_/A _180_/A _089_/Y VGND VGND VPWR VPWR rout[1] sky130_fd_sc_hd__a32o_4
+XFILLER_12_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_162_ _181_/X _161_/Y VGND VGND VPWR VPWR _079_/A sky130_fd_sc_hd__nor2_2
+X_093_ dempty vempty VGND VGND VPWR VPWR _094_/A sky130_fd_sc_hd__or2_2
+XFILLER_10_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_145_ _144_/X VGND VGND VPWR VPWR rout[0] sky130_fd_sc_hd__buf_1
+XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_128_ _127_/X VGND VGND VPWR VPWR _147_/B sky130_fd_sc_hd__inv_8
+XFILLER_12_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_161_ _079_/A _161_/B VGND VGND VPWR VPWR _161_/Y sky130_fd_sc_hd__nor2_2
+X_092_ _089_/Y _091_/X VGND VGND VPWR VPWR vempty sky130_fd_sc_hd__or2_2
+XFILLER_10_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_144_ _140_/X _144_/B VGND VGND VPWR VPWR _144_/X sky130_fd_sc_hd__and2_2
+XFILLER_10_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_127_ _126_/X VGND VGND VPWR VPWR _127_/X sky130_fd_sc_hd__buf_6
+XFILLER_15_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_160_ _153_/Y _101_/Y VGND VGND VPWR VPWR _161_/B sky130_fd_sc_hd__nor2_2
+X_091_ _091_/A _083_/Y _091_/C VGND VGND VPWR VPWR _091_/X sky130_fd_sc_hd__and3_2
+XFILLER_10_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_143_ _089_/Y _141_/X _143_/C VGND VGND VPWR VPWR _144_/B sky130_fd_sc_hd__or3_2
+XFILLER_19_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_126_ rempty hempty VGND VGND VPWR VPWR _126_/X sky130_fd_sc_hd__or2_4
+XFILLER_16_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_109_ _108_/X VGND VGND VPWR VPWR dout[0] sky130_fd_sc_hd__buf_1
+XFILLER_8_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_090_ _085_/X VGND VGND VPWR VPWR _091_/C sky130_fd_sc_hd__inv_8
+XFILLER_19_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_142_ _080_/A _080_/B _079_/B VGND VGND VPWR VPWR _143_/C sky130_fd_sc_hd__o21a_4
+XFILLER_19_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_125_ _091_/X _098_/Y VGND VGND VPWR VPWR hempty sky130_fd_sc_hd__or2_4
+X_108_ _104_/X _107_/X VGND VGND VPWR VPWR _108_/X sky130_fd_sc_hd__and2_2
+XFILLER_4_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_141_ _079_/A _080_/B VGND VGND VPWR VPWR _141_/X sky130_fd_sc_hd__and2_2
+XFILLER_2_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_124_ _091_/A _182_/Q _085_/X VGND VGND VPWR VPWR _132_/B sky130_fd_sc_hd__and3_2
+XFILLER_7_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_107_ _098_/Y _107_/B _107_/C VGND VGND VPWR VPWR _107_/X sky130_fd_sc_hd__or3_2
+XFILLER_1_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_140_ _089_/A _180_/D VGND VGND VPWR VPWR _140_/X sky130_fd_sc_hd__or2_2
+X_123_ _085_/X _123_/B VGND VGND VPWR VPWR _123_/Y sky130_fd_sc_hd__nor2_2
+X_106_ _105_/B _175_/B _151_/A VGND VGND VPWR VPWR _107_/C sky130_fd_sc_hd__o21a_4
+XFILLER_4_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_122_ _183_/Q _083_/Y VGND VGND VPWR VPWR _123_/B sky130_fd_sc_hd__nor2_2
+XFILLER_16_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_105_ _135_/Y _105_/B VGND VGND VPWR VPWR _107_/B sky130_fd_sc_hd__and2_2
+XFILLER_5_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_70 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_121_ _172_/B VGND VGND VPWR VPWR _121_/Y sky130_fd_sc_hd__inv_8
+X_104_ _098_/A _172_/C VGND VGND VPWR VPWR _104_/X sky130_fd_sc_hd__or2_2
+XFILLER_8_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_60 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_71 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_120_ _173_/X _119_/Y VGND VGND VPWR VPWR _105_/B sky130_fd_sc_hd__nor2_2
+XFILLER_11_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_103_ uin[0] _102_/Y VGND VGND VPWR VPWR _172_/C sky130_fd_sc_hd__and2_2
+XFILLER_5_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_50 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_61 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_72 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_179_ _080_/A _080_/B VGND VGND VPWR VPWR _179_/Y sky130_fd_sc_hd__nor2_2
+X_102_ uempty VGND VGND VPWR VPWR _102_/Y sky130_fd_sc_hd__inv_8
+XFILLER_8_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_17_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_40 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_51 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_62 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_178_ _176_/Y _177_/X VGND VGND VPWR VPWR _172_/B sky130_fd_sc_hd__nor2_4
+X_101_ _096_/B _086_/X uout[1] VGND VGND VPWR VPWR _101_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_8_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_41 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_52 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_63 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_177_ _132_/X _177_/B _149_/X VGND VGND VPWR VPWR _177_/X sky130_fd_sc_hd__and3_4
+X_100_ _111_/B dout[1] din[1] _095_/A VGND VGND VPWR VPWR uout[1] sky130_fd_sc_hd__o22a_4
+XFILLER_14_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_42 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_53 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_64 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_176_ _172_/B _176_/B VGND VGND VPWR VPWR _176_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_159_ _159_/A _159_/B VGND VGND VPWR VPWR _172_/A sky130_fd_sc_hd__or2_2
+XFILLER_8_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_43 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_54 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_65 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_175_ _105_/B _175_/B _177_/B VGND VGND VPWR VPWR _176_/B sky130_fd_sc_hd__nor3_2
+X_158_ _102_/Y uout[1] uout[0] VGND VGND VPWR VPWR _159_/B sky130_fd_sc_hd__nor3_2
+X_089_ _089_/A VGND VGND VPWR VPWR _089_/Y sky130_fd_sc_hd__inv_8
+XFILLER_17_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_44 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_55 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_66 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_174_ _135_/Y _151_/A VGND VGND VPWR VPWR _177_/B sky130_fd_sc_hd__or2_2
+XFILLER_14_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_157_ _102_/Y uin[1] VGND VGND VPWR VPWR _159_/A sky130_fd_sc_hd__and2_2
+X_088_ _083_/Y _088_/B VGND VGND VPWR VPWR _089_/A sky130_fd_sc_hd__nand2_2
+XFILLER_9_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_45 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_56 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_67 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_173_ _091_/C _083_/Y reset _172_/Y VGND VGND VPWR VPWR _173_/X sky130_fd_sc_hd__a211o_4
+XFILLER_11_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_156_ _181_/X _155_/Y VGND VGND VPWR VPWR _079_/B sky130_fd_sc_hd__nor2_2
+X_087_ _091_/A _085_/X VGND VGND VPWR VPWR _088_/B sky130_fd_sc_hd__nor2_2
+X_139_ lin[0] _167_/A VGND VGND VPWR VPWR _180_/D sky130_fd_sc_hd__and2_2
+XFILLER_5_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_57 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_68 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_172_ _172_/A _172_/B _172_/C _171_/Y VGND VGND VPWR VPWR _172_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_3_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_155_ _079_/B _155_/B VGND VGND VPWR VPWR _155_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_086_ _085_/X _182_/Q VGND VGND VPWR VPWR _086_/X sky130_fd_sc_hd__or2_2
+X_138_ lempty VGND VGND VPWR VPWR _167_/A sky130_fd_sc_hd__inv_8
+XFILLER_0_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_47 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_58 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_69 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_171_ _105_/B _175_/B VGND VGND VPWR VPWR _171_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_154_ _153_/Y _117_/C VGND VGND VPWR VPWR _155_/B sky130_fd_sc_hd__nor2_2
+X_085_ cbitout VGND VGND VPWR VPWR _085_/X sky130_fd_sc_hd__buf_6
+XFILLER_19_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_137_ _173_/X _136_/Y VGND VGND VPWR VPWR _175_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_48 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_59 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_170_ _181_/X _169_/Y VGND VGND VPWR VPWR _080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_3_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_084_ _091_/A _083_/Y VGND VGND VPWR VPWR _096_/B sky130_fd_sc_hd__or2_4
+X_153_ _180_/B VGND VGND VPWR VPWR _153_/Y sky130_fd_sc_hd__inv_8
+X_136_ _172_/A _175_/B VGND VGND VPWR VPWR _136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_119_ _105_/B _172_/C VGND VGND VPWR VPWR _119_/Y sky130_fd_sc_hd__nor2_2
+XPHY_49 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_152_ _173_/X _152_/B VGND VGND VPWR VPWR _151_/A sky130_fd_sc_hd__nor2_2
+X_083_ _182_/Q VGND VGND VPWR VPWR _083_/Y sky130_fd_sc_hd__inv_8
+XFILLER_3_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_135_ _173_/X _134_/Y VGND VGND VPWR VPWR _135_/Y sky130_fd_sc_hd__nor2_4
+X_118_ _081_/Y _117_/X VGND VGND VPWR VPWR _180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_6_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_151_ _151_/A _150_/Y VGND VGND VPWR VPWR _152_/B sky130_fd_sc_hd__nor2_2
+XFILLER_10_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_082_ _183_/Q VGND VGND VPWR VPWR _091_/A sky130_fd_sc_hd__inv_8
+XFILLER_0_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_134_ _135_/Y _133_/Y VGND VGND VPWR VPWR _134_/Y sky130_fd_sc_hd__nor2_2
+X_117_ _080_/C _101_/Y _117_/C VGND VGND VPWR VPWR _117_/X sky130_fd_sc_hd__and3_2
+XFILLER_15_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_150_ _121_/Y _149_/X VGND VGND VPWR VPWR _150_/Y sky130_fd_sc_hd__nor2_2
+X_081_ _180_/B _081_/B VGND VGND VPWR VPWR _081_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_133_ _121_/Y _132_/X VGND VGND VPWR VPWR _133_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_116_ uout[0] _116_/B VGND VGND VPWR VPWR _117_/C sky130_fd_sc_hd__nand2_2
+XFILLER_6_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_65 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_080_ _080_/A _080_/B _080_/C VGND VGND VPWR VPWR _081_/B sky130_fd_sc_hd__nor3_2
+XFILLER_5_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_132_ _123_/Y _132_/B _132_/C VGND VGND VPWR VPWR _132_/X sky130_fd_sc_hd__or3_4
+XFILLER_0_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_115_ _113_/X _114_/X VGND VGND VPWR VPWR _116_/B sky130_fd_sc_hd__nand2_2
+XFILLER_15_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+.ends
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/placement/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/placement/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/placement/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/placement/ycell.placement.def b/openlane/morphle_ycell/runs/morphle_ycell/results/placement/ycell.placement.def
new file mode 100644
index 0000000..c8e43f5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/placement/ycell.placement.def
@@ -0,0 +1,371 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+END PINS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/routing/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
new file mode 100644
index 0000000..1255ffa
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
@@ -0,0 +1,2943 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0
+ ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+
+VIAS 5 ;
+- via2_FR 
++ RECT met2 ( -140 -185 ) ( 140 185 ) 
++ RECT via2 ( -100 -100 ) ( 100 100 ) 
++ RECT met3 ( -165 -165 ) ( 165 165 ) 
+ 
+ ;
+- via4_FR 
++ RECT met4 ( -590 -590 ) ( 590 590 ) 
++ RECT via4 ( -400 -400 ) ( 400 400 ) 
++ RECT met5 ( -710 -710 ) ( 710 710 ) 
+ 
+ ;
+- via_1600x480 
+ 
++ VIARULE M1M2_PR
+  + CUTSIZE 150 150
+  + LAYERS met1 via met2
+  + CUTSPACING 170 170
+  + ENCLOSURE 245 165 55 165
+  + ROWCOL 1 4
+ ;
+- via2_1600x480 
+ 
++ VIARULE M2M3_PR
+  + CUTSIZE 200 200
+  + LAYERS met2 via2 met3
+  + CUTSPACING 200 200
+  + ENCLOSURE 40 140 100 65
+  + ROWCOL 1 4
+ ;
+- via3_1600x480 
+ 
++ VIARULE M3M4_PR
+  + CUTSIZE 200 200
+  + LAYERS met3 via3 met4
+  + CUTSPACING 200 200
+  + ENCLOSURE 100 60 100 140
+  + ROWCOL 1 4
+ ;
+END VIAS
+
+COMPONENTS 388 ;
+- _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+- _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+- _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+- _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+- _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+- _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+- _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+- _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+- _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+- _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+- _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+- _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+- _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+- _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+- _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+- _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+- _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+- _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+- _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+- _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+- _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+- _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+- _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+- _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+- _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+- _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+- _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+- _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+- _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+- _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+- _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+- _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+- _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+- _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+- _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+- _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+- _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+- _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+- _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+- _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+- _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+- _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+- _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+- _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+- _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+- _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+- _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+- _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+- _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+- _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+- _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+- _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+- _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+- _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+- _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+- _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+- _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+- _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+- _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+- _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+- _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+- _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+- _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+- _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+- _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+- _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+- _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+- _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+- _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+- _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+- _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+- _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+- _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+- _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+- _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+- _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+- _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+- _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+- _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+- _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+- _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+- _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+- _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+- _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+- _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+- _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+- _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+- _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+- _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+- _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+- _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+- _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+- _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+- _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+- _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+- _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+- _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+- _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+- _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+- _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+- _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+- _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+- _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+- _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+- _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+- _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+- PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+- PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+- PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+- PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+- PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+- PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+- PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+- PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+- PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+- PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+- PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+- PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+- PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+- PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+- PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+- PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+- PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+- PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+- PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+- PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+- PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+- PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+- PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+- PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+- PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+- PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+- PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+- PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+- PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+- PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+- PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+- PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+- PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+- FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+- FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+- FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+- FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+- FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+- FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+- FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+- FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+- FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+- FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+- FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+- FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+- FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+- FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+- FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+- FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+- FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+- FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+- FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+- FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+- FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+- FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+- FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+- FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+- FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+- FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+- FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+- FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+- FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+- FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+- FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+- FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+- FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+- FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+- FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+- FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+- FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+- FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+- FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+- FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+- FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+- FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+- FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+- FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+- FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+- FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+- FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+- FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+- FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+- FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+- FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+- FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+- FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+- FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+- FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+- FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+- FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+- FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+- FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+- FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+- FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+- FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+- FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+- FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+- FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+- FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+- FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+- FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+- FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+- FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+- FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+- FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+- FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+- FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+- FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+- FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+- FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+- FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+- FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+- FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+- FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+- FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+- FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+- FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+- FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+- FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+- FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+- FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+- FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+- FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+- FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+- FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+- FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+- FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+- FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+- FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+- FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+- FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+- FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+- FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+- FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+- FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+- FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+- FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+- FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+- FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+- FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+- FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+- FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+- FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+- FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+- FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+- FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+- FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+- FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+- FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+- FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+- FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+- FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+- FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+- FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+- FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+- FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+- FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+- FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+- FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+- FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+- FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+- FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+- FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+- FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+- FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+- FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+- FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+- FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+- FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+- FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+- FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+- FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+- FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+- FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+- FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+- FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+- FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+- FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+- FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+- FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+- FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+- FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+- FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+- FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+- FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+- FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+- FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+- FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+- FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+- FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+- FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+- FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+- FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+- FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+- FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+- FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+- FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+- FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+- FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+- FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+- FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+- FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+- FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+- FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+- FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+- FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+- FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+- FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+- FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+- FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+- FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+- FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+- FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+- FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+- FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+- FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+- FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+- FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+- FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+- FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+- FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+- FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+- FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+- FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+- FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+- FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+- FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+- FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+- FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+- FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+- FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+- FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+- FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+- FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+- FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+- FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+- FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+- FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+- FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+- FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+
+PINS 28 ;
+- cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 12650 76210 ) N ;
+- cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6670 2000 ) N ;
+- confclk + NET confclk + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 7590 76210 ) N ;
+- dempty + NET dempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 20010 2000 ) N ;
+- din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 76210 ) N ;
+- din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 54740 ) N ;
+- dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 23460 ) N ;
+- dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 48990 76210 ) N ;
+- hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 23230 76210 ) N ;
+- lempty + NET lempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 13260 ) N ;
+- lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 39100 ) N ;
+- lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 64940 ) N ;
+- lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 38870 76210 ) N ;
+- lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 70380 ) N ;
+- rempty + NET rempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 7820 ) N ;
+- reset + NET reset + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 2530 76210 ) N ;
+- rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 39100 ) N ;
+- rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54510 76210 ) N ;
+- rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 43930 76210 ) N ;
+- rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 59570 76210 ) N ;
+- uempty + NET uempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 17710 76210 ) N ;
+- uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 2000 ) N ;
+- uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 64630 76210 ) N ;
+- uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 47150 2000 ) N ;
+- uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 60490 2000 ) N ;
+- vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 28290 76210 ) N ;
+- VPWR + NET VPWR + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 14873 38080 ) N + SPECIAL ;
+- VGND + NET VGND + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 24226 38080 ) N + SPECIAL ;
+END PINS
+
+SPECIALNETS 2 ;
+- VPWR ( PIN VPWR ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) 
+  + USE POWER ;
+- VGND ( PIN VGND ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) 
+  + USE GROUND ;
+END SPECIALNETS
+
+NETS 121 ;
+- cbitin ( PIN cbitin ) ( _182_ D ) 
+  + ROUTED met2 ( 12650 62050 ) ( 12650 74460 0 )
+    NEW met1 ( 20930 63750 ) ( 21850 63750 )
+    NEW met1 ( 12650 62050 ) ( 20930 62050 )
+    NEW met2 ( 20930 62050 ) ( 20930 63750 )
+    NEW met1 ( 12650 62050 ) M1M2_PR
+    NEW met1 ( 20930 63750 ) M1M2_PR
+    NEW li1 ( 21850 63750 ) L1M1_PR_MR
+    NEW met1 ( 20930 62050 ) M1M2_PR
++ USE SIGNAL ;
+- cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) 
+  + ROUTED met1 ( 12195 52870 ) ( 12650 52870 )
+    NEW met1 ( 12650 52190 ) ( 12650 52870 )
+    NEW met1 ( 6670 52190 ) ( 12650 52190 )
+    NEW met1 ( 15870 50490 ) ( 24150 50490 )
+    NEW met2 ( 15870 50490 ) ( 15870 52190 )
+    NEW met1 ( 12650 52190 ) ( 15870 52190 )
+    NEW met2 ( 25990 50490 ) ( 25990 57630 )
+    NEW met2 ( 25530 50490 ) ( 25990 50490 )
+    NEW met1 ( 24150 50490 ) ( 25530 50490 )
+    NEW met2 ( 6670 3740 0 ) ( 6670 52190 )
+    NEW li1 ( 12195 52870 ) L1M1_PR_MR
+    NEW met1 ( 6670 52190 ) M1M2_PR
+    NEW li1 ( 24150 50490 ) L1M1_PR_MR
+    NEW met1 ( 15870 50490 ) M1M2_PR
+    NEW met1 ( 15870 52190 ) M1M2_PR
+    NEW li1 ( 25990 57630 ) L1M1_PR_MR
+    NEW met1 ( 25990 57630 ) M1M2_PR
+    NEW met1 ( 25530 50490 ) M1M2_PR
+    NEW met1 ( 25990 57630 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) 
+  + ROUTED met2 ( 7590 61030 ) ( 7590 74460 0 )
+    NEW met1 ( 20470 61370 ) ( 20930 61370 )
+    NEW met1 ( 19090 58650 ) ( 20010 58650 )
+    NEW met2 ( 20010 58650 ) ( 20010 58820 )
+    NEW met2 ( 20010 58820 ) ( 20470 58820 )
+    NEW met2 ( 20470 58820 ) ( 20470 61370 )
+    NEW met1 ( 20470 61030 ) ( 20470 61370 )
+    NEW met1 ( 7590 61030 ) ( 20470 61030 )
+    NEW met2 ( 20470 61370 ) ( 20470 63750 )
+    NEW met1 ( 7590 61030 ) M1M2_PR
+    NEW li1 ( 20470 63750 ) L1M1_PR_MR
+    NEW met1 ( 20470 63750 ) M1M2_PR
+    NEW li1 ( 20930 61370 ) L1M1_PR_MR
+    NEW met1 ( 20470 61370 ) M1M2_PR
+    NEW li1 ( 19090 58650 ) L1M1_PR_MR
+    NEW met1 ( 20010 58650 ) M1M2_PR
+    NEW met1 ( 20470 63750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- dempty ( PIN dempty ) ( _093_ A ) 
+  + ROUTED met1 ( 20010 20570 ) ( 28290 20570 )
+    NEW met2 ( 28290 20570 ) ( 28290 33830 )
+    NEW met1 ( 28290 33830 ) ( 28290 34170 )
+    NEW met1 ( 27830 34170 ) ( 28290 34170 )
+    NEW met2 ( 20010 3740 0 ) ( 20010 20570 )
+    NEW met1 ( 20010 20570 ) M1M2_PR
+    NEW met1 ( 28290 20570 ) M1M2_PR
+    NEW met1 ( 28290 33830 ) M1M2_PR
+    NEW li1 ( 27830 34170 ) L1M1_PR_MR
++ USE SIGNAL ;
+- din[0] ( PIN din[0] ) ( _111_ A ) 
+  + ROUTED met2 ( 32430 68340 ) ( 33350 68340 )
+    NEW met2 ( 33350 68340 ) ( 33350 74460 0 )
+    NEW met1 ( 32430 56270 ) ( 45770 56270 )
+    NEW met2 ( 45770 28730 ) ( 45770 56270 )
+    NEW met1 ( 42090 28730 ) ( 45770 28730 )
+    NEW met2 ( 32430 56270 ) ( 32430 68340 )
+    NEW met1 ( 32430 56270 ) M1M2_PR
+    NEW met1 ( 45770 56270 ) M1M2_PR
+    NEW met1 ( 45770 28730 ) M1M2_PR
+    NEW li1 ( 42090 28730 ) L1M1_PR_MR
++ USE SIGNAL ;
+- din[1] ( PIN din[1] ) ( _100_ B1 ) 
+  + ROUTED met1 ( 52210 55250 ) ( 52210 55590 )
+    NEW met1 ( 52210 55250 ) ( 53590 55250 )
+    NEW met2 ( 53590 54740 ) ( 53590 55250 )
+    NEW met3 ( 53590 54740 ) ( 63940 54740 0 )
+    NEW li1 ( 52210 55590 ) L1M1_PR_MR
+    NEW met1 ( 53590 55250 ) M1M2_PR
+    NEW met2 ( 53590 54740 ) via2_FR
++ USE SIGNAL ;
+- dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) 
+  + ROUTED met1 ( 54050 38590 ) ( 56350 38590 )
+    NEW met2 ( 54050 23460 ) ( 54050 38590 )
+    NEW met3 ( 54050 23460 ) ( 63940 23460 0 )
+    NEW met1 ( 48990 34170 ) ( 48990 34510 )
+    NEW met1 ( 48990 34510 ) ( 54050 34510 )
+    NEW li1 ( 56350 38590 ) L1M1_PR_MR
+    NEW met1 ( 54050 38590 ) M1M2_PR
+    NEW met2 ( 54050 23460 ) via2_FR
+    NEW li1 ( 48990 34170 ) L1M1_PR_MR
+    NEW met1 ( 54050 34510 ) M1M2_PR
+    NEW met2 ( 54050 34510 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) 
+  + ROUTED met2 ( 53590 55930 ) ( 53590 57970 )
+    NEW met1 ( 36570 57970 ) ( 53590 57970 )
+    NEW met2 ( 48990 57970 ) ( 48990 74460 0 )
+    NEW li1 ( 53590 55930 ) L1M1_PR_MR
+    NEW met1 ( 53590 55930 ) M1M2_PR
+    NEW met1 ( 53590 57970 ) M1M2_PR
+    NEW li1 ( 36570 57970 ) L1M1_PR_MR
+    NEW met1 ( 48990 57970 ) M1M2_PR
+    NEW met1 ( 53590 55930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 57970 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) 
+  + ROUTED met1 ( 43930 45050 ) ( 45310 45050 )
+    NEW met2 ( 45310 39950 ) ( 45310 45050 )
+    NEW met1 ( 45310 39950 ) ( 48530 39950 )
+    NEW met2 ( 7590 49470 ) ( 7590 53550 )
+    NEW met1 ( 7590 53550 ) ( 45310 53550 )
+    NEW met2 ( 23230 73610 ) ( 23230 74460 0 )
+    NEW met1 ( 22770 73610 ) ( 23230 73610 )
+    NEW met2 ( 22770 56610 ) ( 22770 73610 )
+    NEW met2 ( 22770 56610 ) ( 23690 56610 )
+    NEW met2 ( 23690 53550 ) ( 23690 56610 )
+    NEW met2 ( 45310 45050 ) ( 45310 53550 )
+    NEW li1 ( 43930 45050 ) L1M1_PR_MR
+    NEW met1 ( 45310 45050 ) M1M2_PR
+    NEW met1 ( 45310 39950 ) M1M2_PR
+    NEW li1 ( 48530 39950 ) L1M1_PR_MR
+    NEW li1 ( 7590 49470 ) L1M1_PR_MR
+    NEW met1 ( 7590 49470 ) M1M2_PR
+    NEW met1 ( 7590 53550 ) M1M2_PR
+    NEW met1 ( 45310 53550 ) M1M2_PR
+    NEW met1 ( 23230 73610 ) M1M2_PR
+    NEW met1 ( 22770 73610 ) M1M2_PR
+    NEW met1 ( 23690 53550 ) M1M2_PR
+    NEW met1 ( 7590 49470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 23690 53550 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- lempty ( PIN lempty ) ( _138_ A ) 
+  + ROUTED met3 ( 3220 13260 0 ) ( 8970 13260 )
+    NEW met2 ( 8970 13260 ) ( 8970 31110 )
+    NEW met2 ( 8970 13260 ) via2_FR
+    NEW li1 ( 8970 31110 ) L1M1_PR_MR
+    NEW met1 ( 8970 31110 ) M1M2_PR
+    NEW met1 ( 8970 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- lin[0] ( PIN lin[0] ) ( _139_ A ) 
+  + ROUTED met2 ( 15870 39100 ) ( 15870 47770 )
+    NEW met3 ( 3220 39100 0 ) ( 15870 39100 )
+    NEW met1 ( 13110 47770 ) ( 15870 47770 )
+    NEW li1 ( 13110 47770 ) L1M1_PR_MR
+    NEW met2 ( 15870 39100 ) via2_FR
+    NEW met1 ( 15870 47770 ) M1M2_PR
++ USE SIGNAL ;
+- lin[1] ( PIN lin[1] ) ( _165_ B ) 
+  + ROUTED met3 ( 13340 64260 ) ( 13340 64940 )
+    NEW met3 ( 3220 64940 0 ) ( 13340 64940 )
+    NEW met3 ( 13340 64260 ) ( 16790 64260 )
+    NEW met1 ( 14490 28730 ) ( 14490 29070 )
+    NEW met1 ( 14490 29070 ) ( 16790 29070 )
+    NEW met2 ( 16790 29070 ) ( 16790 64260 )
+    NEW met2 ( 16790 64260 ) via2_FR
+    NEW li1 ( 14490 28730 ) L1M1_PR_MR
+    NEW met1 ( 16790 29070 ) M1M2_PR
++ USE SIGNAL ;
+- lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) 
+  + ROUTED met2 ( 44390 19890 ) ( 44390 20740 )
+    NEW met3 ( 44390 20740 ) ( 44620 20740 )
+    NEW met4 ( 44620 20740 ) ( 44620 47260 )
+    NEW met3 ( 43010 47260 ) ( 44620 47260 )
+    NEW met1 ( 37490 49470 ) ( 43010 49470 )
+    NEW met2 ( 37490 49470 ) ( 37490 58650 )
+    NEW met1 ( 37490 58650 ) ( 38870 58650 )
+    NEW met2 ( 38870 58650 ) ( 38870 74460 0 )
+    NEW met2 ( 12190 55930 ) ( 12190 56610 )
+    NEW met1 ( 12190 56610 ) ( 37490 56610 )
+    NEW met2 ( 43010 47260 ) ( 43010 49470 )
+    NEW li1 ( 44390 19890 ) L1M1_PR_MR
+    NEW met1 ( 44390 19890 ) M1M2_PR
+    NEW met2 ( 44390 20740 ) via2_FR
+    NEW met3 ( 44620 20740 ) M3M4_PR_M
+    NEW met3 ( 44620 47260 ) M3M4_PR_M
+    NEW met2 ( 43010 47260 ) via2_FR
+    NEW met1 ( 43010 49470 ) M1M2_PR
+    NEW met1 ( 37490 49470 ) M1M2_PR
+    NEW met1 ( 37490 58650 ) M1M2_PR
+    NEW met1 ( 38870 58650 ) M1M2_PR
+    NEW li1 ( 12190 55930 ) L1M1_PR_MR
+    NEW met1 ( 12190 55930 ) M1M2_PR
+    NEW met1 ( 12190 56610 ) M1M2_PR
+    NEW met1 ( 37490 56610 ) M1M2_PR
+    NEW met1 ( 44390 19890 ) RECT ( -355 -70 0 70 )
+    NEW met3 ( 44390 20740 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 12190 55930 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 37490 56610 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) 
+  + ROUTED met1 ( 12650 55930 ) ( 12650 56270 )
+    NEW met1 ( 48070 63750 ) ( 48990 63750 )
+    NEW met2 ( 48070 63750 ) ( 48070 70380 )
+    NEW met3 ( 48070 70380 ) ( 63940 70380 0 )
+    NEW met1 ( 15410 61370 ) ( 15410 61710 )
+    NEW met1 ( 15410 61710 ) ( 21390 61710 )
+    NEW met1 ( 21390 61710 ) ( 21390 62050 )
+    NEW met1 ( 21390 62050 ) ( 48070 62050 )
+    NEW met2 ( 15870 56270 ) ( 15870 61370 )
+    NEW met1 ( 15410 61370 ) ( 15870 61370 )
+    NEW met1 ( 12650 56270 ) ( 15870 56270 )
+    NEW met2 ( 48070 62050 ) ( 48070 63750 )
+    NEW li1 ( 12650 55930 ) L1M1_PR_MR
+    NEW li1 ( 48990 63750 ) L1M1_PR_MR
+    NEW met1 ( 48070 63750 ) M1M2_PR
+    NEW met2 ( 48070 70380 ) via2_FR
+    NEW li1 ( 15410 61370 ) L1M1_PR_MR
+    NEW met1 ( 48070 62050 ) M1M2_PR
+    NEW met1 ( 15870 56270 ) M1M2_PR
+    NEW met1 ( 15870 61370 ) M1M2_PR
++ USE SIGNAL ;
+- rempty ( PIN rempty ) ( _126_ A ) 
+  + ROUTED met3 ( 55890 7820 ) ( 63940 7820 0 )
+    NEW met1 ( 47610 14110 ) ( 55890 14110 )
+    NEW met2 ( 47610 14110 ) ( 47610 39270 )
+    NEW met1 ( 47610 39270 ) ( 48990 39270 )
+    NEW met1 ( 48990 39270 ) ( 48990 39610 )
+    NEW met1 ( 48990 39610 ) ( 49450 39610 )
+    NEW met2 ( 55890 7820 ) ( 55890 14110 )
+    NEW met2 ( 55890 7820 ) via2_FR
+    NEW met1 ( 55890 14110 ) M1M2_PR
+    NEW met1 ( 47610 14110 ) M1M2_PR
+    NEW met1 ( 47610 39270 ) M1M2_PR
+    NEW li1 ( 49450 39610 ) L1M1_PR_MR
++ USE SIGNAL ;
+- reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) 
+  + ROUTED met1 ( 7590 50150 ) ( 8050 50150 )
+    NEW met1 ( 2530 62050 ) ( 8050 62050 )
+    NEW met2 ( 2530 62050 ) ( 2530 74460 0 )
+    NEW met2 ( 8050 50150 ) ( 8050 65790 )
+    NEW met2 ( 37490 64090 ) ( 37490 65790 )
+    NEW met1 ( 8050 65790 ) ( 37490 65790 )
+    NEW met1 ( 8050 65790 ) M1M2_PR
+    NEW met1 ( 8050 50150 ) M1M2_PR
+    NEW li1 ( 7590 50150 ) L1M1_PR_MR
+    NEW met1 ( 2530 62050 ) M1M2_PR
+    NEW met1 ( 8050 62050 ) M1M2_PR
+    NEW met1 ( 37490 65790 ) M1M2_PR
+    NEW li1 ( 37490 64090 ) L1M1_PR_MR
+    NEW met1 ( 37490 64090 ) M1M2_PR
+    NEW met2 ( 8050 62050 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 37490 64090 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- rin[0] ( PIN rin[0] ) ( _147_ A ) 
+  + ROUTED met1 ( 54970 28730 ) ( 55430 28730 )
+    NEW met2 ( 54970 28730 ) ( 54970 39100 )
+    NEW met3 ( 54970 39100 ) ( 63940 39100 0 )
+    NEW li1 ( 55430 28730 ) L1M1_PR_MR
+    NEW met1 ( 54970 28730 ) M1M2_PR
+    NEW met2 ( 54970 39100 ) via2_FR
++ USE SIGNAL ;
+- rin[1] ( PIN rin[1] ) ( _130_ B1 ) 
+  + ROUTED met1 ( 52670 64090 ) ( 52670 64430 )
+    NEW met1 ( 52670 64430 ) ( 54510 64430 )
+    NEW met2 ( 54510 64430 ) ( 54510 74460 0 )
+    NEW li1 ( 52670 64090 ) L1M1_PR_MR
+    NEW met1 ( 54510 64430 ) M1M2_PR
++ USE SIGNAL ;
+- rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) 
+  + ROUTED met2 ( 43930 64770 ) ( 43930 74460 0 )
+    NEW met1 ( 8050 64770 ) ( 47610 64770 )
+    NEW met1 ( 47610 55590 ) ( 48530 55590 )
+    NEW met2 ( 47610 55590 ) ( 47610 64770 )
+    NEW met3 ( 48530 43180 ) ( 50140 43180 )
+    NEW met4 ( 50140 31620 ) ( 50140 43180 )
+    NEW met3 ( 50140 31620 ) ( 51750 31620 )
+    NEW met2 ( 51750 31110 ) ( 51750 31620 )
+    NEW met1 ( 51750 31110 ) ( 54050 31110 )
+    NEW met2 ( 48530 43180 ) ( 48530 55590 )
+    NEW li1 ( 8050 64770 ) L1M1_PR_MR
+    NEW met1 ( 47610 64770 ) M1M2_PR
+    NEW met1 ( 43930 64770 ) M1M2_PR
+    NEW met1 ( 47610 55590 ) M1M2_PR
+    NEW met1 ( 48530 55590 ) M1M2_PR
+    NEW met2 ( 48530 43180 ) via2_FR
+    NEW met3 ( 50140 43180 ) M3M4_PR_M
+    NEW met3 ( 50140 31620 ) M3M4_PR_M
+    NEW met2 ( 51750 31620 ) via2_FR
+    NEW met1 ( 51750 31110 ) M1M2_PR
+    NEW li1 ( 54050 31110 ) L1M1_PR_MR
+    NEW met1 ( 43930 64770 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) 
+  + ROUTED met1 ( 53590 64770 ) ( 59570 64770 )
+    NEW met2 ( 59570 64770 ) ( 59570 74460 0 )
+    NEW met1 ( 53590 63750 ) ( 53820 63750 )
+    NEW met1 ( 33350 61710 ) ( 53590 61710 )
+    NEW met2 ( 53590 61710 ) ( 53590 64770 )
+    NEW met1 ( 53590 64770 ) M1M2_PR
+    NEW met1 ( 59570 64770 ) M1M2_PR
+    NEW li1 ( 53820 63750 ) L1M1_PR_MR
+    NEW met1 ( 53590 63750 ) M1M2_PR
+    NEW li1 ( 33350 61710 ) L1M1_PR_MR
+    NEW met1 ( 53590 61710 ) M1M2_PR
+    NEW met2 ( 53590 63750 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- uempty ( PIN uempty ) ( _102_ A ) 
+  + ROUTED met1 ( 15410 63750 ) ( 17710 63750 )
+    NEW met2 ( 17710 63750 ) ( 17710 74460 0 )
+    NEW li1 ( 15410 63750 ) L1M1_PR_MR
+    NEW met1 ( 17710 63750 ) M1M2_PR
++ USE SIGNAL ;
+- uin[0] ( PIN uin[0] ) ( _103_ A ) 
+  + ROUTED met2 ( 33350 3740 0 ) ( 33350 9860 )
+    NEW met2 ( 31970 9860 ) ( 33350 9860 )
+    NEW met1 ( 30590 39270 ) ( 31970 39270 )
+    NEW met2 ( 31970 9860 ) ( 31970 39270 )
+    NEW met1 ( 31970 39270 ) M1M2_PR
+    NEW li1 ( 30590 39270 ) L1M1_PR_MR
++ USE SIGNAL ;
+- uin[1] ( PIN uin[1] ) ( _157_ B ) 
+  + ROUTED met1 ( 54970 55250 ) ( 64630 55250 )
+    NEW met2 ( 54970 46750 ) ( 54970 55250 )
+    NEW met2 ( 54510 46750 ) ( 54970 46750 )
+    NEW met2 ( 54510 36550 ) ( 54510 46750 )
+    NEW met1 ( 51750 36550 ) ( 54510 36550 )
+    NEW met2 ( 64630 55250 ) ( 64630 74460 0 )
+    NEW met1 ( 64630 55250 ) M1M2_PR
+    NEW met1 ( 54970 55250 ) M1M2_PR
+    NEW met1 ( 54510 36550 ) M1M2_PR
+    NEW li1 ( 51750 36550 ) L1M1_PR_MR
++ USE SIGNAL ;
+- uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) 
+  + ROUTED met1 ( 48070 32130 ) ( 48530 32130 )
+    NEW met2 ( 48070 32130 ) ( 48070 33660 )
+    NEW met2 ( 48070 33660 ) ( 48990 33660 )
+    NEW met2 ( 48990 33660 ) ( 48990 42330 )
+    NEW met1 ( 48990 42330 ) ( 52670 42330 )
+    NEW met1 ( 39330 25670 ) ( 39330 26010 )
+    NEW met1 ( 39330 26010 ) ( 48530 26010 )
+    NEW met2 ( 48530 26010 ) ( 48530 31620 )
+    NEW met2 ( 48070 31620 ) ( 48530 31620 )
+    NEW met2 ( 48070 31620 ) ( 48070 32130 )
+    NEW met1 ( 47150 20570 ) ( 48530 20570 )
+    NEW met2 ( 48530 20570 ) ( 48530 26010 )
+    NEW met2 ( 47150 3740 0 ) ( 47150 20570 )
+    NEW li1 ( 48530 32130 ) L1M1_PR_MR
+    NEW met1 ( 48070 32130 ) M1M2_PR
+    NEW met1 ( 48990 42330 ) M1M2_PR
+    NEW li1 ( 52670 42330 ) L1M1_PR_MR
+    NEW li1 ( 39330 25670 ) L1M1_PR_MR
+    NEW met1 ( 48530 26010 ) M1M2_PR
+    NEW met1 ( 47150 20570 ) M1M2_PR
+    NEW met1 ( 48530 20570 ) M1M2_PR
++ USE SIGNAL ;
+- uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) 
+  + ROUTED met1 ( 52210 41650 ) ( 52210 41990 )
+    NEW met1 ( 52210 41650 ) ( 60490 41650 )
+    NEW met1 ( 51750 45050 ) ( 54050 45050 )
+    NEW met2 ( 54050 41650 ) ( 54050 45050 )
+    NEW met2 ( 49910 45050 ) ( 49910 55250 )
+    NEW met1 ( 49910 45050 ) ( 51750 45050 )
+    NEW met2 ( 60490 3740 0 ) ( 60490 41650 )
+    NEW li1 ( 52210 41990 ) L1M1_PR_MR
+    NEW met1 ( 60490 41650 ) M1M2_PR
+    NEW li1 ( 51750 45050 ) L1M1_PR_MR
+    NEW met1 ( 54050 45050 ) M1M2_PR
+    NEW met1 ( 54050 41650 ) M1M2_PR
+    NEW li1 ( 49910 55250 ) L1M1_PR_MR
+    NEW met1 ( 49910 55250 ) M1M2_PR
+    NEW met1 ( 49910 45050 ) M1M2_PR
+    NEW met1 ( 54050 41650 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 49910 55250 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) 
+  + ROUTED met2 ( 26910 57460 ) ( 28290 57460 )
+    NEW met2 ( 28290 57460 ) ( 28290 74460 0 )
+    NEW met1 ( 16790 41650 ) ( 19090 41650 )
+    NEW met2 ( 19090 39950 ) ( 19090 41650 )
+    NEW met1 ( 19090 39950 ) ( 26910 39950 )
+    NEW met2 ( 26910 34510 ) ( 26910 57460 )
+    NEW li1 ( 26910 34510 ) L1M1_PR_MR
+    NEW met1 ( 26910 34510 ) M1M2_PR
+    NEW li1 ( 16790 41650 ) L1M1_PR_MR
+    NEW met1 ( 19090 41650 ) M1M2_PR
+    NEW met1 ( 19090 39950 ) M1M2_PR
+    NEW met1 ( 26910 39950 ) M1M2_PR
+    NEW met1 ( 26910 34510 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 26910 39950 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _000_ ( _132_ B ) ( _124_ X ) 
+  + ROUTED met2 ( 35190 42670 ) ( 35190 45220 )
+    NEW met3 ( 31740 45220 ) ( 35190 45220 )
+    NEW met4 ( 31740 45220 ) ( 31740 48620 )
+    NEW met3 ( 25530 48620 ) ( 31740 48620 )
+    NEW met2 ( 25530 48620 ) ( 25530 49470 )
+    NEW met1 ( 15410 49470 ) ( 25530 49470 )
+    NEW li1 ( 35190 42670 ) L1M1_PR_MR
+    NEW met1 ( 35190 42670 ) M1M2_PR
+    NEW met2 ( 35190 45220 ) via2_FR
+    NEW met3 ( 31740 45220 ) M3M4_PR_M
+    NEW met3 ( 31740 48620 ) M3M4_PR_M
+    NEW met2 ( 25530 48620 ) via2_FR
+    NEW met1 ( 25530 49470 ) M1M2_PR
+    NEW li1 ( 15410 49470 ) L1M1_PR_MR
+    NEW met1 ( 35190 42670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _001_ ( _127_ A ) ( _126_ X ) 
+  + ROUTED met2 ( 51290 39950 ) ( 51290 52870 )
+    NEW met1 ( 51290 52870 ) ( 53130 52870 )
+    NEW li1 ( 51290 39950 ) L1M1_PR_MR
+    NEW met1 ( 51290 39950 ) M1M2_PR
+    NEW met1 ( 51290 52870 ) M1M2_PR
+    NEW li1 ( 53130 52870 ) L1M1_PR_MR
+    NEW met1 ( 51290 39950 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) 
+  + ROUTED met1 ( 49450 64090 ) ( 52210 64090 )
+    NEW met1 ( 52210 63750 ) ( 52210 64090 )
+    NEW met2 ( 50830 39100 ) ( 50830 47430 )
+    NEW met2 ( 50830 39100 ) ( 51290 39100 )
+    NEW met2 ( 51290 31450 ) ( 51290 39100 )
+    NEW met1 ( 51290 31450 ) ( 54970 31450 )
+    NEW met1 ( 54970 31110 ) ( 54970 31450 )
+    NEW met1 ( 50830 52530 ) ( 54970 52530 )
+    NEW met2 ( 50830 47430 ) ( 50830 52530 )
+    NEW met1 ( 49450 52530 ) ( 50830 52530 )
+    NEW met2 ( 49450 52530 ) ( 49450 64090 )
+    NEW met1 ( 49450 64090 ) M1M2_PR
+    NEW li1 ( 52210 63750 ) L1M1_PR_MR
+    NEW li1 ( 50830 47430 ) L1M1_PR_MR
+    NEW met1 ( 50830 47430 ) M1M2_PR
+    NEW met1 ( 51290 31450 ) M1M2_PR
+    NEW li1 ( 54970 31110 ) L1M1_PR_MR
+    NEW li1 ( 54970 52530 ) L1M1_PR_MR
+    NEW met1 ( 50830 52530 ) M1M2_PR
+    NEW met1 ( 49450 52530 ) M1M2_PR
+    NEW met1 ( 50830 47430 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) 
+  + ROUTED met2 ( 53590 28730 ) ( 53590 47090 )
+    NEW met1 ( 53590 28730 ) ( 54510 28730 )
+    NEW met2 ( 53590 47090 ) ( 54510 47090 )
+    NEW met2 ( 54510 47090 ) ( 54510 63750 )
+    NEW li1 ( 54510 63750 ) L1M1_PR_MR
+    NEW met1 ( 54510 63750 ) M1M2_PR
+    NEW li1 ( 53590 47090 ) L1M1_PR_MR
+    NEW met1 ( 53590 47090 ) M1M2_PR
+    NEW met1 ( 53590 28730 ) M1M2_PR
+    NEW li1 ( 54510 28730 ) L1M1_PR_MR
+    NEW met1 ( 54510 63750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 53590 47090 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _004_ ( _132_ C ) ( _131_ Y ) 
+  + ROUTED met1 ( 31050 41990 ) ( 34730 41990 )
+    NEW met2 ( 31050 41990 ) ( 31050 47770 )
+    NEW met1 ( 31050 47770 ) ( 31050 48450 )
+    NEW met1 ( 18170 48450 ) ( 31050 48450 )
+    NEW met2 ( 18170 48450 ) ( 18170 60350 )
+    NEW met1 ( 14490 60350 ) ( 18170 60350 )
+    NEW li1 ( 34730 41990 ) L1M1_PR_MR
+    NEW met1 ( 31050 41990 ) M1M2_PR
+    NEW met1 ( 31050 47770 ) M1M2_PR
+    NEW met1 ( 18170 48450 ) M1M2_PR
+    NEW met1 ( 18170 60350 ) M1M2_PR
+    NEW li1 ( 14490 60350 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) 
+  + ROUTED met1 ( 37030 38590 ) ( 37950 38590 )
+    NEW met2 ( 37950 23290 ) ( 37950 38590 )
+    NEW met1 ( 37950 41650 ) ( 38410 41650 )
+    NEW met2 ( 37950 38590 ) ( 37950 41650 )
+    NEW li1 ( 37030 38590 ) L1M1_PR_MR
+    NEW met1 ( 37950 38590 ) M1M2_PR
+    NEW li1 ( 37950 23290 ) L1M1_PR_MR
+    NEW met1 ( 37950 23290 ) M1M2_PR
+    NEW li1 ( 38410 41650 ) L1M1_PR_MR
+    NEW met1 ( 37950 41650 ) M1M2_PR
+    NEW met1 ( 37950 23290 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _006_ ( _134_ B ) ( _133_ Y ) 
+  + ROUTED met1 ( 38410 23970 ) ( 44850 23970 )
+    NEW met2 ( 44850 23970 ) ( 44850 25670 )
+    NEW li1 ( 38410 23970 ) L1M1_PR_MR
+    NEW met1 ( 44850 23970 ) M1M2_PR
+    NEW li1 ( 44850 25670 ) L1M1_PR_MR
+    NEW met1 ( 44850 25670 ) M1M2_PR
+    NEW met1 ( 44850 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _007_ ( _135_ B ) ( _134_ Y ) 
+  + ROUTED met2 ( 43470 37060 ) ( 43930 37060 )
+    NEW met2 ( 43470 36380 ) ( 43470 37060 )
+    NEW met3 ( 43470 36380 ) ( 44390 36380 )
+    NEW met3 ( 44390 33660 ) ( 44390 36380 )
+    NEW met2 ( 44390 26350 ) ( 44390 33660 )
+    NEW met1 ( 44390 26350 ) ( 45310 26350 )
+    NEW met1 ( 20930 53210 ) ( 43930 53210 )
+    NEW met1 ( 20930 52870 ) ( 20930 53210 )
+    NEW met2 ( 43930 37060 ) ( 43930 53210 )
+    NEW met2 ( 43470 36380 ) via2_FR
+    NEW met2 ( 44390 33660 ) via2_FR
+    NEW met1 ( 44390 26350 ) M1M2_PR
+    NEW li1 ( 45310 26350 ) L1M1_PR_MR
+    NEW met1 ( 43930 53210 ) M1M2_PR
+    NEW li1 ( 20930 52870 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _008_ ( _137_ B ) ( _136_ Y ) 
+  + ROUTED met1 ( 17250 36550 ) ( 17250 37230 )
+    NEW met1 ( 17250 37230 ) ( 29210 37230 )
+    NEW met2 ( 29210 37060 ) ( 29210 37230 )
+    NEW met2 ( 29210 37060 ) ( 29670 37060 )
+    NEW met2 ( 29670 28050 ) ( 29670 37060 )
+    NEW met1 ( 29670 28050 ) ( 35650 28050 )
+    NEW met1 ( 35650 28050 ) ( 35650 29410 )
+    NEW met1 ( 35650 29410 ) ( 48990 29410 )
+    NEW li1 ( 17250 36550 ) L1M1_PR_MR
+    NEW met1 ( 29210 37230 ) M1M2_PR
+    NEW met1 ( 29670 28050 ) M1M2_PR
+    NEW li1 ( 48990 29410 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) 
+  + ROUTED met2 ( 13110 47090 ) ( 13110 55930 )
+    NEW met1 ( 13110 55930 ) ( 13340 55930 )
+    NEW met1 ( 12190 31450 ) ( 13110 31450 )
+    NEW met2 ( 13110 31450 ) ( 13110 47090 )
+    NEW met2 ( 13110 28390 ) ( 13110 31450 )
+    NEW met1 ( 14030 47090 ) ( 14030 47430 )
+    NEW met1 ( 14950 28390 ) ( 14950 28730 )
+    NEW met1 ( 13110 28390 ) ( 14950 28390 )
+    NEW met1 ( 13110 47090 ) ( 14030 47090 )
+    NEW met1 ( 13110 47090 ) M1M2_PR
+    NEW met1 ( 13110 55930 ) M1M2_PR
+    NEW li1 ( 13340 55930 ) L1M1_PR_MR
+    NEW li1 ( 12190 31450 ) L1M1_PR_MR
+    NEW met1 ( 13110 31450 ) M1M2_PR
+    NEW met1 ( 13110 28390 ) M1M2_PR
+    NEW li1 ( 14030 47430 ) L1M1_PR_MR
+    NEW li1 ( 14950 28730 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) 
+  + ROUTED met1 ( 22310 55590 ) ( 30130 55590 )
+    NEW met1 ( 30130 55590 ) ( 30130 55930 )
+    NEW met2 ( 27370 18190 ) ( 27370 28730 )
+    NEW met1 ( 27370 18190 ) ( 29210 18190 )
+    NEW met1 ( 29210 17850 ) ( 29210 18190 )
+    NEW met1 ( 22310 46750 ) ( 25530 46750 )
+    NEW met2 ( 25530 28730 ) ( 25530 46750 )
+    NEW met1 ( 25530 28730 ) ( 27370 28730 )
+    NEW met1 ( 14950 46750 ) ( 22310 46750 )
+    NEW met2 ( 22310 46750 ) ( 22310 55590 )
+    NEW met1 ( 22310 55590 ) M1M2_PR
+    NEW li1 ( 30130 55930 ) L1M1_PR_MR
+    NEW li1 ( 27370 28730 ) L1M1_PR_MR
+    NEW met1 ( 27370 28730 ) M1M2_PR
+    NEW met1 ( 27370 18190 ) M1M2_PR
+    NEW li1 ( 29210 17850 ) L1M1_PR_MR
+    NEW met1 ( 22310 46750 ) M1M2_PR
+    NEW met1 ( 25530 46750 ) M1M2_PR
+    NEW met1 ( 25530 28730 ) M1M2_PR
+    NEW li1 ( 14950 46750 ) L1M1_PR_MR
+    NEW met1 ( 27370 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _011_ ( _144_ A ) ( _140_ X ) 
+  + ROUTED met2 ( 29210 29070 ) ( 29210 31110 )
+    NEW met1 ( 29210 31110 ) ( 35650 31110 )
+    NEW li1 ( 29210 29070 ) L1M1_PR_MR
+    NEW met1 ( 29210 29070 ) M1M2_PR
+    NEW met1 ( 29210 31110 ) M1M2_PR
+    NEW li1 ( 35650 31110 ) L1M1_PR_MR
+    NEW met1 ( 29210 29070 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _012_ ( _143_ B ) ( _141_ X ) 
+  + ROUTED met1 ( 21850 40290 ) ( 25990 40290 )
+    NEW met2 ( 21850 40290 ) ( 21850 43010 )
+    NEW li1 ( 25990 40290 ) L1M1_PR_MR
+    NEW met1 ( 21850 40290 ) M1M2_PR
+    NEW li1 ( 21850 43010 ) L1M1_PR_MR
+    NEW met1 ( 21850 43010 ) M1M2_PR
+    NEW met1 ( 21850 43010 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _013_ ( _143_ C ) ( _142_ X ) 
+  + ROUTED met1 ( 20930 41650 ) ( 20930 41990 )
+    NEW met1 ( 20930 41650 ) ( 23690 41650 )
+    NEW met2 ( 23690 41650 ) ( 23690 44710 )
+    NEW met1 ( 23690 44710 ) ( 30130 44710 )
+    NEW met2 ( 30130 44710 ) ( 30130 50490 )
+    NEW met1 ( 30130 50490 ) ( 31510 50490 )
+    NEW li1 ( 20930 41990 ) L1M1_PR_MR
+    NEW met1 ( 23690 41650 ) M1M2_PR
+    NEW met1 ( 23690 44710 ) M1M2_PR
+    NEW met1 ( 30130 44710 ) M1M2_PR
+    NEW met1 ( 30130 50490 ) M1M2_PR
+    NEW li1 ( 31510 50490 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _014_ ( _144_ B ) ( _143_ X ) 
+  + ROUTED met2 ( 36570 31110 ) ( 36570 42330 )
+    NEW met1 ( 31970 42330 ) ( 36570 42330 )
+    NEW met1 ( 31970 42330 ) ( 31970 42670 )
+    NEW met1 ( 23230 42670 ) ( 31970 42670 )
+    NEW met1 ( 23230 42670 ) ( 23230 43010 )
+    NEW met1 ( 22770 43010 ) ( 23230 43010 )
+    NEW li1 ( 36570 31110 ) L1M1_PR_MR
+    NEW met1 ( 36570 31110 ) M1M2_PR
+    NEW met1 ( 36570 42330 ) M1M2_PR
+    NEW li1 ( 22770 43010 ) L1M1_PR_MR
+    NEW met1 ( 36570 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _015_ ( _145_ A ) ( _144_ X ) 
+  + ROUTED met2 ( 7130 63580 ) ( 7130 63750 )
+    NEW met3 ( 7130 63580 ) ( 38180 63580 )
+    NEW met2 ( 37490 32130 ) ( 37490 32300 )
+    NEW met3 ( 37490 32300 ) ( 38180 32300 )
+    NEW met4 ( 38180 32300 ) ( 38180 63580 )
+    NEW met2 ( 7130 63580 ) via2_FR
+    NEW li1 ( 7130 63750 ) L1M1_PR_MR
+    NEW met1 ( 7130 63750 ) M1M2_PR
+    NEW met3 ( 38180 63580 ) M3M4_PR_M
+    NEW li1 ( 37490 32130 ) L1M1_PR_MR
+    NEW met1 ( 37490 32130 ) M1M2_PR
+    NEW met2 ( 37490 32300 ) via2_FR
+    NEW met3 ( 38180 32300 ) M3M4_PR_M
+    NEW met1 ( 7130 63750 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 37490 32130 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) 
+  + ROUTED met1 ( 47150 31790 ) ( 54510 31790 )
+    NEW met2 ( 47150 31790 ) ( 47150 39780 )
+    NEW met2 ( 47150 39780 ) ( 47610 39780 )
+    NEW met2 ( 47610 39780 ) ( 47610 54910 )
+    NEW met1 ( 47610 54910 ) ( 47610 55250 )
+    NEW met1 ( 40250 55250 ) ( 47610 55250 )
+    NEW met1 ( 40250 55250 ) ( 40250 55930 )
+    NEW met1 ( 43930 20230 ) ( 45310 20230 )
+    NEW met2 ( 45310 20230 ) ( 45310 31790 )
+    NEW met1 ( 45310 31790 ) ( 47150 31790 )
+    NEW li1 ( 54510 31790 ) L1M1_PR_MR
+    NEW met1 ( 47150 31790 ) M1M2_PR
+    NEW met1 ( 47610 54910 ) M1M2_PR
+    NEW li1 ( 40250 55930 ) L1M1_PR_MR
+    NEW li1 ( 43930 20230 ) L1M1_PR_MR
+    NEW met1 ( 45310 20230 ) M1M2_PR
+    NEW met1 ( 45310 31790 ) M1M2_PR
++ USE SIGNAL ;
+- _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) 
+  + ROUTED met1 ( 43010 20230 ) ( 43010 20570 )
+    NEW met1 ( 43010 20570 ) ( 46690 20570 )
+    NEW met2 ( 46690 20570 ) ( 46690 55930 )
+    NEW met1 ( 41170 55930 ) ( 46690 55930 )
+    NEW met1 ( 46690 28050 ) ( 54970 28050 )
+    NEW li1 ( 43010 20230 ) L1M1_PR_MR
+    NEW met1 ( 46690 20570 ) M1M2_PR
+    NEW met1 ( 46690 55930 ) M1M2_PR
+    NEW li1 ( 41170 55930 ) L1M1_PR_MR
+    NEW li1 ( 54970 28050 ) L1M1_PR_MR
+    NEW met1 ( 46690 28050 ) M1M2_PR
+    NEW met2 ( 46690 28050 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _018_ ( _149_ C1 ) ( _148_ Y ) 
+  + ROUTED met1 ( 29670 26010 ) ( 34730 26010 )
+    NEW met1 ( 34730 48450 ) ( 37030 48450 )
+    NEW met2 ( 37030 48450 ) ( 37030 55930 )
+    NEW met1 ( 37030 55930 ) ( 38410 55930 )
+    NEW met2 ( 34730 26010 ) ( 34730 48450 )
+    NEW li1 ( 29670 26010 ) L1M1_PR_MR
+    NEW met1 ( 34730 26010 ) M1M2_PR
+    NEW met1 ( 34730 48450 ) M1M2_PR
+    NEW met1 ( 37030 48450 ) M1M2_PR
+    NEW met1 ( 37030 55930 ) M1M2_PR
+    NEW li1 ( 38410 55930 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) 
+  + ROUTED met2 ( 38410 39610 ) ( 38410 55250 )
+    NEW met1 ( 35650 55250 ) ( 38410 55250 )
+    NEW met1 ( 37950 20230 ) ( 38410 20230 )
+    NEW met2 ( 38410 20230 ) ( 38410 39610 )
+    NEW li1 ( 38410 39610 ) L1M1_PR_MR
+    NEW met1 ( 38410 39610 ) M1M2_PR
+    NEW met1 ( 38410 55250 ) M1M2_PR
+    NEW li1 ( 35650 55250 ) L1M1_PR_MR
+    NEW li1 ( 37950 20230 ) L1M1_PR_MR
+    NEW met1 ( 38410 20230 ) M1M2_PR
+    NEW met1 ( 38410 39610 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- _020_ ( _151_ B ) ( _150_ Y ) 
+  + ROUTED met1 ( 23230 30430 ) ( 23230 31110 )
+    NEW met1 ( 23230 30430 ) ( 37030 30430 )
+    NEW met2 ( 37030 19550 ) ( 37030 30430 )
+    NEW li1 ( 23230 31110 ) L1M1_PR_MR
+    NEW met1 ( 37030 30430 ) M1M2_PR
+    NEW li1 ( 37030 19550 ) L1M1_PR_MR
+    NEW met1 ( 37030 19550 ) M1M2_PR
+    NEW met1 ( 37030 19550 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _021_ ( _152_ B ) ( _151_ Y ) 
+  + ROUTED met1 ( 15410 34170 ) ( 15870 34170 )
+    NEW met2 ( 15870 31790 ) ( 15870 34170 )
+    NEW met1 ( 15870 31790 ) ( 23230 31790 )
+    NEW li1 ( 15410 34170 ) L1M1_PR_MR
+    NEW met1 ( 15870 34170 ) M1M2_PR
+    NEW met1 ( 15870 31790 ) M1M2_PR
+    NEW li1 ( 23230 31790 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) 
+  + ROUTED met2 ( 8510 39610 ) ( 8510 39780 )
+    NEW met3 ( 8510 39780 ) ( 28290 39780 )
+    NEW met2 ( 28290 39780 ) ( 28290 46750 )
+    NEW met1 ( 8510 36550 ) ( 9890 36550 )
+    NEW met2 ( 8510 36550 ) ( 8510 39610 )
+    NEW li1 ( 8510 39610 ) L1M1_PR_MR
+    NEW met1 ( 8510 39610 ) M1M2_PR
+    NEW met2 ( 8510 39780 ) via2_FR
+    NEW met2 ( 28290 39780 ) via2_FR
+    NEW li1 ( 28290 46750 ) L1M1_PR_MR
+    NEW met1 ( 28290 46750 ) M1M2_PR
+    NEW li1 ( 9890 36550 ) L1M1_PR_MR
+    NEW met1 ( 8510 36550 ) M1M2_PR
+    NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 28290 46750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _023_ ( _155_ B ) ( _154_ Y ) 
+  + ROUTED met2 ( 22770 23630 ) ( 22770 39270 )
+    NEW met1 ( 22770 23630 ) ( 31970 23630 )
+    NEW met1 ( 31970 23290 ) ( 31970 23630 )
+    NEW met1 ( 9890 39270 ) ( 22770 39270 )
+    NEW li1 ( 9890 39270 ) L1M1_PR_MR
+    NEW met1 ( 22770 39270 ) M1M2_PR
+    NEW met1 ( 22770 23630 ) M1M2_PR
+    NEW li1 ( 31970 23290 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _024_ ( _156_ B ) ( _155_ Y ) 
+  + ROUTED met1 ( 22770 28730 ) ( 22770 29070 )
+    NEW met1 ( 22770 29070 ) ( 27830 29070 )
+    NEW met2 ( 27830 23970 ) ( 27830 29070 )
+    NEW met1 ( 27830 23970 ) ( 31510 23970 )
+    NEW li1 ( 22770 28730 ) L1M1_PR_MR
+    NEW met1 ( 27830 29070 ) M1M2_PR
+    NEW met1 ( 27830 23970 ) M1M2_PR
+    NEW li1 ( 31510 23970 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _025_ ( _159_ A ) ( _157_ X ) 
+  + ROUTED met2 ( 50830 25670 ) ( 50830 35870 )
+    NEW met1 ( 50830 35870 ) ( 52670 35870 )
+    NEW li1 ( 50830 25670 ) L1M1_PR_MR
+    NEW met1 ( 50830 25670 ) M1M2_PR
+    NEW met1 ( 50830 35870 ) M1M2_PR
+    NEW li1 ( 52670 35870 ) L1M1_PR_MR
+    NEW met1 ( 50830 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _026_ ( _159_ B ) ( _158_ Y ) 
+  + ROUTED met1 ( 49910 25330 ) ( 50370 25330 )
+    NEW met2 ( 50370 25330 ) ( 50370 41310 )
+    NEW met1 ( 50370 41310 ) ( 50830 41310 )
+    NEW li1 ( 49910 25330 ) L1M1_PR_MR
+    NEW met1 ( 50370 25330 ) M1M2_PR
+    NEW met1 ( 50370 41310 ) M1M2_PR
+    NEW li1 ( 50830 41310 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _027_ ( _161_ B ) ( _160_ Y ) 
+  + ROUTED met2 ( 11730 36210 ) ( 11730 36380 )
+    NEW met2 ( 35190 17850 ) ( 35190 36380 )
+    NEW met3 ( 11730 36380 ) ( 35190 36380 )
+    NEW li1 ( 11730 36210 ) L1M1_PR_MR
+    NEW met1 ( 11730 36210 ) M1M2_PR
+    NEW met2 ( 11730 36380 ) via2_FR
+    NEW met2 ( 35190 36380 ) via2_FR
+    NEW li1 ( 35190 17850 ) L1M1_PR_MR
+    NEW met1 ( 35190 17850 ) M1M2_PR
+    NEW met1 ( 11730 36210 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35190 17850 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _028_ ( _162_ B ) ( _161_ Y ) 
+  + ROUTED met1 ( 26450 23290 ) ( 27830 23290 )
+    NEW met2 ( 27830 18530 ) ( 27830 23290 )
+    NEW met1 ( 27830 18530 ) ( 34730 18530 )
+    NEW li1 ( 26450 23290 ) L1M1_PR_MR
+    NEW met1 ( 27830 23290 ) M1M2_PR
+    NEW met1 ( 27830 18530 ) M1M2_PR
+    NEW li1 ( 34730 18530 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _029_ ( _164_ B ) ( _163_ Y ) 
+  + ROUTED met1 ( 9430 33830 ) ( 9430 34170 )
+    NEW met2 ( 23230 26350 ) ( 23230 33830 )
+    NEW met1 ( 9430 33830 ) ( 23230 33830 )
+    NEW li1 ( 9430 34170 ) L1M1_PR_MR
+    NEW met1 ( 23230 33830 ) M1M2_PR
+    NEW li1 ( 23230 26350 ) L1M1_PR_MR
+    NEW met1 ( 23230 26350 ) M1M2_PR
+    NEW met1 ( 23230 26350 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _030_ ( _168_ A ) ( _165_ Y ) 
+  + ROUTED met1 ( 15870 28390 ) ( 28750 28390 )
+    NEW met2 ( 28750 20230 ) ( 28750 28390 )
+    NEW li1 ( 15870 28390 ) L1M1_PR_MR
+    NEW met1 ( 28750 28390 ) M1M2_PR
+    NEW li1 ( 28750 20230 ) L1M1_PR_MR
+    NEW met1 ( 28750 20230 ) M1M2_PR
+    NEW met1 ( 28750 20230 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _031_ ( _168_ B ) ( _167_ X ) 
+  + ROUTED met1 ( 27830 19890 ) ( 27830 20230 )
+    NEW met1 ( 27830 19890 ) ( 28290 19890 )
+    NEW met1 ( 28290 19550 ) ( 28290 19890 )
+    NEW met1 ( 28290 19550 ) ( 31510 19550 )
+    NEW met2 ( 31510 19550 ) ( 31510 37570 )
+    NEW met1 ( 30130 37570 ) ( 31510 37570 )
+    NEW met3 ( 17710 43860 ) ( 30130 43860 )
+    NEW met2 ( 17710 43860 ) ( 17710 55590 )
+    NEW met1 ( 15870 55590 ) ( 17710 55590 )
+    NEW met2 ( 30130 37570 ) ( 30130 43860 )
+    NEW li1 ( 27830 20230 ) L1M1_PR_MR
+    NEW met1 ( 31510 19550 ) M1M2_PR
+    NEW met1 ( 31510 37570 ) M1M2_PR
+    NEW met1 ( 30130 37570 ) M1M2_PR
+    NEW met2 ( 30130 43860 ) via2_FR
+    NEW met2 ( 17710 43860 ) via2_FR
+    NEW met1 ( 17710 55590 ) M1M2_PR
+    NEW li1 ( 15870 55590 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _032_ ( _170_ B ) ( _169_ Y ) 
+  + ROUTED met2 ( 35190 14790 ) ( 35190 17170 )
+    NEW met1 ( 29670 17170 ) ( 35190 17170 )
+    NEW li1 ( 35190 14790 ) L1M1_PR_MR
+    NEW met1 ( 35190 14790 ) M1M2_PR
+    NEW met1 ( 35190 17170 ) M1M2_PR
+    NEW li1 ( 29670 17170 ) L1M1_PR_MR
+    NEW met1 ( 35190 14790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _033_ ( _172_ D ) ( _171_ Y ) 
+  + ROUTED met1 ( 51750 58310 ) ( 54050 58310 )
+    NEW met2 ( 56350 18190 ) ( 56350 47770 )
+    NEW met1 ( 54050 47770 ) ( 56350 47770 )
+    NEW met1 ( 42090 18190 ) ( 56350 18190 )
+    NEW met2 ( 54050 47770 ) ( 54050 58310 )
+    NEW li1 ( 42090 18190 ) L1M1_PR_MR
+    NEW met1 ( 54050 58310 ) M1M2_PR
+    NEW li1 ( 51750 58310 ) L1M1_PR_MR
+    NEW met1 ( 56350 18190 ) M1M2_PR
+    NEW met1 ( 56350 47770 ) M1M2_PR
+    NEW met1 ( 54050 47770 ) M1M2_PR
++ USE SIGNAL ;
+- _034_ ( _173_ C1 ) ( _172_ Y ) 
+  + ROUTED met2 ( 48530 57630 ) ( 48530 63410 )
+    NEW met1 ( 37950 63410 ) ( 37950 63750 )
+    NEW met1 ( 37950 63410 ) ( 48530 63410 )
+    NEW met1 ( 48530 63410 ) M1M2_PR
+    NEW li1 ( 48530 57630 ) L1M1_PR_MR
+    NEW met1 ( 48530 57630 ) M1M2_PR
+    NEW li1 ( 37950 63750 ) L1M1_PR_MR
+    NEW met1 ( 48530 57630 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) 
+  + ROUTED met1 ( 39330 36890 ) ( 49910 36890 )
+    NEW met2 ( 49910 23630 ) ( 49910 36890 )
+    NEW met1 ( 49910 23630 ) ( 50370 23630 )
+    NEW met1 ( 37950 39270 ) ( 37950 39610 )
+    NEW met1 ( 37950 39270 ) ( 39330 39270 )
+    NEW met2 ( 39330 36890 ) ( 39330 39270 )
+    NEW li1 ( 39330 36890 ) L1M1_PR_MR
+    NEW met1 ( 49910 36890 ) M1M2_PR
+    NEW met1 ( 49910 23630 ) M1M2_PR
+    NEW li1 ( 50370 23630 ) L1M1_PR_MR
+    NEW li1 ( 37950 39610 ) L1M1_PR_MR
+    NEW met1 ( 39330 39270 ) M1M2_PR
+    NEW met1 ( 39330 36890 ) M1M2_PR
+    NEW met1 ( 39330 36890 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _036_ ( _176_ B ) ( _175_ Y ) 
+  + ROUTED met1 ( 40250 36210 ) ( 41170 36210 )
+    NEW met2 ( 41170 14790 ) ( 41170 36210 )
+    NEW li1 ( 40250 36210 ) L1M1_PR_MR
+    NEW met1 ( 41170 36210 ) M1M2_PR
+    NEW li1 ( 41170 14790 ) L1M1_PR_MR
+    NEW met1 ( 41170 14790 ) M1M2_PR
+    NEW met1 ( 41170 14790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _037_ ( _178_ A ) ( _176_ Y ) 
+  + ROUTED met2 ( 41630 15470 ) ( 41630 41990 )
+    NEW met1 ( 41630 41990 ) ( 42550 41990 )
+    NEW li1 ( 41630 15470 ) L1M1_PR_MR
+    NEW met1 ( 41630 15470 ) M1M2_PR
+    NEW met1 ( 41630 41990 ) M1M2_PR
+    NEW li1 ( 42550 41990 ) L1M1_PR_MR
+    NEW met1 ( 41630 15470 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _038_ ( _178_ B ) ( _177_ X ) 
+  + ROUTED met2 ( 40710 39950 ) ( 40710 41650 )
+    NEW met1 ( 40710 41650 ) ( 44850 41650 )
+    NEW met1 ( 44850 41650 ) ( 44850 41990 )
+    NEW li1 ( 40710 39950 ) L1M1_PR_MR
+    NEW met1 ( 40710 39950 ) M1M2_PR
+    NEW met1 ( 40710 41650 ) M1M2_PR
+    NEW li1 ( 44850 41990 ) L1M1_PR_MR
+    NEW met1 ( 40710 39950 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _039_ ( _180_ C ) ( _179_ Y ) 
+  + ROUTED met1 ( 17710 25330 ) ( 31050 25330 )
+    NEW met2 ( 31050 25330 ) ( 31050 38590 )
+    NEW met1 ( 29670 38590 ) ( 31050 38590 )
+    NEW met2 ( 29670 38590 ) ( 29670 55930 )
+    NEW li1 ( 17710 25330 ) L1M1_PR_MR
+    NEW met1 ( 31050 25330 ) M1M2_PR
+    NEW met1 ( 31050 38590 ) M1M2_PR
+    NEW met1 ( 29670 38590 ) M1M2_PR
+    NEW li1 ( 29670 55930 ) L1M1_PR_MR
+    NEW met1 ( 29670 55930 ) M1M2_PR
+    NEW met1 ( 29670 55930 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _040_ ( _181_ C ) ( _180_ Y ) 
+  + ROUTED met2 ( 7130 50490 ) ( 7130 55250 )
+    NEW met1 ( 7130 55250 ) ( 31050 55250 )
+    NEW met1 ( 31050 55250 ) ( 31050 55590 )
+    NEW li1 ( 7130 50490 ) L1M1_PR_MR
+    NEW met1 ( 7130 50490 ) M1M2_PR
+    NEW met1 ( 7130 55250 ) M1M2_PR
+    NEW li1 ( 31050 55590 ) L1M1_PR_MR
+    NEW met1 ( 7130 50490 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) 
+  + ROUTED met1 ( 27370 41650 ) ( 27830 41650 )
+    NEW met1 ( 22310 45390 ) ( 27830 45390 )
+    NEW met3 ( 27830 49300 ) ( 27830 49980 )
+    NEW met3 ( 27830 49980 ) ( 43010 49980 )
+    NEW met2 ( 43010 49980 ) ( 43010 50150 )
+    NEW met2 ( 27830 41650 ) ( 27830 49300 )
+    NEW li1 ( 27370 41650 ) L1M1_PR_MR
+    NEW met1 ( 27830 41650 ) M1M2_PR
+    NEW li1 ( 22310 45390 ) L1M1_PR_MR
+    NEW met1 ( 27830 45390 ) M1M2_PR
+    NEW met2 ( 27830 49300 ) via2_FR
+    NEW met2 ( 43010 49980 ) via2_FR
+    NEW li1 ( 43010 50150 ) L1M1_PR_MR
+    NEW met1 ( 43010 50150 ) M1M2_PR
+    NEW met2 ( 27830 45390 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 43010 50150 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _042_ ( _081_ B ) ( _080_ Y ) 
+  + ROUTED met1 ( 33810 33830 ) ( 33810 34170 )
+    NEW met1 ( 32430 33830 ) ( 33810 33830 )
+    NEW met1 ( 32430 33830 ) ( 32430 34170 )
+    NEW met2 ( 32430 34170 ) ( 32430 39780 )
+    NEW met2 ( 31970 39780 ) ( 32430 39780 )
+    NEW met1 ( 31970 47770 ) ( 37950 47770 )
+    NEW met2 ( 37950 47770 ) ( 37950 51170 )
+    NEW met1 ( 37950 51170 ) ( 41170 51170 )
+    NEW met2 ( 31970 39780 ) ( 31970 47770 )
+    NEW li1 ( 33810 34170 ) L1M1_PR_MR
+    NEW met1 ( 32430 34170 ) M1M2_PR
+    NEW met1 ( 31970 47770 ) M1M2_PR
+    NEW met1 ( 37950 47770 ) M1M2_PR
+    NEW met1 ( 37950 51170 ) M1M2_PR
+    NEW li1 ( 41170 51170 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _043_ ( _118_ A ) ( _081_ Y ) 
+  + ROUTED met1 ( 8510 45050 ) ( 8510 45730 )
+    NEW met2 ( 14490 45730 ) ( 14490 47430 )
+    NEW met1 ( 14490 47430 ) ( 16330 47430 )
+    NEW met1 ( 16330 47430 ) ( 16330 47770 )
+    NEW met1 ( 16330 47770 ) ( 30590 47770 )
+    NEW met2 ( 30590 34510 ) ( 30590 47770 )
+    NEW met1 ( 30590 34510 ) ( 34730 34510 )
+    NEW met1 ( 8510 45730 ) ( 14490 45730 )
+    NEW li1 ( 8510 45050 ) L1M1_PR_MR
+    NEW met1 ( 14490 45730 ) M1M2_PR
+    NEW met1 ( 14490 47430 ) M1M2_PR
+    NEW met1 ( 30590 47770 ) M1M2_PR
+    NEW met1 ( 30590 34510 ) M1M2_PR
+    NEW li1 ( 34730 34510 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) 
+( _082_ Y ) 
+  + ROUTED met1 ( 13570 50830 ) ( 13570 51170 )
+    NEW met2 ( 27370 45050 ) ( 27370 52190 )
+    NEW met2 ( 20470 52190 ) ( 20470 56270 )
+    NEW met1 ( 20470 52190 ) ( 27370 52190 )
+    NEW met2 ( 20470 51170 ) ( 20470 52190 )
+    NEW met1 ( 14490 45050 ) ( 14490 45390 )
+    NEW met1 ( 14490 45390 ) ( 16330 45390 )
+    NEW met2 ( 16330 45390 ) ( 16330 51170 )
+    NEW met1 ( 13570 51170 ) ( 20470 51170 )
+    NEW li1 ( 13570 50830 ) L1M1_PR_MR
+    NEW li1 ( 27370 45050 ) L1M1_PR_MR
+    NEW met1 ( 27370 45050 ) M1M2_PR
+    NEW li1 ( 27370 52190 ) L1M1_PR_MR
+    NEW met1 ( 27370 52190 ) M1M2_PR
+    NEW li1 ( 20470 56270 ) L1M1_PR_MR
+    NEW met1 ( 20470 56270 ) M1M2_PR
+    NEW met1 ( 20470 52190 ) M1M2_PR
+    NEW met1 ( 20470 51170 ) M1M2_PR
+    NEW li1 ( 14490 45050 ) L1M1_PR_MR
+    NEW met1 ( 16330 45390 ) M1M2_PR
+    NEW met1 ( 16330 51170 ) M1M2_PR
+    NEW met1 ( 27370 45050 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 27370 52190 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 20470 56270 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 51170 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) 
+( _084_ B ) ( _083_ Y ) 
+  + ROUTED met1 ( 21850 45050 ) ( 26450 45050 )
+    NEW met1 ( 8510 47430 ) ( 8510 48110 )
+    NEW met1 ( 8510 48110 ) ( 21850 48110 )
+    NEW met2 ( 9890 41990 ) ( 9890 48110 )
+    NEW met1 ( 21390 54910 ) ( 25530 54910 )
+    NEW met2 ( 25530 54910 ) ( 25530 64430 )
+    NEW met1 ( 25530 64430 ) ( 40250 64430 )
+    NEW met1 ( 40250 64090 ) ( 40250 64430 )
+    NEW met1 ( 14950 57970 ) ( 16330 57970 )
+    NEW met2 ( 16330 54910 ) ( 16330 57970 )
+    NEW met1 ( 16330 54910 ) ( 21390 54910 )
+    NEW met2 ( 21850 45050 ) ( 21850 54910 )
+    NEW met1 ( 21850 45050 ) M1M2_PR
+    NEW li1 ( 26450 45050 ) L1M1_PR_MR
+    NEW li1 ( 8510 47430 ) L1M1_PR_MR
+    NEW met1 ( 21850 48110 ) M1M2_PR
+    NEW li1 ( 9890 41990 ) L1M1_PR_MR
+    NEW met1 ( 9890 41990 ) M1M2_PR
+    NEW met1 ( 9890 48110 ) M1M2_PR
+    NEW li1 ( 21390 54910 ) L1M1_PR_MR
+    NEW met1 ( 25530 54910 ) M1M2_PR
+    NEW met1 ( 25530 64430 ) M1M2_PR
+    NEW li1 ( 40250 64090 ) L1M1_PR_MR
+    NEW li1 ( 14950 57970 ) L1M1_PR_MR
+    NEW met1 ( 16330 57970 ) M1M2_PR
+    NEW met1 ( 16330 54910 ) M1M2_PR
+    NEW met1 ( 21850 54910 ) M1M2_PR
+    NEW met2 ( 21850 48110 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 9890 41990 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 9890 48110 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 21850 54910 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) 
+  + ROUTED met1 ( 11270 52870 ) ( 11270 53210 )
+    NEW met2 ( 29210 45220 ) ( 29210 45390 )
+    NEW met3 ( 20010 45220 ) ( 29210 45220 )
+    NEW met2 ( 20010 45220 ) ( 20010 53210 )
+    NEW met1 ( 47150 45050 ) ( 48530 45050 )
+    NEW met2 ( 47150 44030 ) ( 47150 45050 )
+    NEW met1 ( 36110 44030 ) ( 47150 44030 )
+    NEW met2 ( 36110 44030 ) ( 36110 45390 )
+    NEW met1 ( 29210 45390 ) ( 36110 45390 )
+    NEW met1 ( 29670 28730 ) ( 33350 28730 )
+    NEW met1 ( 29670 28730 ) ( 29670 29410 )
+    NEW met1 ( 28750 29410 ) ( 29670 29410 )
+    NEW met2 ( 28750 29410 ) ( 28750 34340 )
+    NEW met2 ( 28290 34340 ) ( 28750 34340 )
+    NEW met2 ( 28290 34340 ) ( 28290 38590 )
+    NEW met1 ( 28290 38590 ) ( 29210 38590 )
+    NEW met2 ( 29210 38590 ) ( 29210 42500 )
+    NEW met2 ( 28750 42500 ) ( 29210 42500 )
+    NEW met2 ( 28750 42500 ) ( 28750 44540 )
+    NEW met3 ( 28750 44540 ) ( 28980 44540 )
+    NEW met3 ( 28980 44540 ) ( 28980 45220 )
+    NEW met1 ( 11270 53210 ) ( 20010 53210 )
+    NEW li1 ( 11270 52870 ) L1M1_PR_MR
+    NEW li1 ( 29210 45390 ) L1M1_PR_MR
+    NEW met1 ( 29210 45390 ) M1M2_PR
+    NEW met2 ( 29210 45220 ) via2_FR
+    NEW met2 ( 20010 45220 ) via2_FR
+    NEW met1 ( 20010 53210 ) M1M2_PR
+    NEW li1 ( 48530 45050 ) L1M1_PR_MR
+    NEW met1 ( 47150 45050 ) M1M2_PR
+    NEW met1 ( 47150 44030 ) M1M2_PR
+    NEW met1 ( 36110 44030 ) M1M2_PR
+    NEW met1 ( 36110 45390 ) M1M2_PR
+    NEW li1 ( 33350 28730 ) L1M1_PR_MR
+    NEW met1 ( 28750 29410 ) M1M2_PR
+    NEW met1 ( 28290 38590 ) M1M2_PR
+    NEW met1 ( 29210 38590 ) M1M2_PR
+    NEW met2 ( 28750 44540 ) via2_FR
+    NEW met1 ( 29210 45390 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) 
+( _086_ A ) ( _085_ X ) 
+  + ROUTED met1 ( 26450 36550 ) ( 28750 36550 )
+    NEW met1 ( 20930 34170 ) ( 20930 34510 )
+    NEW met1 ( 20930 34510 ) ( 22310 34510 )
+    NEW met1 ( 22310 34170 ) ( 22310 34510 )
+    NEW met1 ( 22310 34170 ) ( 25990 34170 )
+    NEW met2 ( 25990 34170 ) ( 25990 36550 )
+    NEW met2 ( 25990 36550 ) ( 26450 36550 )
+    NEW met1 ( 26450 44370 ) ( 34270 44370 )
+    NEW met2 ( 34270 44370 ) ( 34270 47430 )
+    NEW met1 ( 34270 47430 ) ( 34730 47430 )
+    NEW met1 ( 25990 50830 ) ( 25990 51170 )
+    NEW met1 ( 25990 51170 ) ( 26450 51170 )
+    NEW met2 ( 26450 44370 ) ( 26450 51170 )
+    NEW met1 ( 15410 45050 ) ( 20010 45050 )
+    NEW met1 ( 20010 44370 ) ( 20010 45050 )
+    NEW met1 ( 20010 44370 ) ( 26450 44370 )
+    NEW met1 ( 14490 50830 ) ( 17250 50830 )
+    NEW met2 ( 17250 45050 ) ( 17250 50830 )
+    NEW met2 ( 26450 36550 ) ( 26450 44370 )
+    NEW li1 ( 28750 36550 ) L1M1_PR_MR
+    NEW met1 ( 26450 36550 ) M1M2_PR
+    NEW li1 ( 20930 34170 ) L1M1_PR_MR
+    NEW met1 ( 25990 34170 ) M1M2_PR
+    NEW met1 ( 26450 44370 ) M1M2_PR
+    NEW met1 ( 34270 44370 ) M1M2_PR
+    NEW met1 ( 34270 47430 ) M1M2_PR
+    NEW li1 ( 34730 47430 ) L1M1_PR_MR
+    NEW li1 ( 25990 50830 ) L1M1_PR_MR
+    NEW met1 ( 26450 51170 ) M1M2_PR
+    NEW li1 ( 15410 45050 ) L1M1_PR_MR
+    NEW li1 ( 14490 50830 ) L1M1_PR_MR
+    NEW met1 ( 17250 50830 ) M1M2_PR
+    NEW met1 ( 17250 45050 ) M1M2_PR
+    NEW met1 ( 17250 45050 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _048_ ( _101_ B ) ( _086_ X ) 
+  + ROUTED met1 ( 29670 37230 ) ( 37030 37230 )
+    NEW met2 ( 37030 37230 ) ( 37030 44710 )
+    NEW met1 ( 37030 44710 ) ( 49450 44710 )
+    NEW met1 ( 49450 44710 ) ( 49450 45050 )
+    NEW li1 ( 29670 37230 ) L1M1_PR_MR
+    NEW met1 ( 37030 37230 ) M1M2_PR
+    NEW met1 ( 37030 44710 ) M1M2_PR
+    NEW li1 ( 49450 45050 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) 
+  + ROUTED met2 ( 7590 44370 ) ( 7590 47430 )
+    NEW met1 ( 15410 45730 ) ( 35190 45730 )
+    NEW met2 ( 15410 44370 ) ( 15410 45730 )
+    NEW met1 ( 7590 44370 ) ( 15410 44370 )
+    NEW met1 ( 35190 55590 ) ( 37950 55590 )
+    NEW met2 ( 35190 45730 ) ( 35190 55590 )
+    NEW li1 ( 7590 47430 ) L1M1_PR_MR
+    NEW met1 ( 7590 47430 ) M1M2_PR
+    NEW met1 ( 7590 44370 ) M1M2_PR
+    NEW li1 ( 15410 45730 ) L1M1_PR_MR
+    NEW met1 ( 35190 45730 ) M1M2_PR
+    NEW met1 ( 15410 44370 ) M1M2_PR
+    NEW met1 ( 15410 45730 ) M1M2_PR
+    NEW met1 ( 35190 55590 ) M1M2_PR
+    NEW li1 ( 37950 55590 ) L1M1_PR_MR
+    NEW met1 ( 7590 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 15410 45730 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) 
+  + ROUTED met1 ( 48990 61030 ) ( 48990 61370 )
+    NEW met1 ( 28290 28730 ) ( 29210 28730 )
+    NEW met1 ( 29210 28390 ) ( 29210 28730 )
+    NEW met1 ( 29210 28390 ) ( 33810 28390 )
+    NEW met2 ( 33810 28390 ) ( 33810 30940 )
+    NEW met3 ( 33810 30940 ) ( 35420 30940 )
+    NEW met2 ( 35650 57970 ) ( 35650 61370 )
+    NEW met1 ( 25070 57970 ) ( 35650 57970 )
+    NEW met1 ( 25070 57630 ) ( 25070 57970 )
+    NEW met1 ( 12650 57630 ) ( 25070 57630 )
+    NEW met2 ( 12650 47770 ) ( 12650 57630 )
+    NEW met1 ( 8970 47770 ) ( 12650 47770 )
+    NEW met2 ( 41170 60860 ) ( 41170 61030 )
+    NEW met3 ( 35650 60860 ) ( 41170 60860 )
+    NEW met3 ( 35420 52700 ) ( 35650 52700 )
+    NEW met2 ( 35650 52700 ) ( 35650 57970 )
+    NEW met4 ( 35420 30940 ) ( 35420 52700 )
+    NEW met1 ( 41170 61030 ) ( 48990 61030 )
+    NEW li1 ( 48990 61370 ) L1M1_PR_MR
+    NEW li1 ( 28290 28730 ) L1M1_PR_MR
+    NEW met1 ( 33810 28390 ) M1M2_PR
+    NEW met2 ( 33810 30940 ) via2_FR
+    NEW met3 ( 35420 30940 ) M3M4_PR_M
+    NEW li1 ( 35650 61370 ) L1M1_PR_MR
+    NEW met1 ( 35650 61370 ) M1M2_PR
+    NEW met1 ( 35650 57970 ) M1M2_PR
+    NEW met1 ( 12650 57630 ) M1M2_PR
+    NEW met1 ( 12650 47770 ) M1M2_PR
+    NEW li1 ( 8970 47770 ) L1M1_PR_MR
+    NEW met1 ( 41170 61030 ) M1M2_PR
+    NEW met2 ( 41170 60860 ) via2_FR
+    NEW met2 ( 35650 60860 ) via2_FR
+    NEW met3 ( 35420 52700 ) M3M4_PR_M
+    NEW met2 ( 35650 52700 ) via2_FR
+    NEW met1 ( 35650 61370 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 35650 60860 ) RECT ( -70 -485 70 0 )
+    NEW met3 ( 35420 52700 ) RECT ( -390 -150 0 150 )
++ USE SIGNAL ;
+- _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) 
+  + ROUTED met1 ( 21390 42330 ) ( 21390 42670 )
+    NEW met1 ( 21390 42670 ) ( 22770 42670 )
+    NEW met1 ( 22770 41990 ) ( 22770 42670 )
+    NEW met1 ( 22770 41990 ) ( 25990 41990 )
+    NEW met1 ( 15870 41990 ) ( 19550 41990 )
+    NEW met1 ( 19550 41990 ) ( 19550 42330 )
+    NEW met1 ( 19550 42330 ) ( 21390 42330 )
+    NEW met1 ( 40710 60350 ) ( 40710 61370 )
+    NEW met1 ( 36110 60350 ) ( 40710 60350 )
+    NEW met2 ( 36110 51340 ) ( 36110 60350 )
+    NEW met3 ( 27140 51340 ) ( 36110 51340 )
+    NEW met3 ( 27140 49980 ) ( 27140 51340 )
+    NEW met3 ( 25990 49980 ) ( 27140 49980 )
+    NEW met2 ( 25990 41990 ) ( 25990 49980 )
+    NEW met1 ( 40710 61370 ) ( 48530 61370 )
+    NEW li1 ( 48530 61370 ) L1M1_PR_MR
+    NEW li1 ( 21390 42330 ) L1M1_PR_MR
+    NEW met1 ( 25990 41990 ) M1M2_PR
+    NEW li1 ( 15870 41990 ) L1M1_PR_MR
+    NEW li1 ( 40710 61370 ) L1M1_PR_MR
+    NEW met1 ( 36110 60350 ) M1M2_PR
+    NEW met2 ( 36110 51340 ) via2_FR
+    NEW met2 ( 25990 49980 ) via2_FR
++ USE SIGNAL ;
+- _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) 
+  + ROUTED met1 ( 35650 46750 ) ( 36110 46750 )
+    NEW met2 ( 36110 46580 ) ( 36110 46750 )
+    NEW met3 ( 36110 46580 ) ( 36340 46580 )
+    NEW met4 ( 36340 31620 ) ( 36340 46580 )
+    NEW met3 ( 34270 31620 ) ( 36340 31620 )
+    NEW met2 ( 34270 28730 ) ( 34270 31620 )
+    NEW met1 ( 29210 46750 ) ( 35650 46750 )
+    NEW met1 ( 21390 56270 ) ( 28750 56270 )
+    NEW met2 ( 28750 56270 ) ( 28750 57630 )
+    NEW met1 ( 28750 57630 ) ( 39790 57630 )
+    NEW met2 ( 39790 57630 ) ( 39790 63750 )
+    NEW met2 ( 28750 56270 ) ( 29210 56270 )
+    NEW met2 ( 29210 46750 ) ( 29210 56270 )
+    NEW li1 ( 35650 46750 ) L1M1_PR_MR
+    NEW met1 ( 36110 46750 ) M1M2_PR
+    NEW met2 ( 36110 46580 ) via2_FR
+    NEW met3 ( 36340 46580 ) M3M4_PR_M
+    NEW met3 ( 36340 31620 ) M3M4_PR_M
+    NEW met2 ( 34270 31620 ) via2_FR
+    NEW li1 ( 34270 28730 ) L1M1_PR_MR
+    NEW met1 ( 34270 28730 ) M1M2_PR
+    NEW met1 ( 29210 46750 ) M1M2_PR
+    NEW li1 ( 21390 56270 ) L1M1_PR_MR
+    NEW met1 ( 28750 56270 ) M1M2_PR
+    NEW met1 ( 28750 57630 ) M1M2_PR
+    NEW met1 ( 39790 57630 ) M1M2_PR
+    NEW li1 ( 39790 63750 ) L1M1_PR_MR
+    NEW met1 ( 39790 63750 ) M1M2_PR
+    NEW met3 ( 36110 46580 ) RECT ( -390 -150 0 150 )
+    NEW met1 ( 34270 28730 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 39790 63750 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) 
+  + ROUTED met1 ( 14950 41990 ) ( 14950 42330 )
+    NEW met1 ( 14950 42330 ) ( 16330 42330 )
+    NEW met2 ( 16330 42330 ) ( 16330 44030 )
+    NEW met1 ( 16330 44030 ) ( 22770 44030 )
+    NEW met2 ( 22770 44030 ) ( 22770 55930 )
+    NEW met2 ( 27830 31110 ) ( 27830 37570 )
+    NEW met1 ( 23230 37570 ) ( 27830 37570 )
+    NEW met2 ( 23230 37570 ) ( 23230 41820 )
+    NEW met2 ( 22770 41820 ) ( 23230 41820 )
+    NEW met2 ( 22770 41820 ) ( 22770 44030 )
+    NEW met1 ( 34730 45050 ) ( 42090 45050 )
+    NEW met1 ( 34730 44030 ) ( 34730 45050 )
+    NEW met1 ( 22770 44030 ) ( 34730 44030 )
+    NEW li1 ( 14950 41990 ) L1M1_PR_MR
+    NEW met1 ( 16330 42330 ) M1M2_PR
+    NEW met1 ( 16330 44030 ) M1M2_PR
+    NEW met1 ( 22770 44030 ) M1M2_PR
+    NEW li1 ( 22770 55930 ) L1M1_PR_MR
+    NEW met1 ( 22770 55930 ) M1M2_PR
+    NEW li1 ( 27830 31110 ) L1M1_PR_MR
+    NEW met1 ( 27830 31110 ) M1M2_PR
+    NEW met1 ( 27830 37570 ) M1M2_PR
+    NEW met1 ( 23230 37570 ) M1M2_PR
+    NEW li1 ( 42090 45050 ) L1M1_PR_MR
+    NEW met1 ( 22770 55930 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 27830 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _054_ ( _094_ A ) ( _093_ X ) 
+  + ROUTED met2 ( 16330 31110 ) ( 16330 33150 )
+    NEW met1 ( 16330 33150 ) ( 28290 33150 )
+    NEW li1 ( 16330 31110 ) L1M1_PR_MR
+    NEW met1 ( 16330 31110 ) M1M2_PR
+    NEW met1 ( 16330 33150 ) M1M2_PR
+    NEW li1 ( 28290 33150 ) L1M1_PR_MR
+    NEW met1 ( 16330 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) 
+  + ROUTED met1 ( 48070 33150 ) ( 48070 33490 )
+    NEW met1 ( 43010 33150 ) ( 48070 33150 )
+    NEW met2 ( 43010 31790 ) ( 43010 33150 )
+    NEW met1 ( 28290 31790 ) ( 43010 31790 )
+    NEW met1 ( 28290 31790 ) ( 28290 32130 )
+    NEW met1 ( 17250 32130 ) ( 28290 32130 )
+    NEW met1 ( 49910 33830 ) ( 49910 34170 )
+    NEW met1 ( 49910 33830 ) ( 55890 33830 )
+    NEW met1 ( 49910 33490 ) ( 49910 33830 )
+    NEW met1 ( 48070 33490 ) ( 49910 33490 )
+    NEW met1 ( 51750 55930 ) ( 52670 55930 )
+    NEW met1 ( 52670 55590 ) ( 52670 55930 )
+    NEW met1 ( 52670 55590 ) ( 55890 55590 )
+    NEW met1 ( 48070 52870 ) ( 48070 53210 )
+    NEW met1 ( 48070 53210 ) ( 55890 53210 )
+    NEW met2 ( 55890 33830 ) ( 55890 55590 )
+    NEW met1 ( 43010 33150 ) M1M2_PR
+    NEW met1 ( 43010 31790 ) M1M2_PR
+    NEW li1 ( 17250 32130 ) L1M1_PR_MR
+    NEW li1 ( 49910 34170 ) L1M1_PR_MR
+    NEW met1 ( 55890 33830 ) M1M2_PR
+    NEW li1 ( 51750 55930 ) L1M1_PR_MR
+    NEW met1 ( 55890 55590 ) M1M2_PR
+    NEW li1 ( 48070 52870 ) L1M1_PR_MR
+    NEW met1 ( 55890 53210 ) M1M2_PR
+    NEW met2 ( 55890 53210 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) 
+  + ROUTED met1 ( 41170 52530 ) ( 44850 52530 )
+    NEW met2 ( 41170 39100 ) ( 41170 52530 )
+    NEW met2 ( 40710 39100 ) ( 41170 39100 )
+    NEW met2 ( 40710 28730 ) ( 40710 39100 )
+    NEW met1 ( 54050 55930 ) ( 54050 56270 )
+    NEW met1 ( 47150 56270 ) ( 54050 56270 )
+    NEW met2 ( 47150 52530 ) ( 47150 56270 )
+    NEW met1 ( 44850 52530 ) ( 47150 52530 )
+    NEW li1 ( 44850 52530 ) L1M1_PR_MR
+    NEW met1 ( 41170 52530 ) M1M2_PR
+    NEW li1 ( 40710 28730 ) L1M1_PR_MR
+    NEW met1 ( 40710 28730 ) M1M2_PR
+    NEW li1 ( 54050 55930 ) L1M1_PR_MR
+    NEW met1 ( 47150 56270 ) M1M2_PR
+    NEW met1 ( 47150 52530 ) M1M2_PR
+    NEW met1 ( 40710 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _057_ ( _097_ A ) ( _096_ X ) 
+  + ROUTED met2 ( 28750 45050 ) ( 28750 53890 )
+    NEW met1 ( 28750 45050 ) ( 33810 45050 )
+    NEW met1 ( 12650 53890 ) ( 28750 53890 )
+    NEW li1 ( 12650 53890 ) L1M1_PR_MR
+    NEW met1 ( 28750 53890 ) M1M2_PR
+    NEW met1 ( 28750 45050 ) M1M2_PR
+    NEW li1 ( 33810 45050 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) 
+  + ROUTED met2 ( 44850 31110 ) ( 44850 47430 )
+    NEW met1 ( 43010 31110 ) ( 44850 31110 )
+    NEW met1 ( 36570 45390 ) ( 36570 45730 )
+    NEW met1 ( 36570 45730 ) ( 44850 45730 )
+    NEW met1 ( 39330 58310 ) ( 39330 58650 )
+    NEW met1 ( 39330 58650 ) ( 39790 58650 )
+    NEW met1 ( 39790 58650 ) ( 39790 59330 )
+    NEW met1 ( 39790 59330 ) ( 44850 59330 )
+    NEW met2 ( 44850 47430 ) ( 44850 59330 )
+    NEW li1 ( 44850 47430 ) L1M1_PR_MR
+    NEW met1 ( 44850 47430 ) M1M2_PR
+    NEW met1 ( 44850 31110 ) M1M2_PR
+    NEW li1 ( 43010 31110 ) L1M1_PR_MR
+    NEW li1 ( 36570 45390 ) L1M1_PR_MR
+    NEW met1 ( 44850 45730 ) M1M2_PR
+    NEW li1 ( 39330 58310 ) L1M1_PR_MR
+    NEW met1 ( 44850 59330 ) M1M2_PR
+    NEW met1 ( 44850 47430 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 44850 45730 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) 
+  + ROUTED met1 ( 41170 45390 ) ( 44390 45390 )
+    NEW met2 ( 44390 34170 ) ( 44390 45390 )
+    NEW met1 ( 39790 34170 ) ( 44390 34170 )
+    NEW met2 ( 44390 45390 ) ( 44390 46750 )
+    NEW met1 ( 43930 58310 ) ( 44390 58310 )
+    NEW met2 ( 44390 46750 ) ( 44390 58310 )
+    NEW li1 ( 41170 45390 ) L1M1_PR_MR
+    NEW met1 ( 44390 45390 ) M1M2_PR
+    NEW met1 ( 44390 34170 ) M1M2_PR
+    NEW li1 ( 39790 34170 ) L1M1_PR_MR
+    NEW li1 ( 44390 46750 ) L1M1_PR_MR
+    NEW met1 ( 44390 46750 ) M1M2_PR
+    NEW li1 ( 43930 58310 ) L1M1_PR_MR
+    NEW met1 ( 44390 58310 ) M1M2_PR
+    NEW met1 ( 44390 46750 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) 
+  + ROUTED met2 ( 11270 36550 ) ( 11270 38590 )
+    NEW met1 ( 28290 43010 ) ( 48990 43010 )
+    NEW met2 ( 48990 43010 ) ( 48990 44030 )
+    NEW met2 ( 27830 38590 ) ( 27830 41140 )
+    NEW met2 ( 27370 41140 ) ( 27830 41140 )
+    NEW met2 ( 27370 41140 ) ( 27370 43010 )
+    NEW met1 ( 27370 43010 ) ( 28290 43010 )
+    NEW met1 ( 11270 38590 ) ( 27830 38590 )
+    NEW li1 ( 11270 36550 ) L1M1_PR_MR
+    NEW met1 ( 11270 36550 ) M1M2_PR
+    NEW met1 ( 11270 38590 ) M1M2_PR
+    NEW li1 ( 28290 43010 ) L1M1_PR_MR
+    NEW met1 ( 48990 43010 ) M1M2_PR
+    NEW li1 ( 48990 44030 ) L1M1_PR_MR
+    NEW met1 ( 48990 44030 ) M1M2_PR
+    NEW met1 ( 27830 38590 ) M1M2_PR
+    NEW met1 ( 27370 43010 ) M1M2_PR
+    NEW met1 ( 11270 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 44030 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) 
+  + ROUTED met1 ( 49910 41990 ) ( 50370 41990 )
+    NEW met1 ( 49910 41310 ) ( 49910 41990 )
+    NEW met2 ( 49910 40290 ) ( 49910 41310 )
+    NEW met2 ( 50830 36890 ) ( 50830 38590 )
+    NEW met1 ( 49910 38590 ) ( 50830 38590 )
+    NEW met2 ( 49910 38590 ) ( 49910 40290 )
+    NEW met1 ( 31510 39610 ) ( 37490 39610 )
+    NEW met1 ( 37490 39610 ) ( 37490 40290 )
+    NEW met1 ( 37490 40290 ) ( 49910 40290 )
+    NEW met3 ( 28980 41820 ) ( 34270 41820 )
+    NEW met4 ( 28980 41820 ) ( 28980 53380 )
+    NEW met3 ( 19090 53380 ) ( 28980 53380 )
+    NEW met2 ( 19090 53380 ) ( 19090 63410 )
+    NEW met1 ( 15870 63410 ) ( 19090 63410 )
+    NEW met2 ( 34270 39610 ) ( 34270 41820 )
+    NEW li1 ( 50370 41990 ) L1M1_PR_MR
+    NEW met1 ( 49910 41310 ) M1M2_PR
+    NEW met1 ( 49910 40290 ) M1M2_PR
+    NEW li1 ( 50830 36890 ) L1M1_PR_MR
+    NEW met1 ( 50830 36890 ) M1M2_PR
+    NEW met1 ( 50830 38590 ) M1M2_PR
+    NEW met1 ( 49910 38590 ) M1M2_PR
+    NEW li1 ( 31510 39610 ) L1M1_PR_MR
+    NEW met1 ( 34270 39610 ) M1M2_PR
+    NEW met2 ( 34270 41820 ) via2_FR
+    NEW met3 ( 28980 41820 ) M3M4_PR_M
+    NEW met3 ( 28980 53380 ) M3M4_PR_M
+    NEW met2 ( 19090 53380 ) via2_FR
+    NEW met1 ( 19090 63410 ) M1M2_PR
+    NEW li1 ( 15870 63410 ) L1M1_PR_MR
+    NEW met1 ( 50830 36890 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 34270 39610 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) 
+  + ROUTED met1 ( 48070 33830 ) ( 48070 34170 )
+    NEW met2 ( 48070 34170 ) ( 48070 59330 )
+    NEW met1 ( 48070 59330 ) ( 50830 59330 )
+    NEW met1 ( 50830 58310 ) ( 50830 59330 )
+    NEW met2 ( 42090 31110 ) ( 42090 33830 )
+    NEW met1 ( 42090 33830 ) ( 48070 33830 )
+    NEW met1 ( 32430 38930 ) ( 48070 38930 )
+    NEW met1 ( 48530 33830 ) ( 48530 34170 )
+    NEW met2 ( 48530 34170 ) ( 48530 34340 )
+    NEW met3 ( 48530 34340 ) ( 55430 34340 )
+    NEW met2 ( 55430 34170 ) ( 55430 34340 )
+    NEW met1 ( 48070 33830 ) ( 48530 33830 )
+    NEW met1 ( 48070 34170 ) M1M2_PR
+    NEW met1 ( 48070 59330 ) M1M2_PR
+    NEW li1 ( 50830 58310 ) L1M1_PR_MR
+    NEW li1 ( 42090 31110 ) L1M1_PR_MR
+    NEW met1 ( 42090 31110 ) M1M2_PR
+    NEW met1 ( 42090 33830 ) M1M2_PR
+    NEW li1 ( 32430 38930 ) L1M1_PR_MR
+    NEW met1 ( 48070 38930 ) M1M2_PR
+    NEW met1 ( 48530 34170 ) M1M2_PR
+    NEW met2 ( 48530 34340 ) via2_FR
+    NEW met2 ( 55430 34340 ) via2_FR
+    NEW li1 ( 55430 34170 ) L1M1_PR_MR
+    NEW met1 ( 55430 34170 ) M1M2_PR
+    NEW met1 ( 42090 31110 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 48070 38930 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 55430 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _063_ ( _108_ A ) ( _104_ X ) 
+  + ROUTED met2 ( 43930 31790 ) ( 43930 36550 )
+    NEW met1 ( 43930 36550 ) ( 44390 36550 )
+    NEW li1 ( 43930 31790 ) L1M1_PR_MR
+    NEW met1 ( 43930 31790 ) M1M2_PR
+    NEW met1 ( 43930 36550 ) M1M2_PR
+    NEW li1 ( 44390 36550 ) L1M1_PR_MR
+    NEW met1 ( 43930 31790 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _064_ ( _107_ B ) ( _105_ X ) 
+  + ROUTED met1 ( 37490 33150 ) ( 38870 33150 )
+    NEW met2 ( 37490 33150 ) ( 37490 47090 )
+    NEW met1 ( 21390 47090 ) ( 37490 47090 )
+    NEW li1 ( 38870 33150 ) L1M1_PR_MR
+    NEW met1 ( 37490 33150 ) M1M2_PR
+    NEW met1 ( 37490 47090 ) M1M2_PR
+    NEW li1 ( 21390 47090 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _065_ ( _107_ C ) ( _106_ X ) 
+  + ROUTED met2 ( 38870 34170 ) ( 38870 52530 )
+    NEW met1 ( 35650 52530 ) ( 38870 52530 )
+    NEW li1 ( 38870 34170 ) L1M1_PR_MR
+    NEW met1 ( 38870 34170 ) M1M2_PR
+    NEW met1 ( 38870 52530 ) M1M2_PR
+    NEW li1 ( 35650 52530 ) L1M1_PR_MR
+    NEW met1 ( 38870 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _066_ ( _108_ B ) ( _107_ X ) 
+  + ROUTED met1 ( 40710 33490 ) ( 45310 33490 )
+    NEW met2 ( 45310 33490 ) ( 45310 36550 )
+    NEW li1 ( 40710 33490 ) L1M1_PR_MR
+    NEW met1 ( 45310 33490 ) M1M2_PR
+    NEW li1 ( 45310 36550 ) L1M1_PR_MR
+    NEW met1 ( 45310 36550 ) M1M2_PR
+    NEW met1 ( 45310 36550 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _067_ ( _109_ A ) ( _108_ X ) 
+  + ROUTED met1 ( 46230 37570 ) ( 55430 37570 )
+    NEW met2 ( 55430 37570 ) ( 55430 39610 )
+    NEW li1 ( 46230 37570 ) L1M1_PR_MR
+    NEW met1 ( 55430 37570 ) M1M2_PR
+    NEW li1 ( 55430 39610 ) L1M1_PR_MR
+    NEW met1 ( 55430 39610 ) M1M2_PR
+    NEW met1 ( 55430 39610 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _068_ ( _112_ A ) ( _110_ Y ) 
+  + ROUTED met2 ( 48990 31110 ) ( 48990 33150 )
+    NEW li1 ( 48990 31110 ) L1M1_PR_MR
+    NEW met1 ( 48990 31110 ) M1M2_PR
+    NEW li1 ( 48990 33150 ) L1M1_PR_MR
+    NEW met1 ( 48990 33150 ) M1M2_PR
+    NEW met1 ( 48990 31110 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 48990 33150 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _069_ ( _112_ B ) ( _111_ Y ) 
+  + ROUTED met1 ( 42550 29070 ) ( 48070 29070 )
+    NEW met2 ( 48070 29070 ) ( 48070 31110 )
+    NEW li1 ( 42550 29070 ) L1M1_PR_MR
+    NEW met1 ( 48070 29070 ) M1M2_PR
+    NEW li1 ( 48070 31110 ) L1M1_PR_MR
+    NEW met1 ( 48070 31110 ) M1M2_PR
+    NEW met1 ( 48070 31110 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _070_ ( _115_ A ) ( _113_ X ) 
+  + ROUTED met1 ( 23230 36550 ) ( 25070 36550 )
+    NEW met2 ( 25070 36550 ) ( 25070 37060 )
+    NEW met3 ( 25070 37060 ) ( 30130 37060 )
+    NEW met2 ( 30130 29070 ) ( 30130 37060 )
+    NEW met1 ( 30130 29070 ) ( 35190 29070 )
+    NEW li1 ( 23230 36550 ) L1M1_PR_MR
+    NEW met1 ( 25070 36550 ) M1M2_PR
+    NEW met2 ( 25070 37060 ) via2_FR
+    NEW met2 ( 30130 37060 ) via2_FR
+    NEW met1 ( 30130 29070 ) M1M2_PR
+    NEW li1 ( 35190 29070 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _071_ ( _115_ B ) ( _114_ X ) 
+  + ROUTED met2 ( 29210 32130 ) ( 29210 35870 )
+    NEW met1 ( 22310 35870 ) ( 29210 35870 )
+    NEW met1 ( 22310 35870 ) ( 22310 36550 )
+    NEW li1 ( 29210 32130 ) L1M1_PR_MR
+    NEW met1 ( 29210 32130 ) M1M2_PR
+    NEW met1 ( 29210 35870 ) M1M2_PR
+    NEW li1 ( 22310 36550 ) L1M1_PR_MR
+    NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _072_ ( _116_ B ) ( _115_ Y ) 
+  + ROUTED met1 ( 36110 25670 ) ( 37950 25670 )
+    NEW met2 ( 36110 25670 ) ( 36110 36890 )
+    NEW met1 ( 23690 36890 ) ( 36110 36890 )
+    NEW li1 ( 37950 25670 ) L1M1_PR_MR
+    NEW met1 ( 36110 25670 ) M1M2_PR
+    NEW met1 ( 36110 36890 ) M1M2_PR
+    NEW li1 ( 23690 36890 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) 
+  + ROUTED met2 ( 9430 39610 ) ( 9430 41310 )
+    NEW met1 ( 28290 41310 ) ( 35650 41310 )
+    NEW met2 ( 35650 26690 ) ( 35650 41310 )
+    NEW met1 ( 35650 26690 ) ( 38410 26690 )
+    NEW met1 ( 9430 41310 ) ( 28290 41310 )
+    NEW li1 ( 9430 39610 ) L1M1_PR_MR
+    NEW met1 ( 9430 39610 ) M1M2_PR
+    NEW met1 ( 9430 41310 ) M1M2_PR
+    NEW li1 ( 28290 41310 ) L1M1_PR_MR
+    NEW met1 ( 35650 41310 ) M1M2_PR
+    NEW met1 ( 35650 26690 ) M1M2_PR
+    NEW li1 ( 38410 26690 ) L1M1_PR_MR
+    NEW met1 ( 9430 39610 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _074_ ( _118_ B ) ( _117_ X ) 
+  + ROUTED met1 ( 29670 41990 ) ( 29670 42330 )
+    NEW met1 ( 25070 42330 ) ( 29670 42330 )
+    NEW met2 ( 25070 41820 ) ( 25070 42330 )
+    NEW met3 ( 9430 41820 ) ( 25070 41820 )
+    NEW met2 ( 9430 41820 ) ( 9430 45050 )
+    NEW li1 ( 29670 41990 ) L1M1_PR_MR
+    NEW met1 ( 25070 42330 ) M1M2_PR
+    NEW met2 ( 25070 41820 ) via2_FR
+    NEW met2 ( 9430 41820 ) via2_FR
+    NEW li1 ( 9430 45050 ) L1M1_PR_MR
+    NEW met1 ( 9430 45050 ) M1M2_PR
+    NEW met1 ( 9430 45050 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _075_ ( _120_ B ) ( _119_ Y ) 
+  + ROUTED met1 ( 15410 39610 ) ( 17250 39610 )
+    NEW met2 ( 17250 34850 ) ( 17250 39610 )
+    NEW met1 ( 17250 34850 ) ( 54970 34850 )
+    NEW li1 ( 15410 39610 ) L1M1_PR_MR
+    NEW met1 ( 17250 39610 ) M1M2_PR
+    NEW met1 ( 17250 34850 ) M1M2_PR
+    NEW li1 ( 54970 34850 ) L1M1_PR_MR
++ USE SIGNAL ;
+- _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) 
+  + ROUTED met1 ( 37030 23290 ) ( 37030 23630 )
+    NEW met1 ( 37030 23630 ) ( 46230 23630 )
+    NEW met2 ( 46230 23630 ) ( 46230 50150 )
+    NEW met1 ( 46230 50150 ) ( 48530 50150 )
+    NEW met2 ( 36570 20230 ) ( 36570 23290 )
+    NEW met1 ( 36570 23290 ) ( 37030 23290 )
+    NEW li1 ( 37030 23290 ) L1M1_PR_MR
+    NEW met1 ( 46230 23630 ) M1M2_PR
+    NEW met1 ( 46230 50150 ) M1M2_PR
+    NEW li1 ( 48530 50150 ) L1M1_PR_MR
+    NEW li1 ( 36570 20230 ) L1M1_PR_MR
+    NEW met1 ( 36570 20230 ) M1M2_PR
+    NEW met1 ( 36570 23290 ) M1M2_PR
+    NEW met1 ( 36570 20230 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _077_ ( _123_ B ) ( _122_ Y ) 
+  + ROUTED met2 ( 10810 37570 ) ( 10810 41650 )
+    NEW met1 ( 10810 37570 ) ( 21390 37570 )
+    NEW met2 ( 21390 34170 ) ( 21390 37570 )
+    NEW met1 ( 21390 34170 ) ( 21850 34170 )
+    NEW li1 ( 10810 41650 ) L1M1_PR_MR
+    NEW met1 ( 10810 41650 ) M1M2_PR
+    NEW met1 ( 10810 37570 ) M1M2_PR
+    NEW met1 ( 21390 37570 ) M1M2_PR
+    NEW met1 ( 21390 34170 ) M1M2_PR
+    NEW li1 ( 21850 34170 ) L1M1_PR_MR
+    NEW met1 ( 10810 41650 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- _078_ ( _132_ A ) ( _123_ Y ) 
+  + ROUTED met1 ( 22770 34510 ) ( 23230 34510 )
+    NEW met2 ( 23230 34510 ) ( 23230 35870 )
+    NEW met2 ( 23230 35870 ) ( 23690 35870 )
+    NEW met2 ( 23690 35870 ) ( 23690 37740 )
+    NEW met3 ( 23690 37740 ) ( 28750 37740 )
+    NEW met2 ( 28750 37740 ) ( 28750 41650 )
+    NEW met1 ( 28750 41650 ) ( 36110 41650 )
+    NEW met1 ( 36110 41650 ) ( 36110 41990 )
+    NEW li1 ( 22770 34510 ) L1M1_PR_MR
+    NEW met1 ( 23230 34510 ) M1M2_PR
+    NEW met2 ( 23690 37740 ) via2_FR
+    NEW met2 ( 28750 37740 ) via2_FR
+    NEW met1 ( 28750 41650 ) M1M2_PR
+    NEW li1 ( 36110 41990 ) L1M1_PR_MR
++ USE SIGNAL ;
+- cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) 
+( _086_ B ) ( _083_ A ) 
+  + ROUTED met1 ( 27830 36210 ) ( 32890 36210 )
+    NEW met2 ( 32890 36210 ) ( 32890 37060 )
+    NEW met3 ( 31740 37060 ) ( 32890 37060 )
+    NEW met1 ( 29210 25670 ) ( 32430 25670 )
+    NEW met2 ( 32430 25670 ) ( 32430 33150 )
+    NEW met2 ( 32430 33150 ) ( 32890 33150 )
+    NEW met2 ( 32890 33150 ) ( 32890 36210 )
+    NEW met2 ( 27370 59500 ) ( 27370 63070 )
+    NEW met3 ( 27370 59500 ) ( 28060 59500 )
+    NEW met4 ( 28060 43180 ) ( 28060 59500 )
+    NEW met3 ( 28060 43180 ) ( 31740 43180 )
+    NEW met1 ( 22310 61030 ) ( 27370 61030 )
+    NEW met1 ( 14490 58310 ) ( 17710 58310 )
+    NEW met2 ( 17710 58310 ) ( 17710 60690 )
+    NEW met1 ( 17710 60690 ) ( 20930 60690 )
+    NEW met1 ( 20930 60690 ) ( 20930 61030 )
+    NEW met1 ( 20930 61030 ) ( 22310 61030 )
+    NEW met1 ( 13570 49470 ) ( 14490 49470 )
+    NEW met2 ( 13570 49470 ) ( 13570 58310 )
+    NEW met1 ( 13570 58310 ) ( 14490 58310 )
+    NEW met4 ( 31740 37060 ) ( 31740 43180 )
+    NEW li1 ( 27830 36210 ) L1M1_PR_MR
+    NEW met1 ( 32890 36210 ) M1M2_PR
+    NEW met2 ( 32890 37060 ) via2_FR
+    NEW met3 ( 31740 37060 ) M3M4_PR_M
+    NEW li1 ( 29210 25670 ) L1M1_PR_MR
+    NEW met1 ( 32430 25670 ) M1M2_PR
+    NEW li1 ( 27370 63070 ) L1M1_PR_MR
+    NEW met1 ( 27370 63070 ) M1M2_PR
+    NEW met2 ( 27370 59500 ) via2_FR
+    NEW met3 ( 28060 59500 ) M3M4_PR_M
+    NEW met3 ( 28060 43180 ) M3M4_PR_M
+    NEW met3 ( 31740 43180 ) M3M4_PR_M
+    NEW li1 ( 22310 61030 ) L1M1_PR_MR
+    NEW met1 ( 27370 61030 ) M1M2_PR
+    NEW li1 ( 14490 58310 ) L1M1_PR_MR
+    NEW met1 ( 17710 58310 ) M1M2_PR
+    NEW met1 ( 17710 60690 ) M1M2_PR
+    NEW li1 ( 14490 49470 ) L1M1_PR_MR
+    NEW met1 ( 13570 49470 ) M1M2_PR
+    NEW met1 ( 13570 58310 ) M1M2_PR
+    NEW met1 ( 27370 63070 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 27370 61030 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) 
+( _114_ A ) ( _082_ A ) 
+  + ROUTED met1 ( 9430 41990 ) ( 9430 42330 )
+    NEW met1 ( 9430 42330 ) ( 10350 42330 )
+    NEW met2 ( 10350 42330 ) ( 10350 44030 )
+    NEW met1 ( 28750 30770 ) ( 30590 30770 )
+    NEW met2 ( 30590 26350 ) ( 30590 30770 )
+    NEW met1 ( 28290 26350 ) ( 30590 26350 )
+    NEW met1 ( 28290 25670 ) ( 28290 26350 )
+    NEW met1 ( 29210 52870 ) ( 31510 52870 )
+    NEW met2 ( 31510 50660 ) ( 31510 52870 )
+    NEW met3 ( 30820 50660 ) ( 31510 50660 )
+    NEW met4 ( 30820 33660 ) ( 30820 50660 )
+    NEW met3 ( 30590 33660 ) ( 30820 33660 )
+    NEW met2 ( 30590 30770 ) ( 30590 33660 )
+    NEW met1 ( 29210 61710 ) ( 31510 61710 )
+    NEW met2 ( 31510 52870 ) ( 31510 61710 )
+    NEW met1 ( 20470 58310 ) ( 31510 58310 )
+    NEW met1 ( 15870 44030 ) ( 15870 44370 )
+    NEW met1 ( 15870 44370 ) ( 19550 44370 )
+    NEW met2 ( 19550 44370 ) ( 19550 58310 )
+    NEW met1 ( 19550 58310 ) ( 20470 58310 )
+    NEW met1 ( 10350 44030 ) ( 15870 44030 )
+    NEW li1 ( 9430 41990 ) L1M1_PR_MR
+    NEW met1 ( 10350 42330 ) M1M2_PR
+    NEW met1 ( 10350 44030 ) M1M2_PR
+    NEW li1 ( 28750 30770 ) L1M1_PR_MR
+    NEW met1 ( 30590 30770 ) M1M2_PR
+    NEW met1 ( 30590 26350 ) M1M2_PR
+    NEW li1 ( 28290 25670 ) L1M1_PR_MR
+    NEW li1 ( 29210 52870 ) L1M1_PR_MR
+    NEW met1 ( 31510 52870 ) M1M2_PR
+    NEW met2 ( 31510 50660 ) via2_FR
+    NEW met3 ( 30820 50660 ) M3M4_PR_M
+    NEW met3 ( 30820 33660 ) M3M4_PR_M
+    NEW met2 ( 30590 33660 ) via2_FR
+    NEW li1 ( 29210 61710 ) L1M1_PR_MR
+    NEW met1 ( 31510 61710 ) M1M2_PR
+    NEW li1 ( 20470 58310 ) L1M1_PR_MR
+    NEW met1 ( 31510 58310 ) M1M2_PR
+    NEW met1 ( 19550 44370 ) M1M2_PR
+    NEW met1 ( 19550 58310 ) M1M2_PR
+    NEW met3 ( 30820 33660 ) RECT ( 0 -150 390 150 )
+    NEW met2 ( 31510 58310 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) 
+( _156_ A ) 
+  + ROUTED met1 ( 8510 34170 ) ( 8970 34170 )
+    NEW met2 ( 8970 34170 ) ( 8970 49470 )
+    NEW met1 ( 8970 33490 ) ( 8970 34170 )
+    NEW met2 ( 25530 14790 ) ( 25530 23290 )
+    NEW met1 ( 25530 14790 ) ( 34270 14790 )
+    NEW met2 ( 21850 23290 ) ( 21850 28730 )
+    NEW met1 ( 21850 23290 ) ( 25530 23290 )
+    NEW met2 ( 20010 31110 ) ( 20010 33490 )
+    NEW met1 ( 20010 31110 ) ( 21850 31110 )
+    NEW met2 ( 21850 28730 ) ( 21850 31110 )
+    NEW met1 ( 8970 33490 ) ( 20010 33490 )
+    NEW li1 ( 8510 34170 ) L1M1_PR_MR
+    NEW met1 ( 8970 34170 ) M1M2_PR
+    NEW li1 ( 8970 49470 ) L1M1_PR_MR
+    NEW met1 ( 8970 49470 ) M1M2_PR
+    NEW li1 ( 25530 23290 ) L1M1_PR_MR
+    NEW met1 ( 25530 23290 ) M1M2_PR
+    NEW met1 ( 25530 14790 ) M1M2_PR
+    NEW li1 ( 34270 14790 ) L1M1_PR_MR
+    NEW li1 ( 21850 28730 ) L1M1_PR_MR
+    NEW met1 ( 21850 28730 ) M1M2_PR
+    NEW met1 ( 21850 23290 ) M1M2_PR
+    NEW met1 ( 20010 33490 ) M1M2_PR
+    NEW met1 ( 20010 31110 ) M1M2_PR
+    NEW met1 ( 21850 31110 ) M1M2_PR
+    NEW met1 ( 8970 49470 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 25530 23290 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 21850 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) 
+  + ROUTED met1 ( 26450 55930 ) ( 26910 55930 )
+    NEW met2 ( 26450 55930 ) ( 26450 61370 )
+    NEW met1 ( 26450 61370 ) ( 33350 61370 )
+    NEW met1 ( 33350 61030 ) ( 33350 61370 )
+    NEW met1 ( 33350 61030 ) ( 38870 61030 )
+    NEW met1 ( 23230 55930 ) ( 26450 55930 )
+    NEW met2 ( 22310 25670 ) ( 22310 44710 )
+    NEW met1 ( 22310 44710 ) ( 23230 44710 )
+    NEW met1 ( 22310 21250 ) ( 27830 21250 )
+    NEW met2 ( 22310 21250 ) ( 22310 25670 )
+    NEW met2 ( 23230 44710 ) ( 23230 55930 )
+    NEW li1 ( 26910 55930 ) L1M1_PR_MR
+    NEW met1 ( 26450 55930 ) M1M2_PR
+    NEW met1 ( 26450 61370 ) M1M2_PR
+    NEW li1 ( 38870 61030 ) L1M1_PR_MR
+    NEW met1 ( 23230 55930 ) M1M2_PR
+    NEW li1 ( 22310 25670 ) L1M1_PR_MR
+    NEW met1 ( 22310 25670 ) M1M2_PR
+    NEW met1 ( 22310 44710 ) M1M2_PR
+    NEW met1 ( 23230 44710 ) M1M2_PR
+    NEW li1 ( 27830 21250 ) L1M1_PR_MR
+    NEW met1 ( 22310 21250 ) M1M2_PR
+    NEW met1 ( 22310 25670 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) 
+( _141_ B ) ( _080_ B ) 
+  + ROUTED met1 ( 25070 39610 ) ( 29210 39610 )
+    NEW met1 ( 29210 39610 ) ( 29210 39950 )
+    NEW met1 ( 29210 39950 ) ( 35190 39950 )
+    NEW met2 ( 35190 39950 ) ( 35190 41820 )
+    NEW met2 ( 35190 41820 ) ( 35650 41820 )
+    NEW met1 ( 17250 25670 ) ( 21390 25670 )
+    NEW met2 ( 21390 25670 ) ( 21390 33660 )
+    NEW met2 ( 21390 33660 ) ( 21850 33660 )
+    NEW met2 ( 21850 33660 ) ( 21850 38930 )
+    NEW met1 ( 21850 38930 ) ( 25070 38930 )
+    NEW met2 ( 25070 38930 ) ( 25070 39610 )
+    NEW met1 ( 21390 17850 ) ( 28290 17850 )
+    NEW met2 ( 21390 17850 ) ( 21390 25670 )
+    NEW met1 ( 26450 15470 ) ( 35650 15470 )
+    NEW met2 ( 26450 15470 ) ( 26450 17850 )
+    NEW met1 ( 35650 50490 ) ( 35650 50830 )
+    NEW met1 ( 35650 50830 ) ( 42090 50830 )
+    NEW met1 ( 42090 50490 ) ( 42090 50830 )
+    NEW met2 ( 35650 41820 ) ( 35650 50490 )
+    NEW li1 ( 25070 39610 ) L1M1_PR_MR
+    NEW met1 ( 35190 39950 ) M1M2_PR
+    NEW li1 ( 17250 25670 ) L1M1_PR_MR
+    NEW met1 ( 21390 25670 ) M1M2_PR
+    NEW met1 ( 21850 38930 ) M1M2_PR
+    NEW met1 ( 25070 38930 ) M1M2_PR
+    NEW met1 ( 25070 39610 ) M1M2_PR
+    NEW li1 ( 28290 17850 ) L1M1_PR_MR
+    NEW met1 ( 21390 17850 ) M1M2_PR
+    NEW li1 ( 35650 15470 ) L1M1_PR_MR
+    NEW met1 ( 26450 15470 ) M1M2_PR
+    NEW met1 ( 26450 17850 ) M1M2_PR
+    NEW li1 ( 35650 50490 ) L1M1_PR_MR
+    NEW li1 ( 42090 50490 ) L1M1_PR_MR
+    NEW met1 ( 35650 50490 ) M1M2_PR
+    NEW met1 ( 25070 39610 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 26450 17850 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 35650 50490 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) 
+( _129_ A2 ) ( _080_ A ) 
+  + ROUTED met1 ( 23230 25670 ) ( 23690 25670 )
+    NEW met2 ( 23690 25670 ) ( 23690 28220 )
+    NEW met3 ( 23690 28220 ) ( 26220 28220 )
+    NEW met4 ( 26220 28220 ) ( 26220 45900 )
+    NEW met3 ( 26220 45900 ) ( 36570 45900 )
+    NEW met1 ( 16330 25670 ) ( 16330 26010 )
+    NEW met1 ( 16330 26010 ) ( 23230 26010 )
+    NEW met1 ( 23230 25670 ) ( 23230 26010 )
+    NEW met2 ( 9890 26010 ) ( 9890 34170 )
+    NEW met1 ( 9890 26010 ) ( 16330 26010 )
+    NEW met2 ( 36570 50490 ) ( 36570 61370 )
+    NEW met1 ( 36570 50490 ) ( 40710 50490 )
+    NEW met2 ( 36570 45900 ) ( 36570 50490 )
+    NEW li1 ( 23230 25670 ) L1M1_PR_MR
+    NEW met1 ( 23690 25670 ) M1M2_PR
+    NEW met2 ( 23690 28220 ) via2_FR
+    NEW met3 ( 26220 28220 ) M3M4_PR_M
+    NEW met3 ( 26220 45900 ) M3M4_PR_M
+    NEW met2 ( 36570 45900 ) via2_FR
+    NEW li1 ( 16330 25670 ) L1M1_PR_MR
+    NEW li1 ( 9890 34170 ) L1M1_PR_MR
+    NEW met1 ( 9890 34170 ) M1M2_PR
+    NEW met1 ( 9890 26010 ) M1M2_PR
+    NEW li1 ( 36570 50490 ) L1M1_PR_MR
+    NEW met1 ( 36570 50490 ) M1M2_PR
+    NEW li1 ( 36570 61370 ) L1M1_PR_MR
+    NEW met1 ( 36570 61370 ) M1M2_PR
+    NEW li1 ( 40710 50490 ) L1M1_PR_MR
+    NEW met1 ( 9890 34170 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 36570 50490 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 36570 61370 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) 
+  + ROUTED met1 ( 22770 28050 ) ( 29210 28050 )
+    NEW met2 ( 29210 23290 ) ( 29210 28050 )
+    NEW met1 ( 29210 23290 ) ( 31050 23290 )
+    NEW met1 ( 20470 45390 ) ( 20930 45390 )
+    NEW met2 ( 20930 28050 ) ( 20930 45390 )
+    NEW met1 ( 20930 28050 ) ( 22770 28050 )
+    NEW met1 ( 20930 49810 ) ( 26910 49810 )
+    NEW met1 ( 26910 49810 ) ( 26910 50150 )
+    NEW met1 ( 26910 50150 ) ( 31050 50150 )
+    NEW met1 ( 31050 49810 ) ( 31050 50150 )
+    NEW met1 ( 31050 49810 ) ( 34270 49810 )
+    NEW met1 ( 34270 49810 ) ( 34270 50150 )
+    NEW met2 ( 20930 45390 ) ( 20930 49810 )
+    NEW li1 ( 22770 28050 ) L1M1_PR_MR
+    NEW met1 ( 29210 28050 ) M1M2_PR
+    NEW met1 ( 29210 23290 ) M1M2_PR
+    NEW li1 ( 31050 23290 ) L1M1_PR_MR
+    NEW li1 ( 20470 45390 ) L1M1_PR_MR
+    NEW met1 ( 20930 45390 ) M1M2_PR
+    NEW met1 ( 20930 28050 ) M1M2_PR
+    NEW met1 ( 20930 49810 ) M1M2_PR
+    NEW li1 ( 34270 50150 ) L1M1_PR_MR
++ USE SIGNAL ;
+- hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) 
+( _079_ A ) 
+  + ROUTED met1 ( 21390 59330 ) ( 37490 59330 )
+    NEW met2 ( 37490 59330 ) ( 37490 61370 )
+    NEW met1 ( 26450 22610 ) ( 29670 22610 )
+    NEW met2 ( 29670 17850 ) ( 29670 22610 )
+    NEW met1 ( 29670 17850 ) ( 34270 17850 )
+    NEW met1 ( 24150 39270 ) ( 27370 39270 )
+    NEW met2 ( 27370 33660 ) ( 27370 39270 )
+    NEW met2 ( 26910 33660 ) ( 27370 33660 )
+    NEW met2 ( 26910 22610 ) ( 26910 33660 )
+    NEW met2 ( 21390 39610 ) ( 21390 45390 )
+    NEW met1 ( 21390 39610 ) ( 24150 39610 )
+    NEW met1 ( 24150 39270 ) ( 24150 39610 )
+    NEW met2 ( 21390 45390 ) ( 21390 59330 )
+    NEW met1 ( 21390 59330 ) M1M2_PR
+    NEW met1 ( 37490 59330 ) M1M2_PR
+    NEW li1 ( 37490 61370 ) L1M1_PR_MR
+    NEW met1 ( 37490 61370 ) M1M2_PR
+    NEW li1 ( 26450 22610 ) L1M1_PR_MR
+    NEW met1 ( 29670 22610 ) M1M2_PR
+    NEW met1 ( 29670 17850 ) M1M2_PR
+    NEW li1 ( 34270 17850 ) L1M1_PR_MR
+    NEW li1 ( 24150 39270 ) L1M1_PR_MR
+    NEW met1 ( 27370 39270 ) M1M2_PR
+    NEW met1 ( 26910 22610 ) M1M2_PR
+    NEW li1 ( 21390 45390 ) L1M1_PR_MR
+    NEW met1 ( 21390 45390 ) M1M2_PR
+    NEW met1 ( 21390 39610 ) M1M2_PR
+    NEW met1 ( 37490 61370 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 26910 22610 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 21390 45390 ) RECT ( 0 -70 355 70 )
++ USE SIGNAL ;
+- hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) 
+  + ROUTED met1 ( 27830 55930 ) ( 28290 55930 )
+    NEW met2 ( 27830 49980 ) ( 27830 55930 )
+    NEW met2 ( 27830 49980 ) ( 28290 49980 )
+    NEW met2 ( 28290 47260 ) ( 28290 47430 )
+    NEW met3 ( 28290 47260 ) ( 29900 47260 )
+    NEW met4 ( 29900 35020 ) ( 29900 47260 )
+    NEW met3 ( 29900 35020 ) ( 33350 35020 )
+    NEW met2 ( 33350 34170 ) ( 33350 35020 )
+    NEW met1 ( 9890 45390 ) ( 10350 45390 )
+    NEW met2 ( 10350 45390 ) ( 10350 47260 )
+    NEW met3 ( 10350 47260 ) ( 28290 47260 )
+    NEW met2 ( 28290 47430 ) ( 28290 49980 )
+    NEW li1 ( 28290 55930 ) L1M1_PR_MR
+    NEW met1 ( 27830 55930 ) M1M2_PR
+    NEW li1 ( 28290 47430 ) L1M1_PR_MR
+    NEW met1 ( 28290 47430 ) M1M2_PR
+    NEW met2 ( 28290 47260 ) via2_FR
+    NEW met3 ( 29900 47260 ) M3M4_PR_M
+    NEW met3 ( 29900 35020 ) M3M4_PR_M
+    NEW met2 ( 33350 35020 ) via2_FR
+    NEW li1 ( 33350 34170 ) L1M1_PR_MR
+    NEW met1 ( 33350 34170 ) M1M2_PR
+    NEW li1 ( 9890 45390 ) L1M1_PR_MR
+    NEW met1 ( 10350 45390 ) M1M2_PR
+    NEW met2 ( 10350 47260 ) via2_FR
+    NEW met1 ( 28290 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 33350 34170 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) 
+( _120_ A ) 
+  + ROUTED met1 ( 18630 63070 ) ( 25070 63070 )
+    NEW met1 ( 25070 63070 ) ( 25070 63410 )
+    NEW met1 ( 25070 63410 ) ( 34730 63410 )
+    NEW met1 ( 14490 39610 ) ( 14490 39950 )
+    NEW met1 ( 14490 39950 ) ( 18630 39950 )
+    NEW met2 ( 18630 39950 ) ( 18630 52870 )
+    NEW met2 ( 16330 36550 ) ( 16330 39950 )
+    NEW met1 ( 14490 34170 ) ( 14490 34510 )
+    NEW met1 ( 14490 34510 ) ( 16330 34510 )
+    NEW met2 ( 16330 34510 ) ( 16330 36550 )
+    NEW met2 ( 18630 52870 ) ( 18630 63070 )
+    NEW met1 ( 18630 63070 ) M1M2_PR
+    NEW li1 ( 34730 63410 ) L1M1_PR_MR
+    NEW li1 ( 18630 52870 ) L1M1_PR_MR
+    NEW met1 ( 18630 52870 ) M1M2_PR
+    NEW li1 ( 14490 39610 ) L1M1_PR_MR
+    NEW met1 ( 18630 39950 ) M1M2_PR
+    NEW li1 ( 16330 36550 ) L1M1_PR_MR
+    NEW met1 ( 16330 36550 ) M1M2_PR
+    NEW met1 ( 16330 39950 ) M1M2_PR
+    NEW li1 ( 14490 34170 ) L1M1_PR_MR
+    NEW met1 ( 16330 34510 ) M1M2_PR
+    NEW met1 ( 18630 52870 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 16330 39950 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) 
+  + ROUTED met1 ( 47610 58310 ) ( 48070 58310 )
+    NEW met1 ( 47610 58310 ) ( 47610 58990 )
+    NEW met1 ( 43010 58990 ) ( 47610 58990 )
+    NEW met1 ( 43010 58310 ) ( 43010 58990 )
+    NEW met2 ( 48990 28730 ) ( 48990 30260 )
+    NEW met2 ( 48990 30260 ) ( 49450 30260 )
+    NEW met2 ( 49450 30260 ) ( 49450 41820 )
+    NEW met2 ( 49450 41820 ) ( 50370 41820 )
+    NEW met2 ( 50370 41820 ) ( 50370 58990 )
+    NEW met1 ( 47610 58990 ) ( 50370 58990 )
+    NEW met1 ( 48990 26690 ) ( 51290 26690 )
+    NEW met2 ( 48990 26690 ) ( 48990 28730 )
+    NEW li1 ( 48070 58310 ) L1M1_PR_MR
+    NEW li1 ( 43010 58310 ) L1M1_PR_MR
+    NEW li1 ( 48990 28730 ) L1M1_PR_MR
+    NEW met1 ( 48990 28730 ) M1M2_PR
+    NEW met1 ( 50370 58990 ) M1M2_PR
+    NEW li1 ( 51290 26690 ) L1M1_PR_MR
+    NEW met1 ( 48990 26690 ) M1M2_PR
+    NEW met1 ( 48990 28730 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) 
+( _106_ A1 ) ( _105_ B ) 
+  + ROUTED met2 ( 37030 31620 ) ( 37030 36550 )
+    NEW met2 ( 37030 31620 ) ( 37490 31620 )
+    NEW met2 ( 37490 17850 ) ( 37490 31620 )
+    NEW met1 ( 37490 17850 ) ( 40250 17850 )
+    NEW met2 ( 54510 30770 ) ( 54510 34170 )
+    NEW met1 ( 37490 30770 ) ( 54510 30770 )
+    NEW met3 ( 40710 42500 ) ( 40940 42500 )
+    NEW met3 ( 40940 38420 ) ( 40940 42500 )
+    NEW met3 ( 40940 38420 ) ( 41170 38420 )
+    NEW met2 ( 41170 37230 ) ( 41170 38420 )
+    NEW met1 ( 38410 37230 ) ( 41170 37230 )
+    NEW met1 ( 38410 36890 ) ( 38410 37230 )
+    NEW met1 ( 37030 36890 ) ( 38410 36890 )
+    NEW met1 ( 37030 36550 ) ( 37030 36890 )
+    NEW met2 ( 20470 47430 ) ( 20470 47940 )
+    NEW met3 ( 20470 47940 ) ( 36110 47940 )
+    NEW met1 ( 15410 38930 ) ( 20010 38930 )
+    NEW met2 ( 20010 38930 ) ( 20010 43860 )
+    NEW met2 ( 20010 43860 ) ( 20470 43860 )
+    NEW met2 ( 20470 43860 ) ( 20470 47430 )
+    NEW met1 ( 36110 49810 ) ( 40710 49810 )
+    NEW met2 ( 36110 47940 ) ( 36110 49810 )
+    NEW met2 ( 40710 42500 ) ( 40710 52870 )
+    NEW li1 ( 37030 36550 ) L1M1_PR_MR
+    NEW met1 ( 37030 36550 ) M1M2_PR
+    NEW met1 ( 37490 17850 ) M1M2_PR
+    NEW li1 ( 40250 17850 ) L1M1_PR_MR
+    NEW li1 ( 54510 34170 ) L1M1_PR_MR
+    NEW met1 ( 54510 34170 ) M1M2_PR
+    NEW met1 ( 54510 30770 ) M1M2_PR
+    NEW met1 ( 37490 30770 ) M1M2_PR
+    NEW met2 ( 40710 42500 ) via2_FR
+    NEW met2 ( 41170 38420 ) via2_FR
+    NEW met1 ( 41170 37230 ) M1M2_PR
+    NEW li1 ( 20470 47430 ) L1M1_PR_MR
+    NEW met1 ( 20470 47430 ) M1M2_PR
+    NEW met2 ( 20470 47940 ) via2_FR
+    NEW met2 ( 36110 47940 ) via2_FR
+    NEW li1 ( 15410 38930 ) L1M1_PR_MR
+    NEW met1 ( 20010 38930 ) M1M2_PR
+    NEW li1 ( 40710 52870 ) L1M1_PR_MR
+    NEW met1 ( 40710 52870 ) M1M2_PR
+    NEW met1 ( 36110 49810 ) M1M2_PR
+    NEW met1 ( 40710 49810 ) M1M2_PR
+    NEW met1 ( 37030 36550 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 54510 34170 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 37490 30770 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 20470 47430 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 40710 52870 ) RECT ( 0 -70 355 70 )
+    NEW met2 ( 40710 49810 ) RECT ( -70 -485 70 0 )
++ USE SIGNAL ;
+- vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) 
+( _106_ A2 ) ( _099_ A2 ) 
+  + ROUTED met1 ( 49450 28390 ) ( 49450 28730 )
+    NEW met1 ( 40710 28390 ) ( 49450 28390 )
+    NEW met1 ( 40710 28050 ) ( 40710 28390 )
+    NEW met2 ( 40710 17850 ) ( 40710 28050 )
+    NEW met1 ( 40710 17850 ) ( 41170 17850 )
+    NEW met1 ( 38870 36210 ) ( 38870 36550 )
+    NEW met1 ( 38870 36210 ) ( 39330 36210 )
+    NEW met2 ( 39330 28390 ) ( 39330 36210 )
+    NEW met1 ( 39330 28390 ) ( 40710 28390 )
+    NEW met1 ( 39790 52870 ) ( 40250 52870 )
+    NEW met2 ( 40250 50150 ) ( 40250 52870 )
+    NEW met1 ( 39330 50150 ) ( 40250 50150 )
+    NEW met2 ( 39330 39780 ) ( 39330 50150 )
+    NEW met3 ( 39100 39780 ) ( 39330 39780 )
+    NEW met3 ( 39100 36380 ) ( 39100 39780 )
+    NEW met3 ( 39100 36380 ) ( 39330 36380 )
+    NEW met2 ( 39330 36210 ) ( 39330 36380 )
+    NEW met2 ( 40250 52870 ) ( 40250 58310 )
+    NEW met1 ( 17250 35870 ) ( 20470 35870 )
+    NEW met2 ( 20470 35870 ) ( 20470 39100 )
+    NEW met3 ( 20470 39100 ) ( 39100 39100 )
+    NEW li1 ( 49450 28730 ) L1M1_PR_MR
+    NEW met1 ( 40710 28050 ) M1M2_PR
+    NEW met1 ( 40710 17850 ) M1M2_PR
+    NEW li1 ( 41170 17850 ) L1M1_PR_MR
+    NEW li1 ( 38870 36550 ) L1M1_PR_MR
+    NEW met1 ( 39330 36210 ) M1M2_PR
+    NEW met1 ( 39330 28390 ) M1M2_PR
+    NEW li1 ( 39790 52870 ) L1M1_PR_MR
+    NEW met1 ( 40250 52870 ) M1M2_PR
+    NEW met1 ( 40250 50150 ) M1M2_PR
+    NEW met1 ( 39330 50150 ) M1M2_PR
+    NEW met2 ( 39330 39780 ) via2_FR
+    NEW met2 ( 39330 36380 ) via2_FR
+    NEW li1 ( 40250 58310 ) L1M1_PR_MR
+    NEW met1 ( 40250 58310 ) M1M2_PR
+    NEW li1 ( 17250 35870 ) L1M1_PR_MR
+    NEW met1 ( 20470 35870 ) M1M2_PR
+    NEW met2 ( 20470 39100 ) via2_FR
+    NEW met1 ( 40250 58310 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) 
+  + ROUTED met1 ( 22310 31110 ) ( 22310 31450 )
+    NEW met1 ( 22310 31450 ) ( 38870 31450 )
+    NEW met2 ( 38870 23290 ) ( 38870 31450 )
+    NEW met1 ( 38870 23290 ) ( 48530 23290 )
+    NEW met1 ( 15410 34850 ) ( 16790 34850 )
+    NEW met1 ( 16790 34510 ) ( 16790 34850 )
+    NEW met1 ( 16790 34510 ) ( 20470 34510 )
+    NEW met2 ( 20470 31450 ) ( 20470 34510 )
+    NEW met1 ( 20470 31450 ) ( 22310 31450 )
+    NEW met1 ( 38410 52870 ) ( 39330 52870 )
+    NEW met2 ( 39330 52700 ) ( 39330 52870 )
+    NEW met2 ( 39330 52700 ) ( 39790 52700 )
+    NEW met2 ( 39790 31450 ) ( 39790 52700 )
+    NEW met1 ( 38870 31450 ) ( 39790 31450 )
+    NEW li1 ( 22310 31110 ) L1M1_PR_MR
+    NEW met1 ( 38870 31450 ) M1M2_PR
+    NEW met1 ( 38870 23290 ) M1M2_PR
+    NEW li1 ( 48530 23290 ) L1M1_PR_MR
+    NEW li1 ( 15410 34850 ) L1M1_PR_MR
+    NEW met1 ( 20470 34510 ) M1M2_PR
+    NEW met1 ( 20470 31450 ) M1M2_PR
+    NEW li1 ( 38410 52870 ) L1M1_PR_MR
+    NEW met1 ( 39330 52870 ) M1M2_PR
+    NEW met1 ( 39790 31450 ) M1M2_PR
++ USE SIGNAL ;
+- vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) 
+( _099_ A1 ) 
+  + ROUTED met1 ( 19090 47430 ) ( 19550 47430 )
+    NEW met1 ( 43470 25670 ) ( 43930 25670 )
+    NEW met2 ( 43470 25670 ) ( 43470 26180 )
+    NEW met3 ( 40940 26180 ) ( 43470 26180 )
+    NEW met4 ( 40940 26180 ) ( 40940 43180 )
+    NEW met3 ( 40940 43180 ) ( 41630 43180 )
+    NEW met2 ( 47150 23630 ) ( 47150 25330 )
+    NEW met1 ( 43930 25330 ) ( 47150 25330 )
+    NEW met1 ( 43930 25330 ) ( 43930 25670 )
+    NEW met1 ( 47150 23630 ) ( 49450 23630 )
+    NEW met1 ( 41170 58310 ) ( 41630 58310 )
+    NEW met1 ( 21850 52870 ) ( 28750 52870 )
+    NEW met1 ( 28750 52530 ) ( 28750 52870 )
+    NEW met1 ( 28750 52530 ) ( 30130 52530 )
+    NEW met1 ( 30130 52190 ) ( 30130 52530 )
+    NEW met1 ( 30130 52190 ) ( 41630 52190 )
+    NEW met3 ( 19090 52700 ) ( 23690 52700 )
+    NEW met2 ( 23690 52700 ) ( 23690 52870 )
+    NEW met2 ( 19090 47430 ) ( 19090 52700 )
+    NEW met2 ( 41630 43180 ) ( 41630 58310 )
+    NEW li1 ( 49450 23630 ) L1M1_PR_MR
+    NEW met1 ( 19090 47430 ) M1M2_PR
+    NEW li1 ( 19550 47430 ) L1M1_PR_MR
+    NEW li1 ( 43930 25670 ) L1M1_PR_MR
+    NEW met1 ( 43470 25670 ) M1M2_PR
+    NEW met2 ( 43470 26180 ) via2_FR
+    NEW met3 ( 40940 26180 ) M3M4_PR_M
+    NEW met3 ( 40940 43180 ) M3M4_PR_M
+    NEW met2 ( 41630 43180 ) via2_FR
+    NEW met1 ( 47150 23630 ) M1M2_PR
+    NEW met1 ( 47150 25330 ) M1M2_PR
+    NEW met1 ( 41630 58310 ) M1M2_PR
+    NEW li1 ( 41170 58310 ) L1M1_PR_MR
+    NEW li1 ( 21850 52870 ) L1M1_PR_MR
+    NEW met1 ( 41630 52190 ) M1M2_PR
+    NEW met2 ( 19090 52700 ) via2_FR
+    NEW met2 ( 23690 52700 ) via2_FR
+    NEW met1 ( 23690 52870 ) M1M2_PR
+    NEW met2 ( 41630 52190 ) RECT ( -70 -485 70 0 )
+    NEW met1 ( 23690 52870 ) RECT ( -595 -70 0 70 )
++ USE SIGNAL ;
+- vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) 
+  + ROUTED met1 ( 40250 42330 ) ( 45310 42330 )
+    NEW met2 ( 40250 14790 ) ( 40250 42330 )
+    NEW met2 ( 49450 42670 ) ( 49450 50490 )
+    NEW met1 ( 45310 42670 ) ( 49450 42670 )
+    NEW met1 ( 45310 42330 ) ( 45310 42670 )
+    NEW met1 ( 49450 58310 ) ( 49910 58310 )
+    NEW met2 ( 49910 56610 ) ( 49910 58310 )
+    NEW met1 ( 48990 56610 ) ( 49910 56610 )
+    NEW met2 ( 48990 52020 ) ( 48990 56610 )
+    NEW met2 ( 48990 52020 ) ( 49450 52020 )
+    NEW met2 ( 49450 50490 ) ( 49450 52020 )
+    NEW li1 ( 45310 42330 ) L1M1_PR_MR
+    NEW met1 ( 40250 42330 ) M1M2_PR
+    NEW li1 ( 40250 14790 ) L1M1_PR_MR
+    NEW met1 ( 40250 14790 ) M1M2_PR
+    NEW li1 ( 49450 50490 ) L1M1_PR_MR
+    NEW met1 ( 49450 50490 ) M1M2_PR
+    NEW met1 ( 49450 42670 ) M1M2_PR
+    NEW li1 ( 49450 58310 ) L1M1_PR_MR
+    NEW met1 ( 49910 58310 ) M1M2_PR
+    NEW met1 ( 49910 56610 ) M1M2_PR
+    NEW met1 ( 48990 56610 ) M1M2_PR
+    NEW met1 ( 40250 14790 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 49450 50490 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def.ref b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def.ref
new file mode 100644
index 0000000..26f1503
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def.ref
@@ -0,0 +1,787 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+
+VIAS 5 ;
+- via2_FR
+  + RECT met2 ( -140 -185 ) ( 140 185 )
+  + RECT via2 ( -100 -100 ) ( 100 100 )
+  + RECT met3 ( -165 -165 ) ( 165 165 )
+  ;
+- via4_FR
+  + RECT met4 ( -590 -590 ) ( 590 590 )
+  + RECT via4 ( -400 -400 ) ( 400 400 )
+  + RECT met5 ( -710 -710 ) ( 710 710 )
+  ;
+    - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150  + LAYERS met1 via met2  + CUTSPACING 170 170  + ENCLOSURE 245 165 55 165  + ROWCOL 1 4  ;
+    - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200  + LAYERS met2 via2 met3  + CUTSPACING 200 200  + ENCLOSURE 40 140 100 65  + ROWCOL 1 4  ;
+    - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200  + LAYERS met3 via3 met4  + CUTSPACING 200 200  + ENCLOSURE 100 60 100 140  + ROWCOL 1 4  ;
+END VIAS
+COMPONENTS 388 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+    - FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+    - FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+    - FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+    - FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+    - FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+    - FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+    - FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+    - FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+    - FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+    - FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+    - FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+    - FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+    - FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+    - FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+    - FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+    - FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+    - FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+    - FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+    - FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+    - FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+    - FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+    - FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+    - FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+    - FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+    - FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+    - FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+    - FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+    - FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+    - FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+    - FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+    - FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+    - FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+    - FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+    - FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+    - FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+    - FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+    - FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+    - FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+    - FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+    - FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+    - FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+    - FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+    - FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+    - FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+    - FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+    - FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+    - FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+    - FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+    - FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+    - FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+    - FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+    - FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+    - FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+    - FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+    - FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+    - FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+    - FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+    - FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+    - FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+    - FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+    - FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+    - FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+    - FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+    - FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+    - FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+    - FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+    - FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+    - FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+    - FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+    - FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+    - FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+    - FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+    - FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+    - FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+    - FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+    - FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+    - FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+    - FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+    - FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+    - FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+    - FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+    - FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+    - FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+    - FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+    - FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+    - FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+    - FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+    - FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+    - FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+    - FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+    - FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+    - FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+    - FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+    - FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+    - FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+    - FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+    - FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+    - FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+    - FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+    - FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+    - FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+    - FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+    - FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+    - FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+    - FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+    - FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+    - FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+    - FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+    - FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+    - FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+    - FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+    - FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+    - FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+    - FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+    - FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+    - FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+    - FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+    - FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+    - FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+    - FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+    - FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+    - FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+    - FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+    - FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+    - FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+    - FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+    - FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+    - FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+    - FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+    - FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+    - FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+    - FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+    - FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+    - FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+    - FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+    - FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+    - FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+    - FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+    - FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+    - FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+    - FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+    - FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+    - FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+    - FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+    - FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+    - FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+    - FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+    - FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+    - FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+    - FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+    - FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+    - FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+    - FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+    - FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+    - FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+    - FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+    - FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+    - FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+    - FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+    - FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+    - FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+    - FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+    - FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+    - FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+    - FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+    - FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+    - FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+    - FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+    - FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+    - FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+    - FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+    - FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+    - FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+    - FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+    - FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+    - FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+    - FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+    - FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+    - FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+    - FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+    - FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+    - FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+    - FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+    - FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+    - FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+    - FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+    - FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+    - FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+    - FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+    - FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+    - FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+    - FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+    - FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+    - FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+    - FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+    - FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+    - FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+    - FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+    - FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+    - FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+    - FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+    - FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+    - FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+    - FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+    - FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+    - FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+    - FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+    - FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+    - FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+PINS 28 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 14873 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+    - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24226 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+END PINS
+SPECIALNETS 2 ;
+    - VPWR ( PIN VPWR ) + USE POWER
+      + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) ;
+    - VGND ( PIN VGND ) + USE GROUND
+      + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) ;
+END SPECIALNETS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.spef b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.spef
new file mode 100644
index 0000000..2ea484a
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.spef
@@ -0,0 +1,4271 @@
+*SPEF "IEEE 1481-1998"
+*DESIGN "ycell"
+*DATE "Wed Dec 02 20:35:52 2020"
+*VENDOR "AUC CSCE Department"
+*PROGRAM "SPEF Extractor"
+*VERSION "1.0"
+*DESIGN_FLOW "PIN_CAP NONE"
+*DIVIDER /
+*DELIMITER :
+*BUS_DELIMITER []
+*T_UNIT 1.00000 NS
+*C_UNIT 1.00000 PF
+*R_UNIT 1.00000 OHM
+*L_UNIT 1.00000 HENRY
+
+
+*NAME_MAP
+*0 cbitin
+*1 cbitout
+*2 confclk
+*3 dempty
+*4 din[0]
+*5 din[1]
+*6 dout[0]
+*7 dout[1]
+*8 hempty
+*9 lempty
+*10 lin[0]
+*11 lin[1]
+*12 lout[0]
+*13 lout[1]
+*14 rempty
+*15 reset
+*16 rin[0]
+*17 rin[1]
+*18 rout[0]
+*19 rout[1]
+*20 uempty
+*21 uin[0]
+*22 uin[1]
+*23 uout[0]
+*24 uout[1]
+*25 vempty
+*26 _000_
+*27 _001_
+*28 _002_
+*29 _003_
+*30 _004_
+*31 _005_
+*32 _006_
+*33 _007_
+*34 _008_
+*35 _009_
+*36 _010_
+*37 _011_
+*38 _012_
+*39 _013_
+*40 _014_
+*41 _015_
+*42 _016_
+*43 _017_
+*44 _018_
+*45 _019_
+*46 _020_
+*47 _021_
+*48 _022_
+*49 _023_
+*50 _024_
+*51 _025_
+*52 _026_
+*53 _027_
+*54 _028_
+*55 _029_
+*56 _030_
+*57 _031_
+*58 _032_
+*59 _033_
+*60 _034_
+*61 _035_
+*62 _036_
+*63 _037_
+*64 _038_
+*65 _039_
+*66 _040_
+*67 _041_
+*68 _042_
+*69 _043_
+*70 _044_
+*71 _045_
+*72 _046_
+*73 _047_
+*74 _048_
+*75 _049_
+*76 _050_
+*77 _051_
+*78 _052_
+*79 _053_
+*80 _054_
+*81 _055_
+*82 _056_
+*83 _057_
+*84 _058_
+*85 _059_
+*86 _060_
+*87 _061_
+*88 _062_
+*89 _063_
+*90 _064_
+*91 _065_
+*92 _066_
+*93 _067_
+*94 _068_
+*95 _069_
+*96 _070_
+*97 _071_
+*98 _072_
+*99 _073_
+*100 _074_
+*101 _075_
+*102 _076_
+*103 _077_
+*104 _078_
+*105 cfg.cnfg\[0\]
+*106 cfg.cnfg\[1\]
+*107 hfsm.clear
+*108 hfsm.in\[1\]
+*109 hfsm.lin\[0\]
+*110 hfsm.lin\[1\]
+*111 hfsm.lmatch\[0\]
+*112 hfsm.lmatch\[1\]
+*113 hfsm.nlmempty
+*114 vfsm.clear
+*115 vfsm.in\[1\]
+*116 vfsm.lin\[0\]
+*117 vfsm.lin\[1\]
+*118 vfsm.lmatch\[0\]
+*119 vfsm.lmatch\[1\]
+*120 vfsm.nlmempty
+
+*D_NET *0 0.0019225247386200003
+*CONN
+*P cbitin I
+*I _182_:D I
+*CAP
+0 *0:1 0.00097718645202
+1 *0:3 8.932229792e-05
+2 *0:4 0.00071303060128
+3 *0:6 0.00014298538739999998
+4 _182_:D 0
+5 *0:5 0
+*RES
+0 *0:1 *0:2 11.080357142857142
+1 *0:3 _182_:D 0.8214285714285714
+2 *0:4 *0:5 7.3928571428571415
+3 *0:6 *0:7 1.5178571428571426
+4 *0:4 *0:1 0
+5 *0:3 *0:7 0
+6 _182_:D _182_:D 0
+7 *0:5 *0:6 0
+*END
+
+*D_NET *1 0.0063030214406199995
+*CONN
+*P cbitout O
+*I _184_:Q O
+*I _096_:A I
+*I _085_:A I
+*CAP
+6 _096_:A 4.991681407999999e-05
+7 *1:2 0.0003532151264
+8 *1:3 0.0005181217564799999
+9 *1:4 0.00071303060128
+10 *1:5 0.00014298538739999998
+11 *1:8 0.00056670656308
+12 *1:10 4.640188412000001e-05
+13 _085_:A 0.00012830406688
+14 *1:12 0.0037843392409000003
+15 *1:7 0
+16 _184_:Q 0
+17 *1:11 0
+*RES
+8 _096_:A *1:1 0.40625
+9 *1:2 *1:1 0.6071428571428571
+10 *1:3 *1:2 5.339285714285714
+11 *1:4 _085_:A 7.3928571428571415
+12 *1:5 *1:6 1.5178571428571426
+13 *1:2 *1:7 2.875
+14 *1:8 *1:9 6.374999999999999
+15 *1:10 *1:8 0.4107142857142857
+16 _085_:A *1:11 1.232142857142857
+17 *1:12 *1:13 43.25892857142857
+18 _096_:A _096_:A 0
+19 *1:3 *1:13 0
+20 _085_:A _085_:A 0
+21 *1:4 *1:5 0
+22 *1:7 *1:6 0
+23 _184_:Q _184_:Q 0
+24 _184_:Q *1:9 0
+25 *1:11 *1:10 0
+*END
+
+*D_NET *2 0.00281467470838
+*CONN
+*P confclk I
+*I _184_:CLK I
+*I _183_:CLK I
+*I _182_:CLK I
+*CAP
+18 *2:1 0.00105663417246
+19 *2:3 5.034052896000001e-05
+20 _184_:CLK 8.932229792e-05
+21 *2:5 2.3813806740000006e-05
+22 *2:6 4.640188412000001e-05
+23 *2:7 0.00020919182110000001
+24 *2:9 4.017137184e-05
+25 *2:10 0.00110284829088
+26 *2:8 0.00019595053436
+27 _182_:CLK 0
+28 _183_:CLK 0
+29 *2:4 0
+*RES
+26 *2:1 *2:2 11.991071428571427
+27 *2:3 _183_:CLK 0.4107142857142857
+28 _184_:CLK *2:4 0.8214285714285714
+29 *2:5 *2:6 0.15178571428571427
+30 *2:6 *2:7 0.4107142857142857
+31 *2:7 *2:8 2.276785714285714
+32 *2:9 *2:3 0.30357142857142855
+33 *2:10 *2:9 11.5
+34 *2:8 *2:11 2.1249999999999996
+35 *2:10 *2:1 0
+36 _182_:CLK _182_:CLK 0
+37 _182_:CLK *2:11 0
+38 _183_:CLK _183_:CLK 0
+39 *2:3 *2:8 0
+40 _184_:CLK _184_:CLK 0
+41 *2:4 *2:5 0
+*END
+
+*D_NET *3 0.00316839529506
+*CONN
+*P dempty I
+*I _093_:A I
+*CAP
+30 *3:1 0.00071303060128
+31 *3:3 0.00104339288572
+32 *3:5 4.017137184e-05
+33 _093_:A 5.034052896000001e-05
+34 *3:7 0.0013214599072599999
+35 *3:2 0
+*RES
+42 *3:1 *3:2 7.3928571428571415
+43 *3:3 *3:4 11.839285714285714
+44 *3:5 *3:6 0.30357142857142855
+45 _093_:A *3:6 0.4107142857142857
+46 *3:7 *3:8 15.026785714285712
+47 *3:1 *3:8 0
+48 *3:2 *3:3 0
+49 *3:5 *3:4 0
+50 _093_:A _093_:A 0
+*END
+
+*D_NET *4 0.00514089791282
+*CONN
+*P din[0] I
+*I _111_:A I
+*CAP
+36 *4:1 8.223124824000001e-05
+37 *4:2 0.00048725884264
+38 *4:4 0.00114183005984
+39 *4:6 0.0021556609718800003
+40 _111_:A 0.00032321291168
+41 *4:9 0.0009507038785400001
+42 *4:5 0
+43 *4:8 0
+*RES
+51 *4:1 *4:2 0.8214285714285714
+52 *4:2 *4:3 5.4642857142857135
+53 *4:4 *4:5 11.910714285714285
+54 *4:6 *4:7 24.58928571428571
+55 _111_:A *4:8 3.2857142857142856
+56 *4:9 *4:1 10.776785714285714
+57 *4:4 *4:9 0
+58 *4:5 *4:7 0
+59 *4:8 *4:6 0
+60 _111_:A _111_:A 0
+*END
+
+*D_NET *5 0.00113025537344
+*CONN
+*P din[1] I
+*I _100_:B1 I
+*CAP
+44 *5:1 0.00016847543872000001
+45 *5:3 5.029638022e-05
+46 *5:5 0.0009114835544999999
+47 _100_:B1 0
+48 *5:2 0
+*RES
+61 *5:1 _100_:B1 0.30357142857142855
+62 *5:1 *5:2 1.232142857142857
+63 *5:3 *5:4 0.45535714285714285
+64 *5:5 *5:6 1.6215
+65 _100_:B1 _100_:B1 0
+66 *5:2 *5:4 0
+67 *5:3 *5:5 0
+*END
+
+*D_NET *6 0.00274771044936
+*CONN
+*P dout[0] O
+*I _110_:B I
+*I _109_:X O
+*CAP
+49 *6:1 0.0002062676048
+50 *6:2 0.0011890470398600002
+51 *6:4 0.0008720662143000001
+52 _110_:B 4.017137184e-05
+53 *6:6 0.00044015821855999997
+54 _109_:X 0
+55 *6:7 0
+*RES
+68 *6:1 _109_:X 2.0535714285714284
+69 *6:2 *6:3 13.508928571428571
+70 *6:4 *6:5 1.5494333333333334
+71 _110_:B *6:6 0.30357142857142855
+72 *6:6 *6:7 4.517857142857142
+73 _109_:X _109_:X 0
+74 *6:1 *6:3 0
+75 *6:2 *6:4 0
+76 _110_:B _110_:B 0
+77 *6:7 *6:8 0
+*END
+
+*D_NET *7 0.00291812950618
+*CONN
+*P dout[1] O
+*I _100_:A2 I
+*I _099_:X O
+*CAP
+56 *7:1 0.00016946796088000002
+57 _099_:X 0.00145368421152
+58 *7:4 0.0012949773337799998
+59 _100_:A2 0
+60 *7:3 0
+61 *7:6 0
+*RES
+78 *7:1 *7:2 1.8214285714285714
+79 _099_:X *7:3 15.19642857142857
+80 *7:4 *7:5 14.723214285714283
+81 _100_:A2 _100_:A2 0
+82 _100_:A2 *7:1 0
+83 *7:3 *7:2 0
+84 _099_:X _099_:X 0
+85 *7:6 *7:4 0
+*END
+
+*D_NET *8 0.0068221780115000005
+*CONN
+*P hempty O
+*I _181_:B I
+*I _126_:B I
+*I _125_:X O
+*CAP
+62 _125_:X 0.00012830406688
+63 *8:2 0.0004078111222
+64 *8:4 0.00028423114272
+65 *8:5 0.00032836340175999997
+66 *8:7 0.00320786381472
+67 *8:9 7.67789537e-05
+68 *8:11 5.034052896000001e-05
+69 *8:13 0.0014169324422400003
+70 *8:16 0.00024891568132000004
+71 *8:3 0.0006726368570000002
+72 *8:1 0
+73 _126_:B 0
+74 _181_:B 0
+75 *8:8 0
+76 *8:12 0
+77 *8:18 0
+*RES
+86 _125_:X *8:1 1.232142857142857
+87 *8:2 *8:3 4.553571428571428
+88 *8:4 _126_:B 2.875
+89 *8:5 *8:6 3.642857142857143
+90 *8:7 *8:8 33.67857142857142
+91 *8:9 *8:10 0.7589285714285713
+92 *8:11 *8:12 0.4107142857142857
+93 *8:13 *8:14 15.178571428571427
+94 *8:13 *8:15 0.8214285714285714
+95 *8:16 *8:15 2.7321428571428568
+96 *8:3 *8:17 7.5892857142857135
+97 _125_:X _125_:X 0
+98 *8:1 *8:3 0
+99 *8:4 *8:2 0
+100 _126_:B _126_:B 0
+101 _181_:B _181_:B 0
+102 _181_:B *8:5 0
+103 *8:7 *8:6 0
+104 *8:8 *8:17 0
+105 *8:12 *8:9 0
+106 *8:11 *8:14 0
+107 *8:18 *8:16 0
+*END
+
+*D_NET *9 0.0019182177802000004
+*CONN
+*P lempty I
+*I _138_:A I
+*CAP
+78 *9:1 0.0005173101524999999
+79 *9:3 0.0014009076277000003
+80 _138_:A 0
+*RES
+108 *9:1 *9:2 0.9008333333333334
+109 *9:3 *9:4 15.9375
+110 *9:3 *9:2 0
+111 _138_:A _138_:A 0
+112 _138_:A *9:4 0
+*END
+
+*D_NET *10 0.002039697773
+*CONN
+*P lin[0] I
+*I _139_:A I
+*CAP
+81 *10:1 0.0006858781437400002
+82 *10:3 0.0011085702555
+83 _139_:A 0.00024524937376
+84 *10:5 0
+*RES
+113 *10:1 *10:2 7.741071428571428
+114 *10:3 *10:4 1.9818333333333336
+115 _139_:A *10:5 2.464285714285714
+116 _139_:A _139_:A 0
+117 *10:1 *10:4 0
+118 *10:5 *10:2 0
+*END
+
+*D_NET *11 0.00429281869932
+*CONN
+*P lin[1] I
+*I _165_:B I
+*CAP
+85 *11:1 0.0004030859631
+86 *11:3 0.0008917748843999999
+87 _165_:B 4.017137184e-05
+88 *11:5 0.0002062676048
+89 *11:7 0.00275151887518
+90 *11:8 0
+91 *11:6 0
+*RES
+119 *11:1 *11:2 0.10653333333333334
+120 *11:3 *11:2 1.5854666666666666
+121 *11:1 *11:4 0.5405000000000001
+122 _165_:B *11:5 0.30357142857142855
+123 *11:5 *11:6 2.0535714285714284
+124 *11:7 *11:8 31.41964285714285
+125 *11:8 *11:4 0
+126 _165_:B _165_:B 0
+127 *11:6 *11:7 0
+*END
+
+*D_NET *12 0.00729454965078
+*CONN
+*P lout[0] O
+*I _167_:C I
+*I _166_:Y O
+*CAP
+92 *12:1 7.67789537e-05
+93 *12:3 4.43020701e-05
+94 *12:5 0.00203425332372
+95 *12:7 0.0001625540907
+96 *12:9 0.0004791399875199999
+97 *12:11 0.0007256020039600001
+98 *12:13 0.00012830406688
+99 *12:15 0.00124201218682
+100 *12:17 6.353766696000002e-05
+101 *12:19 0.0021553560528000002
+102 *12:21 0.00018270924762000003
+103 _166_:Y 0
+104 *12:2 0
+105 *12:4 0
+106 *12:8 0
+107 *12:10 0
+108 *12:14 0
+109 _167_:C 0
+110 *12:20 0
+*RES
+128 *12:1 *12:2 0.7589285714285713
+129 *12:3 *12:4 0.036033333333333334
+130 *12:5 *12:6 4.1548
+131 *12:7 *12:8 0.25223333333333336
+132 *12:9 *12:10 4.928571428571428
+133 *12:11 *12:12 8.196428571428571
+134 *12:13 *12:14 1.232142857142857
+135 *12:15 *12:16 14.116071428571427
+136 *12:17 *12:18 0.6071428571428571
+137 *12:19 *12:20 22.58928571428571
+138 *12:21 *12:22 1.9732142857142856
+139 _166_:Y _166_:Y 0
+140 _166_:Y *12:1 0
+141 *12:2 *12:3 0
+142 *12:4 *12:5 0
+143 *12:8 *12:6 0
+144 *12:21 *12:7 0
+145 *12:10 *12:22 0
+146 *12:9 *12:11 0
+147 *12:13 *12:12 0
+148 *12:14 *12:15 0
+149 _167_:C _167_:C 0
+150 _167_:C *12:17 0
+151 *12:19 *12:18 0
+152 *12:20 *12:23 0
+*END
+
+*D_NET *13 0.00579710205064
+*CONN
+*P lout[1] O
+*I _167_:B I
+*I _131_:A I
+*I _130_:X O
+*CAP
+111 _167_:B 4.017137184e-05
+112 *13:2 8.932229792e-05
+113 *13:3 0.00052698270286
+114 *13:5 0.0013844916368999996
+115 _131_:A 9.051190080000001e-05
+116 *13:7 0.0005181217564799999
+117 *13:8 4.017137184e-05
+118 *13:9 0.00227230135968
+119 *13:11 0.0004078111222
+120 *13:1 0.00028423114272
+121 *13:15 0.00014298538739999998
+122 _130_:X 0
+123 *13:4 0
+124 *13:10 0
+125 *13:14 0
+126 *13:13 0
+*RES
+153 _167_:B *13:1 0.30357142857142855
+154 *13:2 _130_:X 0.8214285714285714
+155 *13:3 *13:4 5.919642857142857
+156 *13:5 *13:6 2.4863
+157 _131_:A *13:7 0.30357142857142855
+158 *13:7 *13:8 5.339285714285714
+159 *13:8 *13:9 0.30357142857142855
+160 *13:9 *13:10 23.82142857142857
+161 *13:11 *13:12 4.553571428571428
+162 _131_:A *13:13 0.4107142857142857
+163 *13:1 *13:14 2.875
+164 *13:15 *13:3 1.5178571428571426
+165 _167_:B _167_:B 0
+166 _130_:X _130_:X 0
+167 *13:2 *13:3 0
+168 *13:4 *13:5 0
+169 _131_:A _131_:A 0
+170 *13:10 *13:15 0
+171 *13:14 *13:11 0
+172 *13:13 *13:12 0
+*END
+
+*D_NET *14 0.0041170265093600006
+*CONN
+*P rempty I
+*I _126_:A I
+*CAP
+127 *14:1 0.0007143968535
+128 *14:3 0.00071303060128
+129 *14:5 0.00197028295752
+130 *14:7 0.00012830406688
+131 *14:8 4.017137184e-05
+132 *14:9 5.034052896000001e-05
+133 *14:10 0.0005005001293800001
+134 *14:4 0
+135 _126_:A 0
+*RES
+173 *14:1 *14:2 1.2611666666666668
+174 *14:3 *14:4 7.3928571428571415
+175 *14:5 *14:6 22.46428571428571
+176 *14:7 *14:8 1.232142857142857
+177 *14:8 *14:9 0.30357142857142855
+178 *14:9 _126_:A 0.4107142857142857
+179 *14:10 *14:11 5.616071428571428
+180 *14:10 *14:1 0
+181 *14:4 *14:11 0
+182 *14:3 *14:5 0
+183 *14:7 *14:6 0
+184 _126_:A _126_:A 0
+*END
+
+*D_NET *15 0.005384615229420001
+*CONN
+*P reset I
+*I _181_:A I
+*I _173_:B1 I
+*CAP
+136 _181_:A 5.034052896000001e-05
+137 *15:2 0.0004791399875199999
+138 *15:4 0.00097718645202
+139 *15:6 0.0012287709000800002
+140 *15:8 0.00014298538739999998
+141 *15:10 0.00250619197344
+142 *15:1 0
+143 *15:3 0
+144 *15:11 0
+145 _173_:B1 0
+*RES
+185 _181_:A *15:1 0.4107142857142857
+186 *15:2 *15:3 4.928571428571428
+187 *15:4 *15:5 11.080357142857142
+188 *15:6 *15:7 13.964285714285714
+189 *15:8 *15:9 1.5178571428571426
+190 *15:10 *15:11 26.285714285714285
+191 *15:10 *15:7 0
+192 *15:1 *15:6 0
+193 _181_:A _181_:A 0
+194 *15:2 *15:4 0
+195 *15:3 *15:12 0
+196 *15:11 *15:9 0
+197 _173_:B1 _173_:B1 0
+198 _173_:B1 *15:8 0
+*END
+
+*D_NET *16 0.001661863074
+*CONN
+*P rin[0] I
+*I _147_:A I
+*CAP
+146 *16:1 5.034052896000001e-05
+147 *16:2 0.00081829101114
+148 *16:4 0.0007932315339000001
+149 _147_:A 0
+150 *16:3 0
+*RES
+199 *16:1 _147_:A 0.4107142857142857
+200 *16:2 *16:3 9.25892857142857
+201 *16:4 *16:5 1.4053000000000002
+202 _147_:A _147_:A 0
+203 *16:1 *16:2 0
+204 *16:3 *16:4 0
+*END
+
+*D_NET *17 0.0009992656453400002
+*CONN
+*P rin[1] I
+*I _130_:B1 I
+*CAP
+151 _130_:B1 4.017137184e-05
+152 *17:1 0.00016728583584
+153 *17:3 0.0007918084376600001
+154 *17:2 0
+*RES
+205 _130_:B1 *17:1 0.30357142857142855
+206 *17:1 *17:2 1.6428571428571428
+207 *17:3 *17:4 8.95535714285714
+208 _130_:B1 _130_:B1 0
+209 *17:2 *17:3 0
+*END
+
+*D_NET *18 0.007402038898220001
+*CONN
+*P rout[0] O
+*I _146_:B I
+*I _145_:X O
+*CAP
+155 *18:1 0.00076532586418
+156 _145_:X 0.00336379089056
+157 *18:4 8.932229792e-05
+158 *18:6 0.0007256020039600001
+159 *18:8 0.0001625540907
+160 *18:10 0.0008991392231600001
+161 *18:12 0.0001625540907
+162 *18:14 5.029638022e-05
+163 *18:16 0.0002062676048
+164 *18:17 0.00097718645202
+165 *18:3 0
+166 *18:19 0
+167 *18:5 0
+168 *18:9 0
+169 *18:15 0
+170 _146_:B 0
+*RES
+210 *18:1 *18:2 8.651785714285714
+211 _145_:X *18:3 35.32142857142857
+212 *18:4 *18:5 0.8214285714285714
+213 *18:6 *18:7 8.196428571428571
+214 *18:8 *18:9 0.25223333333333336
+215 *18:10 *18:11 1.8110666666666668
+216 *18:12 *18:13 0.25223333333333336
+217 *18:14 *18:15 0.45535714285714285
+218 *18:16 _146_:B 2.0535714285714284
+219 *18:17 *18:18 11.080357142857142
+220 _145_:X _145_:X 0
+221 *18:3 *18:7 0
+222 *18:19 *18:1 0
+223 *18:4 *18:6 0
+224 *18:5 *18:18 0
+225 *18:17 *18:8 0
+226 *18:9 *18:11 0
+227 *18:12 *18:10 0
+228 *18:15 *18:13 0
+229 *18:16 *18:14 0
+230 _146_:B _146_:B 0
+*END
+
+*D_NET *19 0.0032897695407
+*CONN
+*P rout[1] O
+*I _130_:A2 I
+*I _129_:X O
+*CAP
+171 *19:1 0.0005181217564799999
+172 *19:3 0.00076532586418
+173 *19:5 3.0849644479999996e-05
+174 _129_:X 0.00172655659424
+175 *19:7 0.00024891568132000004
+176 *19:2 0
+177 _130_:A2 0
+178 *19:6 0
+*RES
+231 *19:1 *19:2 5.339285714285714
+232 *19:3 *19:4 8.651785714285714
+233 *19:5 _130_:A2 0.20535714285714285
+234 _129_:X *19:6 18.07142857142857
+235 *19:7 *19:8 2.7321428571428568
+236 *19:1 *19:8 0
+237 *19:2 *19:3 0
+238 _130_:A2 _130_:A2 0
+239 *19:5 *19:9 0
+240 _129_:X _129_:X 0
+241 *19:6 *19:7 0
+*END
+
+*D_NET *20 0.00105104118942
+*CONN
+*P uempty I
+*I _102_:A I
+*CAP
+179 _102_:A 0.0002062676048
+180 *20:2 0.0008447735846200001
+181 *20:1 0
+*RES
+242 _102_:A *20:1 2.0535714285714284
+243 *20:2 *20:3 9.5625
+244 _102_:A _102_:A 0
+245 *20:1 *20:2 0
+*END
+
+*D_NET *21 0.0030349386479
+*CONN
+*P uin[0] I
+*I _103_:A I
+*CAP
+182 *21:1 0.00048725884264
+183 *21:3 0.0024193757383800005
+184 _103_:A 0.00012830406688
+185 *21:4 0
+*RES
+246 *21:1 *21:2 5.4642857142857135
+247 *21:3 *21:2 1.232142857142857
+248 _103_:A *21:4 1.232142857142857
+249 *21:3 *21:5 26.25892857142857
+250 *21:4 *21:5 0
+251 _103_:A _103_:A 0
+*END
+
+*D_NET *22 0.004106151669060001
+*CONN
+*P uin[1] I
+*I _157_:B I
+*CAP
+186 *22:1 0.00082997590816
+187 *22:3 0.0006726368570000002
+188 *22:5 4.640188412000001e-05
+189 *22:6 0.0008050497244000001
+190 _157_:B 0.00024524937376
+191 *22:8 0.0015068379216200002
+192 *22:2 0
+193 *22:7 0
+*RES
+252 *22:1 *22:2 8.625
+253 *22:3 *22:4 7.5892857142857135
+254 *22:5 *22:3 0.4107142857142857
+255 *22:6 *22:5 9.107142857142856
+256 _157_:B *22:7 2.464285714285714
+257 *22:8 *22:9 17.15178571428571
+258 *22:2 *22:8 0
+259 *22:1 *22:4 0
+260 *22:7 *22:6 0
+261 _157_:B _157_:B 0
+*END
+
+*D_NET *23 0.0045308633609
+*CONN
+*P uout[0] O
+*I _158_:C I
+*I _116_:A I
+*I _112_:Y O
+*CAP
+194 *23:1 5.034052896000001e-05
+195 *23:2 0.00012974410066
+196 *23:3 8.223124824000001e-05
+197 *23:4 0.0006858781437400002
+198 *23:6 0.00032321291168
+199 _116_:A 4.017137184e-05
+200 *23:7 0.0007909941392
+201 *23:9 0.00044753498242
+202 *23:11 9.669826434000002e-05
+203 *23:12 0.00012830406688
+204 *23:14 0.00043429369568000003
+205 *23:15 0.0013214599072599999
+206 _112_:Y 0
+207 _158_:C 0
+208 *23:8 0
+209 *23:13 0
+*RES
+262 *23:1 _112_:Y 0.4107142857142857
+263 *23:2 *23:3 1.3660714285714284
+264 *23:3 *23:4 0.8214285714285714
+265 *23:4 *23:5 7.741071428571428
+266 *23:6 _158_:C 3.2857142857142856
+267 _116_:A *23:7 0.30357142857142855
+268 *23:7 *23:8 8.214285714285714
+269 *23:9 *23:10 5.008928571428571
+270 *23:11 *23:10 0.4107142857142857
+271 *23:11 *23:2 0.45535714285714285
+272 *23:12 *23:13 1.232142857142857
+273 *23:14 *23:9 4.857142857142857
+274 *23:15 *23:16 15.026785714285712
+275 _112_:Y _112_:Y 0
+276 *23:1 *23:2 0
+277 *23:6 *23:5 0
+278 _158_:C _158_:C 0
+279 _116_:A _116_:A 0
+280 *23:8 *23:9 0
+281 *23:12 *23:16 0
+282 *23:13 *23:14 0
+*END
+
+*D_NET *24 0.00517058285598
+*CONN
+*P uout[1] O
+*I _158_:B I
+*I _101_:C I
+*I _100_:X O
+*CAP
+210 *24:1 0.00075320197312
+211 _101_:C 0.0002062676048
+212 *24:4 0.0002753982548
+213 *24:6 0.0008050497244000001
+214 *24:8 0.00016728583584
+215 *24:9 0.00296337946302
+216 _158_:B 0
+217 *24:2 0
+218 *24:3 0
+219 *24:11 0
+220 _100_:X 0
+*RES
+283 *24:1 _158_:B 0.30357142857142855
+284 *24:1 *24:2 7.3928571428571415
+285 _101_:C *24:3 2.0535714285714284
+286 *24:4 *24:5 3.035714285714285
+287 *24:6 *24:7 9.107142857142856
+288 *24:8 _101_:C 1.6428571428571428
+289 *24:9 *24:10 33.84821428571428
+290 _158_:B _158_:B 0
+291 *24:2 *24:10 0
+292 _101_:C _101_:C 0
+293 *24:3 *24:5 0
+294 *24:11 *24:4 0
+295 _100_:X _100_:X 0
+296 _100_:X *24:7 0
+297 *24:8 *24:6 0
+*END
+
+*D_NET *25 0.00427420986078
+*CONN
+*P vempty O
+*I _093_:B I
+*I _092_:X O
+*CAP
+221 *25:1 0.00011806061236
+222 *25:2 0.0013347011940000003
+223 _092_:X 0.0002062676048
+224 *25:5 0.00014298538739999998
+225 *25:7 0.00067404883232
+226 *25:9 0.0017981462299
+227 _093_:B 0
+228 *25:4 0
+229 *25:8 0
+*RES
+298 *25:1 *25:2 1.232142857142857
+299 *25:2 *25:3 15.178571428571427
+300 _092_:X *25:4 2.0535714285714284
+301 *25:5 *25:6 1.5178571428571426
+302 *25:7 *25:8 6.982142857142857
+303 *25:9 *25:1 20.491071428571427
+304 _093_:B _093_:B 0
+305 _093_:B *25:9 0
+306 _092_:X _092_:X 0
+307 *25:4 *25:6 0
+308 *25:7 *25:5 0
+309 *25:8 *25:10 0
+*END
+
+*D_NET *26 0.00231186547352
+*CONN
+*I _132_:B I
+*I _124_:X O
+*CAP
+230 *26:1 0.00020919182110000001
+231 *26:3 0.0003202234515
+232 *26:5 0.0002799860774
+233 *26:7 0.0005567274927
+234 *26:9 7.67789537e-05
+235 _124_:X 0.00086895767712
+236 _132_:B 0
+237 *26:2 0
+238 *26:8 0
+239 *26:11 0
+*RES
+310 *26:1 *26:2 2.276785714285714
+311 *26:3 *26:4 0.5405000000000001
+312 *26:5 *26:6 0.5326666666666667
+313 *26:7 *26:8 0.9729000000000001
+314 *26:9 *26:10 0.7589285714285713
+315 _124_:X *26:11 9.035714285714285
+316 _132_:B _132_:B 0
+317 _132_:B *26:1 0
+318 *26:2 *26:4 0
+319 *26:3 *26:5 0
+320 *26:8 *26:6 0
+321 *26:9 *26:7 0
+322 *26:11 *26:10 0
+323 _124_:X _124_:X 0
+*END
+
+*D_NET *27 0.00118419614808
+*CONN
+*I _127_:A I
+*I _126_:X O
+*CAP
+240 *27:1 0.0010169103122400001
+241 *27:3 0.00016728583584
+242 _126_:X 0
+243 _127_:A 0
+*RES
+324 *27:1 *27:2 11.535714285714285
+325 *27:3 _127_:A 1.6428571428571428
+326 _126_:X _126_:X 0
+327 _126_:X *27:1 0
+328 *27:3 *27:2 0
+329 _127_:A _127_:A 0
+*END
+
+*D_NET *28 0.0037703227948400002
+*CONN
+*I _146_:A I
+*I _130_:B2 I
+*I _128_:A I
+*I _127_:X O
+*CAP
+244 *28:1 0.00024524937376
+245 _130_:B2 4.017137184e-05
+246 *28:3 0.0007057974543800001
+247 *28:6 0.0006064304233
+248 *28:7 0.00032321291168
+249 _146_:A 4.017137184e-05
+250 *28:9 0.0003621946806399999
+251 *28:4 0.0004078111222
+252 *28:11 0.00012830406688
+253 *28:12 0.0009109800183200002
+254 _128_:A 0
+255 _127_:X 0
+*RES
+330 *28:1 *28:2 2.464285714285714
+331 _130_:B2 *28:2 0.30357142857142855
+332 *28:3 *28:4 7.437499999999999
+333 *28:3 *28:5 0.4107142857142857
+334 *28:6 *28:5 6.830357142857142
+335 *28:7 *28:8 3.2857142857142856
+336 _146_:A *28:8 0.30357142857142855
+337 *28:9 _127_:X 3.6964285714285707
+338 *28:4 *28:10 4.553571428571428
+339 *28:11 *28:9 1.232142857142857
+340 *28:12 *28:13 10.321428571428571
+341 *28:1 *28:13 0
+342 _130_:B2 _130_:B2 0
+343 _128_:A _128_:A 0
+344 _128_:A *28:4 0
+345 *28:7 *28:6 0
+346 _146_:A _146_:A 0
+347 _127_:X _127_:X 0
+348 *28:9 *28:10 0
+349 *28:11 *28:12 0
+*END
+
+*D_NET *29 0.0029204036546000003
+*CONN
+*I _147_:B I
+*I _130_:A1 I
+*I _128_:Y O
+*CAP
+256 *29:1 0.0014406314879200001
+257 *29:3 8.932229792e-05
+258 *29:2 8.223124824000001e-05
+259 *29:4 0.00130821862052
+260 _130_:A1 0
+261 _128_:Y 0
+262 _147_:B 0
+*RES
+350 *29:1 *29:2 16.392857142857142
+351 *29:3 _147_:B 0.8214285714285714
+352 *29:2 *29:4 0.8214285714285714
+353 *29:4 *29:5 14.874999999999998
+354 _130_:A1 _130_:A1 0
+355 _130_:A1 *29:5 0
+356 _128_:Y _128_:Y 0
+357 _128_:Y *29:2 0
+358 *29:3 *29:1 0
+359 _147_:B _147_:B 0
+*END
+
+*D_NET *30 0.00321649695888
+*CONN
+*I _132_:C I
+*I _131_:Y O
+*CAP
+263 *30:1 0.00032321291168
+264 *30:2 0.00046077626916000004
+265 *30:4 6.898398368e-05
+266 *30:6 0.00110284829088
+267 *30:7 0.0009374625918000002
+268 _131_:Y 0.00032321291168
+269 _132_:C 0
+270 *30:9 0
+*RES
+360 *30:1 _132_:C 3.2857142857142856
+361 *30:2 *30:3 5.160714285714286
+362 *30:4 *30:5 0.6071428571428571
+363 *30:6 *30:5 11.5
+364 *30:7 *30:8 10.625
+365 _131_:Y *30:9 3.2857142857142856
+366 _132_:C _132_:C 0
+367 *30:1 *30:2 0
+368 *30:4 *30:3 0
+369 *30:6 *30:7 0
+370 *30:9 *30:8 0
+371 _131_:Y _131_:Y 0
+*END
+
+*D_NET *31 0.0015908668348000002
+*CONN
+*I _177_:A I
+*I _133_:B I
+*I _132_:X O
+*CAP
+271 _177_:A 8.932229792e-05
+272 *31:2 0.0012022883266000002
+273 _132_:X 5.034052896000001e-05
+274 *31:3 0.00024891568132000004
+275 *31:1 0
+276 _133_:B 0
+*RES
+372 _177_:A *31:1 0.8214285714285714
+373 *31:2 *31:3 13.660714285714285
+374 _132_:X _132_:X 0.4107142857142857
+375 *31:3 *31:4 2.7321428571428568
+376 _177_:A _177_:A 0
+377 *31:1 *31:3 0
+378 _133_:B _133_:B 0
+379 _133_:B *31:2 0
+380 _132_:X _132_:X 0
+381 _132_:X *31:4 0
+*END
+
+*D_NET *32 0.00070008891284
+*CONN
+*I _134_:B I
+*I _133_:Y O
+*CAP
+277 _133_:Y 0.00055710352544
+278 *32:2 0.00014298538739999998
+279 *32:1 0
+280 _134_:B 0
+*RES
+382 _133_:Y *32:1 5.75
+383 *32:2 *32:3 1.5178571428571426
+384 _133_:Y _133_:Y 0
+385 *32:1 *32:2 0
+386 _134_:B _134_:B 0
+387 _134_:B *32:3 0
+*END
+
+*D_NET *33 0.0044094209657600004
+*CONN
+*I _135_:B I
+*I _134_:Y O
+*CAP
+281 *33:1 4.640188412000001e-05
+282 *33:3 6.353766696000002e-05
+283 *33:4 0.00010342808039999998
+284 *33:6 0.0002576698464
+285 *33:7 0.00057994784982
+286 *33:9 8.932229792e-05
+287 *33:10 0.001960447208
+288 _135_:B 4.017137184e-05
+289 *33:2 0.0012684947603
+290 *33:8 0
+291 _134_:Y 0
+292 *33:11 0
+*RES
+388 *33:1 *33:2 0.4107142857142857
+389 *33:3 *33:1 0.6071428571428571
+390 *33:4 *33:5 0.14413333333333334
+391 *33:6 *33:5 0.42613333333333336
+392 *33:7 *33:8 6.5267857142857135
+393 *33:9 _134_:Y 0.8214285714285714
+394 *33:10 *33:11 20.535714285714285
+395 _135_:B *33:10 0.30357142857142855
+396 *33:2 *33:12 14.419642857142854
+397 *33:3 *33:4 0
+398 *33:8 *33:6 0
+399 *33:9 *33:7 0
+400 _134_:Y _134_:Y 0
+401 *33:11 *33:12 0
+402 _135_:B _135_:B 0
+*END
+
+*D_NET *34 0.0036630061684000004
+*CONN
+*I _137_:B I
+*I _136_:Y O
+*CAP
+293 _137_:B 6.898398368e-05
+294 *34:1 0.0010248847529600001
+295 *34:3 7.021569086000002e-05
+296 *34:6 0.0007123607172200001
+297 *34:7 0.0005181217564799999
+298 *34:8 0.00012660920736
+299 *34:9 0.00114183005984
+300 *34:2 0
+301 _136_:Y 0
+*RES
+403 _137_:B *34:1 0.6071428571428571
+404 *34:1 *34:2 10.678571428571429
+405 *34:3 *34:4 0.15178571428571427
+406 *34:3 *34:5 0.4107142857142857
+407 *34:6 *34:5 8.044642857142856
+408 *34:7 *34:8 5.339285714285714
+409 *34:8 *34:9 1.2142857142857142
+410 *34:9 _136_:Y 11.910714285714285
+411 _137_:B _137_:B 0
+412 *34:2 *34:4 0
+413 *34:7 *34:6 0
+414 _136_:Y _136_:Y 0
+*END
+
+*D_NET *35 0.002633928831720001
+*CONN
+*I _167_:A I
+*I _165_:A I
+*I _139_:B I
+*I _138_:Y O
+*CAP
+302 *35:1 0.0006991194304800001
+303 *35:3 3.0849644479999996e-05
+304 _138_:Y 8.932229792e-05
+305 *35:5 0.0012287709000800002
+306 *35:6 0.00024891568132000004
+307 *35:7 4.017137184e-05
+308 *35:8 4.017137184e-05
+309 *35:9 0.00016728583584
+310 *35:10 8.932229792e-05
+311 _167_:A 0
+312 *35:4 0
+313 _139_:B 0
+314 _165_:A 0
+*RES
+415 *35:1 *35:2 7.892857142857142
+416 *35:3 _167_:A 0.20535714285714285
+417 _138_:Y *35:4 0.8214285714285714
+418 *35:5 *35:1 13.964285714285714
+419 *35:6 *35:5 2.7321428571428568
+420 *35:7 _139_:B 0.30357142857142855
+421 *35:8 _165_:A 0.30357142857142855
+422 *35:9 *35:8 1.6428571428571428
+423 *35:10 *35:7 0.8214285714285714
+424 *35:10 *35:1 0
+425 *35:3 *35:2 0
+426 _167_:A _167_:A 0
+427 _138_:Y _138_:Y 0
+428 *35:4 *35:5 0
+429 *35:9 *35:6 0
+430 _139_:B _139_:B 0
+431 _165_:A _165_:A 0
+*END
+
+*D_NET *36 0.004953062096560001
+*CONN
+*I _180_:D I
+*I _169_:B I
+*I _140_:B I
+*I _139_:X O
+*CAP
+315 *36:1 0.00067404883232
+316 *36:2 4.017137184e-05
+317 *36:3 0.00083153229788
+318 *36:5 0.00016728583584
+319 _169_:B 4.017137184e-05
+320 *36:7 0.00028423114272
+321 *36:9 0.0014141489144400001
+322 *36:11 0.00016728583584
+323 _139_:X 0.00063506706336
+324 *36:12 0.0006991194304800001
+325 _180_:D 0
+326 _140_:B 0
+327 *36:8 0
+*RES
+432 *36:1 *36:2 6.982142857142857
+433 *36:2 _180_:D 0.30357142857142855
+434 *36:3 *36:4 9.410714285714285
+435 *36:5 *36:6 1.6428571428571428
+436 _169_:B *36:6 0.30357142857142855
+437 *36:7 *36:8 2.875
+438 *36:9 *36:10 16.08928571428571
+439 *36:11 _140_:B 1.6428571428571428
+440 _139_:X *36:7 6.571428571428571
+441 *36:12 *36:13 7.892857142857142
+442 *36:1 *36:13 0
+443 _180_:D _180_:D 0
+444 _140_:B _140_:B 0
+445 _140_:B *36:4 0
+446 *36:5 *36:3 0
+447 _169_:B _169_:B 0
+448 *36:7 *36:12 0
+449 *36:8 *36:10 0
+450 *36:11 *36:9 0
+451 _139_:X _139_:X 0
+*END
+
+*D_NET *37 0.00072657148632
+*CONN
+*I _144_:A I
+*I _140_:X O
+*CAP
+328 *37:1 0.00016946796088000002
+329 *37:3 0.00055710352544
+330 _140_:X 0
+331 _144_:A 0
+*RES
+452 *37:1 *37:2 1.8214285714285714
+453 *37:3 _144_:A 5.75
+454 _140_:X _140_:X 0
+455 _140_:X *37:1 0
+456 *37:3 *37:2 0
+457 _144_:A _144_:A 0
+*END
+
+*D_NET *38 0.0005846277884799999
+*CONN
+*I _143_:B I
+*I _141_:X O
+*CAP
+332 *38:1 0.0003621946806399999
+333 *38:2 0.00022243310784000002
+334 _141_:X 0
+335 _143_:B 0
+*RES
+458 *38:1 _141_:X 3.6964285714285707
+459 *38:2 *38:3 2.4285714285714284
+460 _141_:X _141_:X 0
+461 *38:1 *38:2 0
+462 _143_:B _143_:B 0
+463 _143_:B *38:3 0
+*END
+
+*D_NET *39 0.0016805202884
+*CONN
+*I _143_:C I
+*I _142_:X O
+*CAP
+336 *39:1 0.0002854207456
+337 *39:3 0.00024891568132000004
+338 *39:5 0.00055710352544
+339 *39:7 0.00046077626916000004
+340 *39:9 0.00012830406688
+341 _143_:C 0
+342 *39:2 0
+343 *39:6 0
+344 _142_:X 0
+*RES
+464 *39:1 _143_:C 0.30357142857142855
+465 *39:1 *39:2 2.464285714285714
+466 *39:3 *39:4 2.7321428571428568
+467 *39:5 *39:6 5.75
+468 *39:7 *39:8 5.160714285714286
+469 *39:9 _142_:X 1.232142857142857
+470 _143_:C _143_:C 0
+471 *39:2 *39:3 0
+472 *39:5 *39:4 0
+473 *39:6 *39:7 0
+474 *39:9 *39:8 0
+475 _142_:X _142_:X 0
+*END
+
+*D_NET *40 0.00216836953732
+*CONN
+*I _144_:B I
+*I _143_:X O
+*CAP
+345 *40:1 0.00088449744484
+346 *40:3 0.00044134782144
+347 *40:6 0.00079218374208
+348 _143_:X 5.034052896000001e-05
+349 _144_:B 0
+350 *40:4 0
+*RES
+476 *40:1 *40:2 10.017857142857142
+477 *40:3 *40:4 4.107142857142857
+478 *40:3 *40:5 0.30357142857142855
+479 *40:6 *40:5 7.803571428571428
+480 *40:6 *40:7 0.30357142857142855
+481 _143_:X *40:7 0.4107142857142857
+482 _144_:B _144_:B 0
+483 _144_:B *40:1 0
+484 *40:4 *40:2 0
+485 _143_:X _143_:X 0
+*END
+
+*D_NET *41 0.0052120368793599995
+*CONN
+*I _145_:A I
+*I _144_:X O
+*CAP
+351 *41:1 2.3813806740000006e-05
+352 *41:3 0.0026852638635
+353 *41:5 2.3813806740000006e-05
+354 *41:7 8.371941029999999e-05
+355 *41:9 0.00239542599208
+356 _145_:A 0
+357 *41:4 0
+358 _144_:X 0
+359 *41:6 0
+360 *41:8 0
+*RES
+486 *41:1 *41:2 0.15178571428571427
+487 *41:3 *41:4 4.8645000000000005
+488 *41:5 *41:6 0.15178571428571427
+489 *41:7 *41:8 0.1081
+490 *41:9 *41:10 4.900533333333334
+491 *41:1 *41:3 0
+492 _145_:A _145_:A 0
+493 _145_:A *41:2 0
+494 *41:4 *41:10 0
+495 _144_:X _144_:X 0
+496 _144_:X *41:5 0
+497 *41:6 *41:7 0
+498 *41:8 *41:9 0
+*END
+
+*D_NET *42 0.004454221324040001
+*CONN
+*I _166_:A I
+*I _149_:A1 I
+*I _146_:Y O
+*CAP
+361 *42:1 0.00063506706336
+362 *42:2 0.00063291299678
+363 *42:3 4.640188412000001e-05
+364 *42:4 0.0011890470398600002
+365 *42:6 4.017137184e-05
+366 *42:8 0.00070405104704
+367 _166_:A 0.00012830406688
+368 *42:10 0.0009109800183200002
+369 *42:12 0.00016728583584
+370 _146_:Y 0
+371 _149_:A1 0
+372 *42:9 0
+*RES
+499 *42:1 _146_:Y 6.571428571428571
+500 *42:2 *42:3 7.133928571428571
+501 *42:3 *42:4 0.4107142857142857
+502 *42:4 *42:5 13.508928571428571
+503 *42:6 *42:7 0.30357142857142855
+504 *42:8 *42:7 6.571428571428571
+505 *42:8 _149_:A1 0.6071428571428571
+506 _166_:A *42:9 1.232142857142857
+507 *42:10 *42:11 10.321428571428571
+508 *42:12 *42:1 1.6428571428571428
+509 _146_:Y _146_:Y 0
+510 *42:1 *42:2 0
+511 *42:6 *42:5 0
+512 _149_:A1 _149_:A1 0
+513 _166_:A _166_:A 0
+514 *42:9 *42:10 0
+515 *42:12 *42:11 0
+*END
+
+*D_NET *43 0.00432031503424
+*CONN
+*I _166_:B I
+*I _149_:A2 I
+*I _147_:Y O
+*CAP
+373 _166_:B 4.017137184e-05
+374 *43:1 0.00032321291168
+375 *43:3 0.00276476016192
+376 _149_:A2 0.0004791399875199999
+377 *43:6 0.00071303060128
+378 *43:2 0
+379 *43:5 0
+380 _147_:Y 0
+*RES
+516 _166_:B *43:1 0.30357142857142855
+517 *43:1 *43:2 3.2857142857142856
+518 *43:3 *43:4 31.57142857142857
+519 _149_:A2 *43:5 4.928571428571428
+520 *43:6 _147_:Y 7.3928571428571415
+521 _166_:B _166_:B 0
+522 *43:2 *43:3 0
+523 *43:5 *43:4 0
+524 _149_:A2 _149_:A2 0
+525 _147_:Y _147_:Y 0
+526 *43:6 *43:7 0
+*END
+
+*D_NET *44 0.00312634139648
+*CONN
+*I _149_:C1 I
+*I _148_:Y O
+*CAP
+381 _148_:Y 0.00044015821855999997
+382 *44:2 0.0002062676048
+383 *44:4 0.00059318913656
+384 *44:6 0.00012830406688
+385 *44:7 0.00175842236968
+386 *44:1 0
+387 *44:3 0
+388 _149_:C1 0
+*RES
+527 _148_:Y *44:1 4.517857142857142
+528 *44:2 *44:3 2.0535714285714284
+529 *44:4 *44:5 6.678571428571428
+530 *44:6 _149_:C1 1.232142857142857
+531 *44:7 *44:8 20.035714285714285
+532 _148_:Y _148_:Y 0
+533 *44:1 *44:7 0
+534 *44:2 *44:8 0
+535 *44:3 *44:4 0
+536 *44:6 *44:5 0
+537 _149_:C1 _149_:C1 0
+*END
+
+*D_NET *45 0.0030444400111600003
+*CONN
+*I _177_:C I
+*I _150_:B I
+*I _149_:X O
+*CAP
+389 *45:1 0.0012287709000800002
+390 _149_:X 0.00024524937376
+391 _150_:B 5.034052896000001e-05
+392 *45:5 0.00152007920836
+393 _177_:C 0
+394 *45:3 0
+395 *45:4 0
+*RES
+538 *45:1 *45:2 13.964285714285714
+539 _149_:X *45:3 2.464285714285714
+540 _150_:B *45:4 0.4107142857142857
+541 *45:5 *45:1 17.303571428571427
+542 _177_:C _177_:C 0
+543 _177_:C *45:1 0
+544 *45:3 *45:2 0
+545 _149_:X _149_:X 0
+546 _150_:B _150_:B 0
+547 *45:4 *45:5 0
+*END
+
+*D_NET *46 0.00210781068384
+*CONN
+*I _151_:B I
+*I _150_:Y O
+*CAP
+396 *46:1 0.00124979581248
+397 *46:3 0.0008580148713600001
+398 _151_:B 0
+399 *46:2 0
+400 _150_:Y 0
+*RES
+548 *46:1 _151_:B 0.6071428571428571
+549 *46:1 *46:2 12.321428571428571
+550 *46:3 *46:4 9.714285714285714
+551 _151_:B _151_:B 0
+552 *46:2 *46:4 0
+553 _150_:Y _150_:Y 0
+554 _150_:Y *46:3 0
+*END
+
+*D_NET *47 0.00088135812668
+*CONN
+*I _152_:B I
+*I _151_:Y O
+*CAP
+401 _152_:B 5.034052896000001e-05
+402 *47:2 0.00019595053436
+403 *47:4 0.00063506706336
+404 *47:1 0
+405 _151_:Y 0
+*RES
+555 _152_:B *47:1 0.4107142857142857
+556 *47:2 *47:3 2.1249999999999996
+557 *47:4 _151_:Y 6.571428571428571
+558 _152_:B _152_:B 0
+559 *47:1 *47:3 0
+560 *47:4 *47:2 0
+561 _151_:Y _151_:Y 0
+*END
+
+*D_NET *48 0.00267403785988
+*CONN
+*I _160_:A I
+*I _154_:A I
+*I _153_:Y O
+*CAP
+406 *48:1 2.3813806740000006e-05
+407 *48:3 0.0017195390286
+408 *48:5 0.00055346527634
+409 *48:7 0.00012830406688
+410 *48:8 0.00024891568132000004
+411 _154_:A 0
+412 *48:2 0
+413 _153_:Y 0
+414 _160_:A 0
+*RES
+562 *48:1 *48:2 0.15178571428571427
+563 *48:3 *48:4 3.098866666666667
+564 *48:5 *48:6 6.223214285714285
+565 *48:7 _160_:A 1.232142857142857
+566 *48:8 *48:1 2.7321428571428568
+567 _154_:A _154_:A 0
+568 _154_:A *48:1 0
+569 *48:2 *48:3 0
+570 *48:5 *48:4 0
+571 _153_:Y _153_:Y 0
+572 _153_:Y *48:6 0
+573 _160_:A _160_:A 0
+574 *48:7 *48:8 0
+*END
+
+*D_NET *49 0.003162784702
+*CONN
+*I _155_:B I
+*I _154_:Y O
+*CAP
+415 *49:1 0.0012287709000800002
+416 *49:3 0.0007909941392
+417 _155_:B 4.017137184e-05
+418 _154_:Y 0.00110284829088
+419 *49:5 0
+*RES
+575 *49:1 *49:2 13.964285714285714
+576 *49:3 *49:4 8.214285714285714
+577 _155_:B *49:4 0.30357142857142855
+578 _154_:Y *49:5 11.5
+579 _154_:Y _154_:Y 0
+580 *49:5 *49:2 0
+581 *49:3 *49:1 0
+582 _155_:B _155_:B 0
+*END
+
+*D_NET *50 0.00121135362428
+*CONN
+*I _156_:B I
+*I _155_:Y O
+*CAP
+420 _156_:B 4.017137184e-05
+421 *50:1 0.00044015821855999997
+422 *50:3 0.0004078111222
+423 *50:5 0.00032321291168
+424 *50:2 0
+425 _155_:Y 0
+*RES
+583 _156_:B *50:1 0.30357142857142855
+584 *50:1 *50:2 4.517857142857142
+585 *50:3 *50:4 4.553571428571428
+586 *50:5 _155_:Y 3.2857142857142856
+587 _156_:B _156_:B 0
+588 *50:2 *50:4 0
+589 *50:5 *50:3 0
+590 _155_:Y _155_:Y 0
+*END
+
+*D_NET *51 0.0009723355602400002
+*CONN
+*I _159_:A I
+*I _157_:X O
+*CAP
+426 *51:1 0.0008050497244000001
+427 *51:3 0.00016728583584
+428 _159_:A 0
+429 _157_:X 0
+*RES
+591 *51:1 *51:2 9.107142857142856
+592 *51:3 _157_:X 1.6428571428571428
+593 _159_:A _159_:A 0
+594 _159_:A *51:1 0
+595 *51:3 *51:2 0
+596 _157_:X _157_:X 0
+*END
+
+*D_NET *52 0.00135593453148
+*CONN
+*I _159_:B I
+*I _158_:Y O
+*CAP
+430 _159_:B 5.034052896000001e-05
+431 *52:2 0.00125525347356
+432 *52:4 5.034052896000001e-05
+433 *52:1 0
+434 _158_:Y 0
+*RES
+597 _159_:B *52:1 0.4107142857142857
+598 *52:2 *52:3 14.267857142857142
+599 *52:4 _158_:Y 0.4107142857142857
+600 _159_:B _159_:B 0
+601 *52:1 *52:2 0
+602 *52:4 *52:3 0
+603 _158_:Y _158_:Y 0
+*END
+
+*D_NET *53 0.0035125643316
+*CONN
+*I _161_:B I
+*I _160_:Y O
+*CAP
+435 *53:1 2.3813806740000006e-05
+436 *53:3 0.0014538727746600001
+437 *53:5 0.0020348777502
+438 _160_:Y 0
+439 *53:2 0
+440 *53:4 0
+441 _161_:B 0
+*RES
+604 *53:1 *53:2 0.15178571428571427
+605 *53:3 *53:4 16.544642857142858
+606 *53:5 *53:6 3.6754
+607 _160_:Y _160_:Y 0
+608 _160_:Y *53:1 0
+609 *53:2 *53:5 0
+610 *53:4 *53:6 0
+611 _161_:B _161_:B 0
+612 _161_:B *53:3 0
+*END
+
+*D_NET *54 0.0011057179099999998
+*CONN
+*I _162_:B I
+*I _161_:Y O
+*CAP
+442 _162_:B 0.00012830406688
+443 *54:2 0.00038132854871999994
+444 *54:4 0.0005960852944
+445 *54:1 0
+446 _161_:Y 0
+*RES
+613 _162_:B *54:1 1.232142857142857
+614 *54:2 *54:3 4.249999999999999
+615 *54:4 _161_:Y 6.160714285714286
+616 _162_:B _162_:B 0
+617 *54:1 *54:3 0
+618 *54:4 *54:2 0
+619 _161_:Y _161_:Y 0
+*END
+
+*D_NET *55 0.0018141723372000003
+*CONN
+*I _164_:B I
+*I _163_:Y O
+*CAP
+447 *55:1 0.0012209832006400002
+448 *55:2 0.00059318913656
+449 _164_:B 0
+450 *55:4 0
+451 _163_:Y 0
+*RES
+620 *55:1 _164_:B 0.30357142857142855
+621 *55:2 *55:3 6.678571428571428
+622 *55:1 *55:4 12.321428571428571
+623 _164_:B _164_:B 0
+624 *55:4 *55:3 0
+625 _163_:Y _163_:Y 0
+626 _163_:Y *55:2 0
+*END
+
+*D_NET *56 0.0017490025744000002
+*CONN
+*I _168_:A I
+*I _165_:Y O
+*CAP
+452 _165_:Y 0.00110284829088
+453 *56:2 0.00064615428352
+454 *56:1 0
+455 _168_:A 0
+*RES
+627 _165_:Y *56:1 11.5
+628 *56:2 *56:3 7.285714285714286
+629 _165_:Y _165_:Y 0
+630 *56:1 *56:3 0
+631 _168_:A _168_:A 0
+632 _168_:A *56:2 0
+*END
+
+*D_NET *57 0.0046382362523600005
+*CONN
+*I _168_:B I
+*I _167_:X O
+*CAP
+456 *57:1 9.051190080000001e-05
+457 *57:3 0.00032440251456
+458 *57:5 0.0014141489144400001
+459 *57:7 0.00012830406688
+460 *57:9 0.0010888615854
+461 *57:11 0.0009242213050600002
+462 _167_:X 0.00016728583584
+463 *57:14 0.0005005001293800001
+464 _168_:B 0
+465 *57:4 0
+466 *57:8 0
+467 *57:15 0
+468 *57:13 0
+*RES
+633 *57:1 _168_:B 0.30357142857142855
+634 *57:1 *57:2 0.4107142857142857
+635 *57:3 *57:2 0.30357142857142855
+636 *57:3 *57:4 2.875
+637 *57:5 *57:6 16.08928571428571
+638 *57:7 *57:8 1.232142857142857
+639 *57:9 *57:10 1.9458000000000002
+640 *57:11 *57:12 10.473214285714285
+641 _167_:X *57:13 1.6428571428571428
+642 *57:14 *57:15 5.616071428571428
+643 _168_:B _168_:B 0
+644 *57:4 *57:5 0
+645 *57:8 *57:6 0
+646 *57:7 *57:14 0
+647 *57:15 *57:10 0
+648 *57:11 *57:9 0
+649 *57:13 *57:12 0
+650 _167_:X _167_:X 0
+*END
+
+*D_NET *58 0.0006750905218799999
+*CONN
+*I _170_:B I
+*I _169_:Y O
+*CAP
+469 *58:1 0.00019595053436
+470 _169_:Y 0.0004791399875199999
+471 _170_:B 0
+472 *58:3 0
+*RES
+651 *58:1 *58:2 2.1249999999999996
+652 _169_:Y *58:3 4.928571428571428
+653 _170_:B _170_:B 0
+654 _170_:B *58:1 0
+655 *58:3 *58:2 0
+656 _169_:Y _169_:Y 0
+*END
+
+*D_NET *59 0.004778417518000001
+*CONN
+*I _172_:D I
+*I _171_:Y O
+*CAP
+473 _172_:D 0.0002062676048
+474 *59:2 0.00231455641276
+475 *59:4 0.0002062676048
+476 _171_:Y 0.00121979359776
+477 *59:7 0.00083153229788
+478 *59:1 0
+479 *59:6 0
+480 *59:5 0
+*RES
+657 _172_:D *59:1 2.0535714285714284
+658 *59:2 *59:3 26.41071428571428
+659 *59:4 *59:5 2.0535714285714284
+660 _171_:Y *59:6 12.732142857142856
+661 *59:7 *59:8 9.410714285714285
+662 _171_:Y _171_:Y 0
+663 *59:1 *59:8 0
+664 _172_:D _172_:D 0
+665 *59:6 *59:2 0
+666 *59:5 *59:3 0
+667 *59:4 *59:7 0
+*END
+
+*D_NET *60 0.00140888708708
+*CONN
+*I _173_:C1 I
+*I _172_:Y O
+*CAP
+481 *60:1 0.00046077626916000004
+482 *60:3 0.0009481108179199999
+483 *60:4 0
+484 _172_:Y 0
+485 _173_:C1 0
+*RES
+668 *60:1 *60:2 5.160714285714286
+669 *60:3 _173_:C1 0.30357142857142855
+670 *60:3 *60:4 9.446428571428571
+671 *60:4 *60:2 0
+672 _172_:Y _172_:Y 0
+673 _172_:Y *60:1 0
+674 _173_:C1 _173_:C1 0
+*END
+
+*D_NET *61 0.00236609883384
+*CONN
+*I _177_:B I
+*I _175_:C I
+*I _174_:X O
+*CAP
+486 _175_:C 0.00090793944608
+487 *61:2 0.00104339288572
+488 *61:4 5.034052896000001e-05
+489 *61:5 0.00016847543872000001
+490 *61:7 0.00019595053436
+491 *61:1 0
+492 _174_:X 0
+493 _177_:B 0
+494 *61:6 0
+*RES
+675 _175_:C *61:1 9.446428571428571
+676 *61:2 *61:3 11.839285714285714
+677 *61:4 _174_:X 0.4107142857142857
+678 *61:5 _177_:B 0.30357142857142855
+679 *61:5 *61:6 1.232142857142857
+680 *61:7 *61:8 2.1249999999999996
+681 _175_:C _175_:C 0
+682 *61:1 *61:3 0
+683 *61:4 *61:2 0
+684 _174_:X _174_:X 0
+685 _177_:B _177_:B 0
+686 *61:6 *61:8 0
+687 _175_:C *61:7 0
+*END
+
+*D_NET *62 0.0017682969471600003
+*CONN
+*I _176_:B I
+*I _175_:Y O
+*CAP
+495 _175_:Y 8.932229792e-05
+496 *62:2 0.0016789746492400003
+497 *62:1 0
+498 _176_:B 0
+*RES
+688 _175_:Y *62:1 0.8214285714285714
+689 *62:2 *62:3 19.125
+690 _175_:Y _175_:Y 0
+691 *62:1 *62:3 0
+692 _176_:B _176_:B 0
+693 _176_:B *62:2 0
+*END
+
+*D_NET *63 0.00216553554936
+*CONN
+*I _178_:A I
+*I _176_:Y O
+*CAP
+499 *63:1 0.00207621325144
+500 *63:3 8.932229792e-05
+501 _176_:Y 0
+502 _178_:A 0
+*RES
+694 *63:1 *63:2 23.678571428571427
+695 *63:3 _178_:A 0.8214285714285714
+696 _176_:Y _176_:Y 0
+697 _176_:Y *63:1 0
+698 *63:3 *63:2 0
+699 _178_:A _178_:A 0
+*END
+
+*D_NET *64 0.0005453514398799998
+*CONN
+*I _178_:B I
+*I _177_:X O
+*CAP
+503 *64:1 0.00014298538739999998
+504 *64:3 0.0003621946806399999
+505 *64:4 4.017137184e-05
+506 _177_:X 0
+507 _178_:B 0
+*RES
+700 *64:1 *64:2 1.5178571428571426
+701 *64:3 *64:4 3.6964285714285707
+702 *64:4 _178_:B 0.30357142857142855
+703 _177_:X _177_:X 0
+704 _177_:X *64:1 0
+705 *64:3 *64:2 0
+706 _178_:B _178_:B 0
+*END
+
+*D_NET *65 0.0036747107799199995
+*CONN
+*I _180_:C I
+*I _179_:Y O
+*CAP
+508 _179_:Y 0.00114183005984
+509 *65:2 0.00104339288572
+510 *65:4 0.00012830406688
+511 *65:6 0.00136118376748
+512 *65:1 0
+513 *65:5 0
+514 _180_:C 0
+*RES
+707 _179_:Y *65:1 11.910714285714285
+708 *65:2 *65:3 11.839285714285714
+709 *65:4 *65:5 1.232142857142857
+710 *65:6 *65:7 15.482142857142856
+711 _179_:Y _179_:Y 0
+712 *65:1 *65:2 0
+713 *65:5 *65:3 0
+714 *65:4 *65:6 0
+715 _180_:C _180_:C 0
+716 _180_:C *65:7 0
+*END
+
+*D_NET *66 0.00245991066648
+*CONN
+*I _181_:C I
+*I _180_:Y O
+*CAP
+515 *66:1 0.00038132854871999994
+516 *66:3 0.0020384107459200003
+517 *66:4 4.017137184e-05
+518 _181_:C 0
+519 _180_:Y 0
+*RES
+717 *66:1 *66:2 4.249999999999999
+718 *66:3 *66:4 21.357142857142858
+719 *66:4 _180_:Y 0.30357142857142855
+720 _181_:C _181_:C 0
+721 _181_:C *66:1 0
+722 *66:3 *66:2 0
+723 _180_:Y _180_:Y 0
+*END
+
+*D_NET *67 0.0025679528847199996
+*CONN
+*I _117_:A I
+*I _080_:C I
+*I _079_:X O
+*CAP
+520 _117_:A 5.034052896000001e-05
+521 _079_:X 0.0004791399875199999
+522 *67:3 8.286251159999999e-05
+523 *67:4 0.0013253656266
+524 *67:6 2.3813806740000006e-05
+525 *67:8 0.0006064304233
+526 *67:1 0
+527 *67:2 0
+528 *67:9 0
+529 _080_:C 0
+*RES
+724 _117_:A *67:1 0.4107142857142857
+725 _079_:X *67:2 4.928571428571428
+726 *67:3 *67:4 0.10653333333333334
+727 *67:4 *67:5 2.3782
+728 *67:6 *67:7 0.15178571428571427
+729 *67:8 *67:9 6.830357142857142
+730 _117_:A _117_:A 0
+731 *67:1 *67:8 0
+732 _079_:X _079_:X 0
+733 *67:2 *67:10 0
+734 *67:9 *67:3 0
+735 *67:6 *67:5 0
+736 _080_:C _080_:C 0
+737 _080_:C *67:7 0
+*END
+
+*D_NET *68 0.0024132478278800005
+*CONN
+*I _081_:B I
+*I _080_:Y O
+*CAP
+530 *68:1 4.017137184e-05
+531 *68:2 0.00016847543872000001
+532 *68:4 0.00044753498242
+533 *68:6 0.0006793148809000001
+534 *68:7 0.0005181217564799999
+535 *68:9 0.0002753982548
+536 *68:11 0.00028423114272
+537 _081_:B 0
+538 *68:3 0
+539 *68:8 0
+540 _080_:Y 0
+*RES
+738 *68:1 _081_:B 0.30357142857142855
+739 *68:2 *68:1 1.232142857142857
+740 *68:2 *68:3 0.30357142857142855
+741 *68:4 *68:5 5.008928571428571
+742 *68:6 *68:5 0.4107142857142857
+743 *68:7 *68:8 5.339285714285714
+744 *68:9 *68:10 3.035714285714285
+745 *68:11 _080_:Y 2.875
+746 *68:6 *68:12 7.133928571428571
+747 _081_:B _081_:B 0
+748 *68:3 *68:4 0
+749 *68:7 *68:12 0
+750 *68:8 *68:9 0
+751 *68:11 *68:10 0
+752 _080_:Y _080_:Y 0
+*END
+
+*D_NET *69 0.00356292949936
+*CONN
+*I _118_:A I
+*I _081_:Y O
+*CAP
+541 _118_:A 6.898398368e-05
+542 *69:2 0.00014298538739999998
+543 *69:4 0.00016728583584
+544 *69:5 4.017137184e-05
+545 *69:6 0.00121979359776
+546 *69:8 0.00104339288572
+547 *69:10 0.0003621946806399999
+548 *69:1 0.0005181217564799999
+549 *69:11 0
+550 *69:7 0
+551 _081_:Y 0
+*RES
+753 _118_:A *69:1 0.6071428571428571
+754 *69:2 *69:3 1.5178571428571426
+755 *69:4 *69:5 1.6428571428571428
+756 *69:5 *69:6 0.30357142857142855
+757 *69:6 *69:7 12.732142857142856
+758 *69:8 *69:9 11.839285714285714
+759 *69:10 _081_:Y 3.6964285714285707
+760 *69:1 *69:11 5.339285714285714
+761 _118_:A _118_:A 0
+762 *69:11 *69:2 0
+763 *69:4 *69:3 0
+764 *69:7 *69:9 0
+765 *69:10 *69:8 0
+766 _081_:Y _081_:Y 0
+*END
+
+*D_NET *70 0.00288566564276
+*CONN
+*I _124_:A I
+*I _091_:A I
+*I _087_:A I
+*I _084_:A I
+*I _082_:Y O
+*CAP
+552 _124_:A 4.017137184e-05
+553 *70:2 0.00056670656308
+554 *70:4 0.00032836340175999997
+555 *70:6 0.0005960852944
+556 *70:7 9.002024044000002e-05
+557 _087_:A 4.017137184e-05
+558 *70:8 0.00016728583584
+559 *70:10 0.00046077626916000004
+560 *70:1 0.0005960852944
+561 _084_:A 0
+562 _082_:Y 0
+563 _091_:A 0
+564 *70:12 0
+565 *70:9 0
+566 *70:13 0
+*RES
+767 _124_:A *70:1 0.30357142857142855
+768 *70:2 *70:3 6.374999999999999
+769 *70:4 *70:5 3.642857142857143
+770 *70:6 _082_:Y 6.160714285714286
+771 *70:7 *70:4 0.9107142857142857
+772 _087_:A *70:8 0.30357142857142855
+773 *70:8 *70:9 1.6428571428571428
+774 *70:10 *70:11 5.160714285714286
+775 *70:1 *70:12 6.160714285714286
+776 _124_:A _124_:A 0
+777 _084_:A _084_:A 0
+778 _084_:A *70:2 0
+779 _082_:Y _082_:Y 0
+780 _082_:Y *70:3 0
+781 _091_:A _091_:A 0
+782 _091_:A *70:5 0
+783 *70:6 *70:4 0
+784 *70:12 *70:7 0
+785 _087_:A _087_:A 0
+786 *70:9 *70:10 0
+787 *70:13 *70:11 0
+*END
+
+*D_NET *71 0.006108420450080001
+*CONN
+*I _173_:A2 I
+*I _122_:B I
+*I _091_:B I
+*I _088_:A I
+*I _084_:B I
+*I _083_:Y O
+*CAP
+567 *71:1 0.00040117644959999997
+568 _088_:A 6.898398368e-05
+569 *71:2 0.00114183005984
+570 *71:4 0.00048725884264
+571 _091_:B 0.0003621946806399999
+572 *71:7 0.00075208457744
+573 *71:9 0.00125877536672
+574 _173_:A2 4.017137184e-05
+575 _083_:Y 0.00012830406688
+576 *71:12 0.00024891568132000004
+577 *71:14 0.00044015821855999997
+578 *71:15 0.00077856715092
+579 _084_:B 0
+580 *71:3 0
+581 _122_:B 0
+582 *71:18 0
+583 *71:6 0
+584 *71:11 0
+585 *71:19 0
+*RES
+788 *71:1 _084_:B 4.107142857142857
+789 _088_:A *71:2 0.6071428571428571
+790 *71:2 *71:3 11.910714285714285
+791 *71:4 *71:5 5.4642857142857135
+792 _091_:B *71:6 3.6964285714285707
+793 *71:7 *71:8 8.499999999999998
+794 *71:9 *71:10 13.142857142857142
+795 _173_:A2 *71:10 0.30357142857142855
+796 _083_:Y *71:11 1.232142857142857
+797 *71:12 *71:13 2.7321428571428568
+798 *71:14 _091_:B 4.517857142857142
+799 *71:15 *71:16 8.803571428571427
+800 *71:1 *71:15 0
+801 _084_:B _084_:B 0
+802 _088_:A _088_:A 0
+803 *71:3 *71:17 0
+804 _122_:B _122_:B 0
+805 _122_:B *71:4 0
+806 *71:18 *71:5 0
+807 _091_:B _091_:B 0
+808 *71:6 *71:7 0
+809 *71:9 *71:8 0
+810 _173_:A2 _173_:A2 0
+811 _083_:Y _083_:Y 0
+812 *71:11 *71:13 0
+813 *71:14 *71:12 0
+814 *71:19 *71:16 0
+*END
+
+*D_NET *72 0.0061512588212799995
+*CONN
+*I _113_:B I
+*I _101_:A I
+*I _096_:B I
+*I _084_:X O
+*CAP
+586 _096_:B 4.017137184e-05
+587 *72:2 2.3813806740000006e-05
+588 *72:4 0.0008129402039999999
+589 *72:6 0.00063291299678
+590 *72:8 0.00012830406688
+591 *72:9 9.002024044000002e-05
+592 *72:11 0.0009469212150399999
+593 *72:13 0.00011650281392
+594 _084_:X 0.0005960852944
+595 *72:16 0.00039219689536000005
+596 *72:18 8.932229792e-05
+597 *72:19 0.00039456983545999995
+598 *72:21 0.00038800657262
+599 *72:23 8.932229792e-05
+600 *72:25 0.00031512211502
+601 *72:27 0.00021586984500000003
+602 *72:29 4.43020701e-05
+603 *72:30 8.286251159999999e-05
+604 *72:1 0.00075201237024
+605 *72:32 0
+606 _101_:A 0
+607 *72:12 0
+608 *72:15 0
+609 _113_:B 0
+610 *72:24 0
+611 *72:28 0
+*RES
+815 _096_:B *72:1 0.30357142857142855
+816 *72:2 *72:3 0.15178571428571427
+817 *72:4 *72:5 1.4413333333333331
+818 *72:6 *72:7 7.133928571428571
+819 *72:8 _101_:A 1.232142857142857
+820 *72:9 *72:10 0.9107142857142857
+821 *72:11 *72:12 9.857142857142856
+822 *72:13 *72:14 1.2142857142857142
+823 _084_:X *72:15 6.160714285714286
+824 *72:16 _113_:B 3.2857142857142856
+825 *72:16 *72:17 0.6071428571428571
+826 *72:18 *72:17 0.8214285714285714
+827 *72:19 *72:20 4.4017857142857135
+828 *72:21 *72:20 0.4107142857142857
+829 *72:21 *72:22 3.7946428571428568
+830 *72:23 *72:24 0.8214285714285714
+831 *72:25 *72:26 3.4910714285714284
+832 *72:27 *72:26 0.4107142857142857
+833 *72:27 *72:28 1.8214285714285714
+834 *72:29 *72:30 0.036033333333333334
+835 *72:30 *72:31 0.10653333333333334
+836 *72:1 *72:32 7.803571428571428
+837 _096_:B _096_:B 0
+838 _084_:X _084_:X 0
+839 _084_:X *72:3 0
+840 *72:2 *72:5 0
+841 *72:6 *72:4 0
+842 *72:32 *72:7 0
+843 _101_:A _101_:A 0
+844 *72:8 *72:10 0
+845 *72:12 *72:9 0
+846 *72:11 *72:13 0
+847 *72:15 *72:14 0
+848 _113_:B _113_:B 0
+849 *72:18 *72:19 0
+850 *72:23 *72:22 0
+851 *72:24 *72:25 0
+852 *72:28 *72:29 0
+*END
+
+*D_NET *73 0.004937481990200001
+*CONN
+*I _124_:C I
+*I _123_:A I
+*I _090_:A I
+*I _087_:B I
+*I _086_:A I
+*I _085_:X O
+*CAP
+612 *73:1 0.0002062676048
+613 _123_:A 4.017137184e-05
+614 *73:2 0.00012830406688
+615 *73:4 0.00036338428352000003
+616 *73:6 0.00019595053436
+617 *73:7 4.640188412000001e-05
+618 *73:9 0.00067404883232
+619 *73:11 0.00024891568132000004
+620 *73:13 5.034052896000001e-05
+621 _085_:X 9.051190080000001e-05
+622 *73:15 0.0005402239896
+623 _087_:B 0.00040117644959999997
+624 *73:18 0.00062608750912
+625 _124_:C 0.00024524937376
+626 *73:20 0.00046077626916000004
+627 *73:8 0.00061967171004
+628 _086_:A 0
+629 *73:5 0
+630 *73:10 0
+631 _090_:A 0
+632 *73:14 0
+633 *73:19 0
+634 *73:22 0
+*RES
+853 *73:1 _086_:A 2.0535714285714284
+854 _123_:A *73:2 0.30357142857142855
+855 *73:2 *73:3 1.232142857142857
+856 *73:4 *73:3 0.30357142857142855
+857 *73:4 *73:5 3.2857142857142856
+858 *73:6 *73:7 2.1249999999999996
+859 *73:7 *73:8 0.4107142857142857
+860 *73:9 *73:10 6.982142857142857
+861 *73:11 *73:12 2.7321428571428568
+862 *73:13 _090_:A 0.4107142857142857
+863 _085_:X _085_:X 0.30357142857142855
+864 _085_:X *73:14 0.4107142857142857
+865 *73:15 *73:16 6.07142857142857
+866 _087_:B *73:17 4.107142857142857
+867 *73:18 *73:17 0.6071428571428571
+868 *73:18 *73:9 5.75
+869 _124_:C *73:19 2.464285714285714
+870 *73:20 *73:21 5.160714285714286
+871 *73:8 *73:15 6.982142857142857
+872 _086_:A _086_:A 0
+873 *73:1 *73:8 0
+874 _123_:A _123_:A 0
+875 *73:5 *73:6 0
+876 *73:9 *73:15 0
+877 *73:10 *73:11 0
+878 *73:13 *73:12 0
+879 _090_:A _090_:A 0
+880 _085_:X _085_:X 0
+881 *73:14 *73:16 0
+882 _087_:B _087_:B 0
+883 _124_:C _124_:C 0
+884 *73:19 *73:21 0
+885 *73:22 *73:20 0
+*END
+
+*D_NET *74 0.00233229409368
+*CONN
+*I _101_:B I
+*I _086_:X O
+*CAP
+635 _086_:X 0.00063506706336
+636 *74:2 0.00059318913656
+637 *74:4 0.0010638665219200002
+638 *74:5 4.017137184e-05
+639 *74:1 0
+640 _101_:B 0
+*RES
+886 _086_:X *74:1 6.571428571428571
+887 *74:2 *74:3 6.678571428571428
+888 *74:4 *74:5 11.089285714285714
+889 *74:5 _101_:B 0.30357142857142855
+890 _086_:X _086_:X 0
+891 *74:1 *74:2 0
+892 *74:4 *74:3 0
+893 _101_:B _101_:B 0
+*END
+
+*D_NET *75 0.00375085867752
+*CONN
+*I _149_:B1 I
+*I _088_:B I
+*I _087_:Y O
+*CAP
+641 *75:1 0.00024891568132000004
+642 _087_:Y 0.00168757482528
+643 *75:4 0.00011650281392
+644 *75:6 0.00067404883232
+645 *75:7 0.00024524937376
+646 *75:8 0.00077856715092
+647 _088_:B 0
+648 *75:3 0
+649 _149_:B1 0
+*RES
+894 *75:1 *75:2 2.7321428571428568
+895 _087_:Y *75:3 17.660714285714285
+896 *75:4 *75:5 1.2142857142857142
+897 *75:6 _087_:Y 6.982142857142857
+898 *75:7 _149_:B1 2.464285714285714
+899 *75:8 *75:9 8.803571428571427
+900 _088_:B _088_:B 0
+901 _088_:B *75:2 0
+902 *75:6 *75:1 0
+903 _087_:Y _087_:Y 0
+904 *75:3 *75:8 0
+905 _087_:Y *75:4 0
+906 _087_:Y *75:5 0
+907 *75:7 *75:9 0
+908 _149_:B1 _149_:B1 0
+*END
+
+*D_NET *76 0.007665642316100001
+*CONN
+*I _140_:A I
+*I _129_:A3 I
+*I _089_:A I
+*I _088_:Y O
+*CAP
+650 *76:1 4.017137184e-05
+651 _140_:A 8.932229792e-05
+652 *76:3 0.00044134782144
+653 *76:5 0.00020919182110000001
+654 *76:7 0.0001625540907
+655 *76:9 0.0002753982548
+656 *76:11 0.00090793944608
+657 *76:13 4.017137184e-05
+658 *76:14 0.0010638665219200002
+659 *76:15 0.00077856715092
+660 _088_:Y 0.00032321291168
+661 *76:18 2.3813806740000006e-05
+662 *76:20 0.0004976014823999999
+663 *76:22 4.43020701e-05
+664 *76:24 0.00042105240893999997
+665 *76:25 0.00167308065536
+666 *76:27 0.00067404883232
+667 _089_:A 0
+668 *76:4 0
+669 *76:6 0
+670 *76:8 0
+671 _129_:A3 0
+672 *76:12 0
+673 *76:17 0
+674 *76:28 0
+*RES
+909 *76:1 _089_:A 0.30357142857142855
+910 _140_:A *76:2 0.8214285714285714
+911 *76:3 *76:2 0.30357142857142855
+912 *76:3 *76:4 4.107142857142857
+913 *76:5 *76:6 2.276785714285714
+914 *76:7 *76:8 0.25223333333333336
+915 *76:9 *76:10 3.035714285714285
+916 *76:11 *76:12 9.446428571428571
+917 *76:13 *76:11 0.30357142857142855
+918 *76:14 *76:13 11.089285714285714
+919 *76:15 *76:16 8.803571428571427
+920 _088_:Y *76:17 3.2857142857142856
+921 *76:18 *76:19 0.15178571428571427
+922 *76:20 *76:21 0.8648
+923 *76:22 *76:23 0.036033333333333334
+924 *76:24 *76:9 4.705357142857142
+925 *76:25 *76:26 3.409066666666667
+926 *76:27 *76:1 6.982142857142857
+927 _089_:A _089_:A 0
+928 _140_:A _140_:A 0
+929 *76:4 *76:5 0
+930 *76:6 *76:7 0
+931 *76:8 *76:25 0
+932 _129_:A3 _129_:A3 0
+933 _129_:A3 *76:10 0
+934 *76:12 *76:9 0
+935 *76:14 *76:16 0
+936 *76:17 *76:15 0
+937 _088_:Y _088_:Y 0
+938 *76:27 *76:19 0
+939 *76:18 *76:21 0
+940 *76:28 *76:20 0
+941 *76:22 *76:26 0
+942 *76:24 *76:23 0
+*END
+
+*D_NET *77 0.004628156183520001
+*CONN
+*I _143_:A I
+*I _129_:B2 I
+*I _092_:A I
+*I _089_:Y O
+*CAP
+675 _143_:A 4.017137184e-05
+676 *77:1 0.00012830406688
+677 *77:3 0.0003532151264
+678 _092_:A 0.00032321291168
+679 *77:5 4.017137184e-05
+680 *77:6 0.00016728583584
+681 *77:7 9.779659552000001e-05
+682 *77:8 0.00040117644959999997
+683 *77:9 0.0007123607172200001
+684 *77:11 0.0007932315339000001
+685 *77:13 0.0001411316232
+686 *77:14 0.0001231367505
+687 *77:15 0.00063291299678
+688 _129_:B2 0.00067404883232
+689 _089_:Y 0
+690 *77:4 0
+691 *77:16 0
+*RES
+943 _143_:A *77:1 0.30357142857142855
+944 *77:1 *77:2 1.232142857142857
+945 *77:3 *77:2 0.6071428571428571
+946 *77:3 *77:4 2.875
+947 _092_:A *77:5 3.2857142857142856
+948 *77:5 *77:6 0.30357142857142855
+949 *77:6 _143_:A 1.6428571428571428
+950 *77:7 _129_:B2 0.9107142857142857
+951 *77:8 *77:7 4.107142857142857
+952 *77:9 *77:10 8.044642857142856
+953 *77:11 *77:12 1.4053000000000002
+954 *77:13 *77:11 0.21306666666666668
+955 *77:14 *77:13 0.18016666666666664
+956 *77:15 *77:16 7.133928571428571
+957 _129_:B2 _089_:Y 6.982142857142857
+958 _089_:Y _089_:Y 0
+959 _143_:A _143_:A 0
+960 *77:4 *77:15 0
+961 _092_:A _092_:A 0
+962 _129_:B2 _129_:B2 0
+963 *77:8 *77:10 0
+964 *77:9 *77:12 0
+965 *77:16 *77:14 0
+*END
+
+*D_NET *78 0.005254561853800001
+*CONN
+*I _173_:A1 I
+*I _113_:A I
+*I _091_:C I
+*I _090_:Y O
+*CAP
+692 _090_:Y 5.034052896000001e-05
+693 *78:2 2.3813806740000006e-05
+694 *78:4 4.43020701e-05
+695 *78:6 0.00115711970056
+696 *78:8 0.00020197143089999993
+697 *78:10 0.00023567439458000006
+698 *78:12 0.00055710352544
+699 _091_:C 0.00063506706336
+700 *78:14 0.00016290469804
+701 *78:16 0.0009469212150399999
+702 *78:18 0.00048725884264
+703 *78:21 0.00075208457744
+704 *78:1 0
+705 *78:5 0
+706 *78:9 0
+707 *78:11 0
+708 _113_:A 0
+709 *78:13 0
+710 *78:17 0
+711 _173_:A1 0
+*RES
+966 _090_:Y *78:1 0.4107142857142857
+967 *78:2 *78:3 0.15178571428571427
+968 *78:4 *78:5 0.036033333333333334
+969 *78:6 *78:7 2.3437333333333337
+970 *78:8 *78:9 0.3243
+971 *78:10 *78:11 2.580357142857143
+972 *78:12 _090_:Y 5.75
+973 _091_:C *78:13 6.571428571428571
+974 *78:14 *78:15 1.2142857142857142
+975 *78:16 *78:17 9.857142857142856
+976 *78:18 *78:19 5.4642857142857135
+977 *78:14 *78:20 0.4107142857142857
+978 *78:21 *78:20 8.499999999999998
+979 _090_:Y _090_:Y 0
+980 *78:1 *78:3 0
+981 *78:2 *78:4 0
+982 *78:5 *78:7 0
+983 *78:9 *78:6 0
+984 *78:11 *78:8 0
+985 _113_:A _113_:A 0
+986 _113_:A *78:10 0
+987 *78:12 *78:21 0
+988 _091_:C _091_:C 0
+989 *78:13 *78:14 0
+990 *78:16 *78:15 0
+991 *78:17 *78:18 0
+992 _173_:A1 _173_:A1 0
+993 _173_:A1 *78:19 0
+*END
+
+*D_NET *79 0.00504940904116
+*CONN
+*I _125_:A I
+*I _114_:B I
+*I _092_:B I
+*I _091_:X O
+*CAP
+712 _092_:B 4.017137184e-05
+713 *79:1 0.00012830406688
+714 *79:3 0.00014298538739999998
+715 *79:5 0.00055710352544
+716 *79:7 0.0009374625918000002
+717 *79:9 0.00051374141612
+718 *79:11 0.00040117644959999997
+719 *79:13 0.00034160468850000003
+720 *79:15 0.00022911113174000004
+721 *79:16 0.00063506706336
+722 *79:17 9.779659552000001e-05
+723 *79:6 0.0010248847529600001
+724 *79:2 0
+725 _091_:X 0
+726 _114_:B 0
+727 *79:12 0
+728 _125_:A 0
+*RES
+994 _092_:B *79:1 0.30357142857142855
+995 *79:1 *79:2 1.232142857142857
+996 *79:3 *79:4 1.5178571428571426
+997 *79:5 *79:6 5.75
+998 *79:7 *79:8 10.625
+999 *79:9 *79:10 5.767857142857142
+1000 *79:11 *79:12 4.107142857142857
+1001 *79:13 *79:14 3.7946428571428568
+1002 *79:15 *79:14 0.4107142857142857
+1003 *79:15 *79:7 1.9732142857142856
+1004 *79:16 _125_:A 6.571428571428571
+1005 *79:17 *79:16 0.9107142857142857
+1006 *79:6 *79:17 10.678571428571429
+1007 _092_:B _092_:B 0
+1008 *79:2 *79:3 0
+1009 *79:5 *79:4 0
+1010 *79:6 *79:7 0
+1011 _091_:X _091_:X 0
+1012 _091_:X *79:8 0
+1013 _114_:B _114_:B 0
+1014 _114_:B *79:9 0
+1015 *79:12 *79:10 0
+1016 *79:11 *79:13 0
+1017 _125_:A _125_:A 0
+*END
+
+*D_NET *80 0.0011943527138400002
+*CONN
+*I _094_:A I
+*I _093_:X O
+*CAP
+729 *80:1 0.00016946796088000002
+730 *80:3 0.0010248847529600001
+731 _094_:A 0
+732 _093_:X 0
+*RES
+1018 *80:1 *80:2 1.8214285714285714
+1019 *80:3 _093_:X 10.678571428571429
+1020 _094_:A _094_:A 0
+1021 _094_:A *80:1 0
+1022 *80:3 *80:2 0
+1023 _093_:X _093_:X 0
+*END
+
+*D_NET *81 0.006441852933280001
+*CONN
+*I _110_:A I
+*I _100_:B2 I
+*I _095_:A I
+*I _094_:X O
+*CAP
+733 *81:1 4.017137184e-05
+734 *81:3 0.00044015821855999997
+735 *81:4 0.00011650281392
+736 *81:6 0.00129894673856
+737 _094_:X 0.0009469212150399999
+738 *81:9 0.0005582931283199999
+739 *81:11 4.017137184e-05
+740 *81:2 0.00016728583584
+741 _100_:B2 8.932229792e-05
+742 *81:13 0.00032440251456
+743 _095_:A 4.017137184e-05
+744 *81:15 0.00067404883232
+745 *81:17 0.0017054572227200003
+746 *81:7 0
+747 _110_:A 0
+748 *81:10 0
+749 *81:14 0
+750 *81:16 0
+*RES
+1024 *81:1 *81:2 0.30357142857142855
+1025 *81:3 *81:1 4.517857142857142
+1026 *81:4 *81:5 1.2142857142857142
+1027 *81:6 *81:7 13.142857142857142
+1028 *81:6 *81:8 0.30357142857142855
+1029 _094_:X *81:8 9.857142857142856
+1030 *81:9 _110_:A 0.30357142857142855
+1031 *81:9 *81:10 5.339285714285714
+1032 *81:11 *81:9 0.30357142857142855
+1033 *81:2 *81:11 1.6428571428571428
+1034 _100_:B2 *81:12 0.8214285714285714
+1035 *81:13 *81:12 0.30357142857142855
+1036 *81:13 *81:14 2.875
+1037 _095_:A *81:15 0.30357142857142855
+1038 *81:15 *81:16 6.982142857142857
+1039 *81:17 *81:18 19.428571428571427
+1040 *81:3 *81:5 0
+1041 *81:7 *81:4 0
+1042 _094_:X _094_:X 0
+1043 _110_:A _110_:A 0
+1044 *81:10 *81:17 0
+1045 _100_:B2 _100_:B2 0
+1046 *81:14 *81:18 0
+1047 _095_:A _095_:A 0
+1048 *81:16 *81:19 0
+*END
+
+*D_NET *82 0.00338894507872
+*CONN
+*I _111_:B I
+*I _100_:A1 I
+*I _095_:Y O
+*CAP
+751 *82:1 0.00032321291168
+752 *82:2 0.00105663417246
+753 *82:4 4.640188412000001e-05
+754 *82:5 0.00081829101114
+755 _100_:A1 4.017137184e-05
+756 *82:7 0.0005960852944
+757 *82:8 0.00030188082828000006
+758 _095_:Y 0.0002062676048
+759 _111_:B 0
+*RES
+1049 *82:1 _095_:Y 3.2857142857142856
+1050 *82:2 *82:3 11.991071428571427
+1051 *82:4 *82:2 0.4107142857142857
+1052 *82:5 *82:4 9.25892857142857
+1053 _100_:A1 *82:6 0.30357142857142855
+1054 *82:7 *82:6 6.160714285714286
+1055 *82:8 *82:9 3.339285714285714
+1056 _095_:Y _095_:Y 2.0535714285714284
+1057 _095_:Y _095_:Y 0
+1058 *82:1 *82:3 0
+1059 _111_:B _111_:B 0
+1060 _111_:B *82:5 0
+1061 _100_:A1 _100_:A1 0
+1062 *82:7 *82:9 0
+1063 _095_:Y *82:8 0
+*END
+
+*D_NET *83 0.0025149983226400003
+*CONN
+*I _097_:A I
+*I _096_:X O
+*CAP
+760 *83:1 0.0006991194304800001
+761 *83:3 0.00044015821855999997
+762 _096_:X 0.0013757206736000002
+763 *83:4 0
+764 _097_:A 0
+*RES
+1064 *83:1 *83:2 7.892857142857142
+1065 *83:3 _097_:A 4.517857142857142
+1066 _096_:X *83:4 14.375
+1067 _096_:X _096_:X 0
+1068 *83:4 *83:2 0
+1069 *83:3 *83:1 0
+1070 _097_:A _097_:A 0
+*END
+
+*D_NET *84 0.00373934055084
+*CONN
+*I _104_:A I
+*I _099_:A3 I
+*I _098_:A I
+*I _097_:X O
+*CAP
+765 *84:1 0.00128173604704
+766 _104_:A 0.00016728583584
+767 _097_:X 0.00075320197312
+768 _099_:A3 4.017137184e-05
+769 *84:5 5.034052896000001e-05
+770 *84:6 6.898398368e-05
+771 *84:7 0.00044015821855999997
+772 *84:2 0.0009374625918000002
+773 _098_:A 0
+774 *84:3 0
+775 *84:4 0
+776 *84:8 0
+*RES
+1071 *84:1 *84:2 14.571428571428571
+1072 _104_:A *84:3 1.6428571428571428
+1073 _097_:X _097_:X 0.30357142857142855
+1074 _097_:X *84:4 7.3928571428571415
+1075 _099_:A3 *84:5 0.30357142857142855
+1076 *84:5 *84:6 0.4107142857142857
+1077 *84:6 *84:7 0.6071428571428571
+1078 *84:7 *84:8 4.517857142857142
+1079 *84:2 *84:9 10.625
+1080 _098_:A _098_:A 0
+1081 _098_:A *84:2 0
+1082 *84:3 *84:1 0
+1083 _104_:A _104_:A 0
+1084 _097_:X _097_:X 0
+1085 *84:4 *84:10 0
+1086 _099_:A3 _099_:A3 0
+1087 *84:8 *84:9 0
+*END
+
+*D_NET *85 0.0026477283983600006
+*CONN
+*I _125_:B I
+*I _107_:A I
+*I _099_:B2 I
+*I _098_:Y O
+*CAP
+777 _125_:B 0.00028423114272
+778 *85:2 0.00088449744484
+779 _107_:A 0.00040117644959999997
+780 *85:3 0.00011650281392
+781 _099_:B2 5.034052896000001e-05
+782 *85:5 0.0009109800183200002
+783 *85:1 0
+784 *85:4 0
+785 _098_:Y 0
+786 *85:6 0
+*RES
+1088 _125_:B *85:1 2.875
+1089 *85:2 *85:3 10.017857142857142
+1090 _107_:A *85:4 4.107142857142857
+1091 *85:3 *85:5 1.2142857142857142
+1092 _099_:B2 *85:6 0.4107142857142857
+1093 *85:5 *85:7 10.321428571428571
+1094 _125_:B _125_:B 0
+1095 *85:1 *85:3 0
+1096 *85:4 *85:2 0
+1097 _107_:A _107_:A 0
+1098 _098_:Y _098_:Y 0
+1099 _098_:Y *85:5 0
+1100 _099_:B2 _099_:B2 0
+1101 *85:6 *85:7 0
+*END
+
+*D_NET *86 0.0039408716843599995
+*CONN
+*I _160_:B I
+*I _117_:B I
+*I _101_:Y O
+*CAP
+787 *86:1 0.00016946796088000002
+788 _117_:B 0.0017655383632
+789 *86:4 9.002024044000002e-05
+790 *86:6 0.00020919182110000001
+791 *86:8 0.00020262855826000005
+792 *86:10 8.932229792e-05
+793 *86:11 0.0014147024425599998
+794 _160_:B 0
+795 *86:3 0
+796 _101_:Y 0
+797 *86:12 0
+*RES
+1102 *86:1 *86:2 1.8214285714285714
+1103 _117_:B *86:3 18.482142857142854
+1104 *86:4 *86:5 0.9107142857142857
+1105 *86:6 *86:7 2.276785714285714
+1106 *86:8 *86:7 0.4107142857142857
+1107 *86:8 *86:9 1.669642857142857
+1108 *86:10 _117_:B 0.8214285714285714
+1109 *86:11 *86:12 14.785714285714283
+1110 _160_:B _160_:B 0
+1111 _160_:B *86:1 0
+1112 *86:11 *86:2 0
+1113 _117_:B _117_:B 0
+1114 *86:3 *86:4 0
+1115 _101_:Y _101_:Y 0
+1116 _101_:Y *86:5 0
+1117 *86:12 *86:6 0
+1118 *86:10 *86:9 0
+*END
+
+*D_NET *87 0.00574345716564
+*CONN
+*I _158_:A I
+*I _157_:A I
+*I _103_:B I
+*I _102_:Y O
+*CAP
+798 *87:1 5.034052896000001e-05
+799 *87:2 6.898398368e-05
+800 *87:3 9.002024044000002e-05
+801 *87:5 0.00014298538739999998
+802 *87:7 8.932229792e-05
+803 *87:9 0.00014298538739999998
+804 _103_:B 0.0005181217564799999
+805 *87:10 6.898398368e-05
+806 *87:11 0.0010638665219200002
+807 *87:13 0.0004778928123
+808 *87:15 0.0008991392231600001
+809 *87:17 0.0008720662143000001
+810 *87:19 0.0007918084376600001
+811 _102_:Y 0.00028423114272
+812 *87:22 0.00018270924762000003
+813 _158_:A 0
+814 *87:12 0
+815 _157_:A 0
+816 *87:8 0
+817 *87:24 0
+818 *87:23 0
+819 *87:18 0
+820 *87:21 0
+*RES
+1119 *87:1 _158_:A 0.4107142857142857
+1120 *87:2 *87:1 0.6071428571428571
+1121 *87:3 *87:4 0.9107142857142857
+1122 *87:5 *87:6 1.5178571428571426
+1123 *87:7 *87:8 0.8214285714285714
+1124 *87:9 *87:3 1.5178571428571426
+1125 _103_:B *87:10 5.339285714285714
+1126 *87:10 *87:11 0.6071428571428571
+1127 *87:11 *87:12 11.089285714285714
+1128 *87:13 *87:14 0.8287666666666667
+1129 *87:15 *87:16 1.8110666666666668
+1130 *87:17 *87:18 1.5494333333333334
+1131 *87:19 *87:20 8.95535714285714
+1132 _102_:Y *87:21 2.875
+1133 *87:22 *87:23 1.9732142857142856
+1134 _158_:A _158_:A 0
+1135 *87:2 *87:4 0
+1136 *87:12 *87:3 0
+1137 _157_:A _157_:A 0
+1138 _157_:A *87:5 0
+1139 *87:8 *87:6 0
+1140 *87:7 *87:9 0
+1141 _103_:B _103_:B 0
+1142 *87:24 *87:22 0
+1143 *87:23 *87:14 0
+1144 *87:13 *87:15 0
+1145 *87:18 *87:16 0
+1146 *87:19 *87:17 0
+1147 *87:21 *87:20 0
+1148 _102_:Y _102_:Y 0
+*END
+
+*D_NET *88 0.0051847870848799995
+*CONN
+*I _172_:C I
+*I _119_:B I
+*I _104_:B I
+*I _103_:X O
+*CAP
+821 *88:1 9.051190080000001e-05
+822 *88:3 0.00197028295752
+823 *88:5 0.00024524937376
+824 _172_:C 9.779659552000001e-05
+825 *88:7 0.00022243310784000002
+826 *88:9 0.0005181217564799999
+827 _103_:X 0.0013367389046400001
+828 *88:11 4.017137184e-05
+829 *88:13 2.3813806740000006e-05
+830 *88:15 0.000615853503
+831 *88:17 2.3813806740000006e-05
+832 *88:2 0
+833 _104_:B 0
+834 *88:10 0
+835 *88:12 0
+836 *88:14 0
+837 *88:18 0
+838 _119_:B 0
+*RES
+1149 *88:1 *88:2 0.30357142857142855
+1150 *88:3 *88:4 22.46428571428571
+1151 *88:5 *88:6 2.464285714285714
+1152 _172_:C *88:6 0.9107142857142857
+1153 *88:7 *88:8 2.4285714285714284
+1154 *88:9 *88:1 5.339285714285714
+1155 _103_:X *88:10 13.964285714285714
+1156 *88:11 *88:12 0.30357142857142855
+1157 *88:13 *88:14 0.15178571428571427
+1158 *88:15 *88:16 1.0810000000000002
+1159 *88:17 *88:18 0.15178571428571427
+1160 *88:1 *88:11 0.4107142857142857
+1161 *88:2 *88:3 0
+1162 *88:5 *88:4 0
+1163 _172_:C _172_:C 0
+1164 _104_:B _104_:B 0
+1165 _104_:B *88:7 0
+1166 *88:9 *88:8 0
+1167 _103_:X _103_:X 0
+1168 *88:10 *88:19 0
+1169 *88:12 *88:13 0
+1170 *88:14 *88:15 0
+1171 *88:18 *88:16 0
+1172 _119_:B _119_:B 0
+1173 _119_:B *88:17 0
+*END
+
+*D_NET *89 0.00043166907767999994
+*CONN
+*I _108_:A I
+*I _104_:X O
+*CAP
+839 *89:1 0.00038132854871999994
+840 *89:3 5.034052896000001e-05
+841 _104_:X 0
+842 _108_:A 0
+*RES
+1174 *89:1 *89:2 4.249999999999999
+1175 *89:3 _108_:A 0.4107142857142857
+1176 _104_:X _104_:X 0
+1177 _104_:X *89:1 0
+1178 *89:3 *89:2 0
+1179 _108_:A _108_:A 0
+*END
+
+*D_NET *90 0.0026003827731600005
+*CONN
+*I _107_:B I
+*I _105_:X O
+*CAP
+843 *90:1 0.00012830406688
+844 *90:2 0.0010963580326800002
+845 _105_:X 0.0013757206736000002
+846 _107_:B 0
+847 *90:4 0
+*RES
+1180 *90:1 _107_:B 1.232142857142857
+1181 *90:2 *90:3 12.44642857142857
+1182 _105_:X *90:4 14.375
+1183 _107_:B _107_:B 0
+1184 *90:1 *90:2 0
+1185 *90:4 *90:3 0
+1186 _105_:X _105_:X 0
+*END
+
+*D_NET *91 0.00172486263064
+*CONN
+*I _107_:C I
+*I _106_:X O
+*CAP
+848 *91:1 0.0014406314879200001
+849 _106_:X 0.00028423114272
+850 _107_:C 0
+851 *91:3 0
+*RES
+1187 *91:1 *91:2 16.392857142857142
+1188 _106_:X *91:3 2.875
+1189 _107_:C _107_:C 0
+1190 _107_:C *91:1 0
+1191 *91:3 *91:2 0
+1192 _106_:X _106_:X 0
+*END
+
+*D_NET *92 0.00065009213092
+*CONN
+*I _108_:B I
+*I _107_:X O
+*CAP
+852 _107_:X 0.00040117644959999997
+853 *92:2 0.00024891568132000004
+854 *92:1 0
+855 _108_:B 0
+*RES
+1193 _107_:X *92:1 4.107142857142857
+1194 *92:2 *92:3 2.7321428571428568
+1195 _107_:X _107_:X 0
+1196 *92:1 *92:2 0
+1197 _108_:B _108_:B 0
+1198 _108_:B *92:3 0
+*END
+
+*D_NET *93 0.00096046210008
+*CONN
+*I _109_:A I
+*I _108_:X O
+*CAP
+856 _108_:X 0.0007909941392
+857 *93:2 0.00016946796088000002
+858 *93:1 0
+859 _109_:A 0
+*RES
+1199 _108_:X *93:1 8.214285714285714
+1200 *93:2 *93:3 1.8214285714285714
+1201 _108_:X _108_:X 0
+1202 *93:1 *93:2 0
+1203 _109_:A _109_:A 0
+1204 _109_:A *93:3 0
+*END
+
+*D_NET *94 0.00016946796088000002
+*CONN
+*I _112_:A I
+*I _110_:Y O
+*CAP
+860 *94:1 0.00016946796088000002
+861 _112_:A 0
+862 _110_:Y 0
+*RES
+1205 *94:1 *94:2 1.8214285714285714
+1206 _112_:A _112_:A 0
+1207 _112_:A *94:1 0
+1208 _110_:Y _110_:Y 0
+1209 _110_:Y *94:2 0
+*END
+
+*D_NET *95 0.0006486079484
+*CONN
+*I _112_:B I
+*I _111_:Y O
+*CAP
+863 _111_:Y 0.0004791399875199999
+864 *95:2 0.00016946796088000002
+865 *95:1 0
+866 _112_:B 0
+*RES
+1210 _111_:Y *95:1 4.928571428571428
+1211 *95:2 *95:3 1.8214285714285714
+1212 _111_:Y _111_:Y 0
+1213 *95:1 *95:2 0
+1214 _112_:B _112_:B 0
+1215 _112_:B *95:3 0
+*END
+
+*D_NET *96 0.0017488375736
+*CONN
+*I _115_:A I
+*I _113_:X O
+*CAP
+867 _115_:A 0.00016728583584
+868 *96:2 5.029638022e-05
+869 *96:4 0.0004581841421999999
+870 *96:6 0.00063291299678
+871 *96:8 0.00044015821855999997
+872 *96:1 0
+873 *96:3 0
+874 *96:7 0
+875 _113_:X 0
+*RES
+1216 _115_:A *96:1 1.6428571428571428
+1217 *96:2 *96:3 0.45535714285714285
+1218 *96:4 *96:5 0.7927333333333333
+1219 *96:6 *96:7 7.133928571428571
+1220 *96:8 _113_:X 4.517857142857142
+1221 _115_:A _115_:A 0
+1222 *96:1 *96:2 0
+1223 *96:3 *96:4 0
+1224 *96:7 *96:5 0
+1225 *96:8 *96:6 0
+1226 _113_:X _113_:X 0
+*END
+
+*D_NET *97 0.0009669501063600001
+*CONN
+*I _115_:B I
+*I _114_:X O
+*CAP
+876 *97:1 0.00030188082828000006
+877 *97:3 0.00066506927808
+878 _114_:X 0
+879 *97:4 0
+880 _115_:B 0
+*RES
+1227 *97:1 *97:2 3.339285714285714
+1228 *97:3 *97:4 6.160714285714286
+1229 *97:3 _115_:B 0.6071428571428571
+1230 _114_:X _114_:X 0
+1231 _114_:X *97:1 0
+1232 *97:4 *97:2 0
+1233 _115_:B _115_:B 0
+*END
+
+*D_NET *98 0.0021156498026
+*CONN
+*I _116_:B I
+*I _115_:Y O
+*CAP
+881 *98:1 0.00016728583584
+882 *98:2 0.00088449744484
+883 _115_:Y 0.0010638665219200002
+884 _116_:B 0
+885 *98:4 0
+*RES
+1234 *98:1 _116_:B 1.6428571428571428
+1235 *98:2 *98:3 10.017857142857142
+1236 _115_:Y *98:4 11.089285714285714
+1237 _116_:B _116_:B 0
+1238 *98:1 *98:2 0
+1239 *98:4 *98:3 0
+1240 _115_:Y _115_:Y 0
+*END
+
+*D_NET *99 0.00378223629152
+*CONN
+*I _154_:B I
+*I _117_:C I
+*I _116_:Y O
+*CAP
+886 *99:1 0.00014298538739999998
+887 _117_:C 0.00063506706336
+888 *99:4 0.0011493231796400002
+889 *99:6 0.00024524937376
+890 *99:7 0.0016096112873599999
+891 _154_:B 0
+892 *99:3 0
+893 _116_:Y 0
+*RES
+1241 *99:1 *99:2 1.5178571428571426
+1242 _117_:C *99:3 6.571428571428571
+1243 *99:4 *99:5 13.053571428571427
+1244 *99:6 _116_:Y 2.464285714285714
+1245 *99:7 _117_:C 16.83928571428571
+1246 _154_:B _154_:B 0
+1247 _154_:B *99:1 0
+1248 *99:7 *99:2 0
+1249 _117_:C _117_:C 0
+1250 *99:3 *99:5 0
+1251 *99:6 *99:4 0
+1252 _116_:Y _116_:Y 0
+*END
+
+*D_NET *100 0.00211858413652
+*CONN
+*I _118_:B I
+*I _117_:X O
+*CAP
+894 _117_:X 4.017137184e-05
+895 *100:2 0.00040117644959999997
+896 *100:3 5.029638022e-05
+897 *100:5 0.0013647829668
+898 *100:7 0.00026215696806
+899 _118_:B 0
+*RES
+1253 _117_:X *100:1 0.30357142857142855
+1254 *100:2 *100:1 4.107142857142857
+1255 *100:3 *100:4 0.45535714285714285
+1256 *100:5 *100:6 2.450266666666667
+1257 *100:7 *100:8 2.883928571428571
+1258 _117_:X _117_:X 0
+1259 *100:2 *100:4 0
+1260 *100:3 *100:6 0
+1261 *100:7 *100:5 0
+1262 _118_:B _118_:B 0
+1263 _118_:B *100:8 0
+*END
+
+*D_NET *101 0.0037564781992799998
+*CONN
+*I _120_:B I
+*I _119_:Y O
+*CAP
+900 _120_:B 0.00016728583584
+901 *101:2 0.00038132854871999994
+902 *101:4 0.00320786381472
+903 *101:1 0
+904 _119_:Y 0
+*RES
+1264 _120_:B *101:1 1.6428571428571428
+1265 *101:2 *101:3 4.249999999999999
+1266 *101:4 _119_:Y 33.67857142857142
+1267 _120_:B _120_:B 0
+1268 *101:1 *101:3 0
+1269 *101:4 *101:2 0
+1270 _119_:Y _119_:Y 0
+*END
+
+*D_NET *102 0.00341290257756
+*CONN
+*I _150_:A I
+*I _133_:A I
+*I _121_:Y O
+*CAP
+905 _133_:A 4.017137184e-05
+906 *102:1 0.0007909941392
+907 *102:3 0.00207621325144
+908 *102:5 0.0002062676048
+909 *102:6 0.00024891568132000004
+910 *102:8 5.034052896000001e-05
+911 *102:2 0
+912 _121_:Y 0
+913 _150_:A 0
+*RES
+1271 _133_:A *102:1 0.30357142857142855
+1272 *102:1 *102:2 8.214285714285714
+1273 *102:3 *102:4 23.678571428571427
+1274 *102:5 _121_:Y 2.0535714285714284
+1275 *102:6 *102:7 2.7321428571428568
+1276 *102:8 _133_:A 0.4107142857142857
+1277 _133_:A _133_:A 0
+1278 *102:2 *102:3 0
+1279 *102:5 *102:4 0
+1280 _121_:Y _121_:Y 0
+1281 _150_:A _150_:A 0
+1282 _150_:A *102:6 0
+1283 *102:8 *102:7 0
+*END
+
+*D_NET *103 0.0015620416315999999
+*CONN
+*I _123_:B I
+*I _122_:Y O
+*CAP
+914 *103:1 0.00032836340175999997
+915 *103:3 0.00090793944608
+916 *103:5 0.0002753982548
+917 *103:7 5.034052896000001e-05
+918 _122_:Y 0
+919 *103:4 0
+920 _123_:B 0
+*RES
+1284 *103:1 *103:2 3.642857142857143
+1285 *103:3 *103:4 9.446428571428571
+1286 *103:5 *103:6 3.035714285714285
+1287 *103:7 _123_:B 0.4107142857142857
+1288 _122_:Y _122_:Y 0
+1289 _122_:Y *103:2 0
+1290 *103:3 *103:1 0
+1291 *103:4 *103:6 0
+1292 *103:7 *103:5 0
+1293 _123_:B _123_:B 0
+*END
+
+*D_NET *104 0.00181801659356
+*CONN
+*I _132_:A I
+*I _123_:Y O
+*CAP
+921 _123_:Y 5.034052896000001e-05
+922 *104:2 0.00011650281392
+923 *104:3 4.640188412000001e-05
+924 *104:4 0.00015622667414000004
+925 *104:6 0.0004581841421999999
+926 *104:8 0.00031512211502
+927 *104:10 0.00063506706336
+928 *104:11 4.017137184e-05
+929 *104:1 0
+930 *104:5 0
+931 _132_:A 0
+*RES
+1294 _123_:Y *104:1 0.4107142857142857
+1295 *104:2 *104:3 1.2142857142857142
+1296 *104:3 *104:4 0.4107142857142857
+1297 *104:4 *104:5 1.669642857142857
+1298 *104:6 *104:7 0.7927333333333333
+1299 *104:8 *104:9 3.4910714285714284
+1300 *104:10 *104:11 6.571428571428571
+1301 *104:11 _132_:A 0.30357142857142855
+1302 _123_:Y _123_:Y 0
+1303 *104:1 *104:2 0
+1304 *104:5 *104:6 0
+1305 *104:8 *104:7 0
+1306 *104:10 *104:9 0
+1307 _132_:A _132_:A 0
+*END
+
+*D_NET *105 0.006522595695160001
+*CONN
+*I _183_:D I
+*I _182_:Q O
+*I _148_:B I
+*I _124_:B I
+*I _086_:B I
+*I _083_:A I
+*CAP
+932 _086_:B 0.00044015821855999997
+933 *105:2 7.67789537e-05
+934 *105:4 0.0001231367505
+935 _148_:B 0.00028423114272
+936 *105:7 0.00059318913656
+937 *105:8 4.640188412000001e-05
+938 *105:9 0.00024891568132000004
+939 *105:10 0.00028863954154000006
+940 *105:12 8.371941029999999e-05
+941 *105:14 0.0012603118915200003
+942 *105:16 0.00033993212159999996
+943 _183_:D 0.00044015821855999997
+944 _083_:A 0.00037355344064
+945 *105:20 0.00019595053436
+946 *105:22 0.00028423114272
+947 *105:23 4.017137184e-05
+948 *105:24 0.00012830406688
+949 *105:25 8.932229792e-05
+950 *105:26 0.0006991194304800001
+951 *105:28 0.00048637045931999997
+952 *105:1 0
+953 *105:3 0
+954 *105:6 0
+955 _182_:Q 0
+956 *105:13 0
+957 *105:17 0
+958 *105:18 0
+959 *105:19 0
+960 _124_:B 0
+*RES
+1308 _086_:B *105:1 4.517857142857142
+1309 *105:2 *105:3 0.7589285714285713
+1310 *105:4 *105:5 0.18016666666666664
+1311 _148_:B *105:6 2.875
+1312 *105:7 *105:8 6.678571428571428
+1313 *105:8 *105:9 0.4107142857142857
+1314 *105:9 *105:2 2.7321428571428568
+1315 *105:10 *105:11 3.1874999999999996
+1316 *105:12 *105:13 0.1081
+1317 *105:14 *105:15 2.5568000000000004
+1318 *105:16 *105:17 0.5765333333333333
+1319 _183_:D *105:18 4.517857142857142
+1320 _083_:A *105:19 2.875
+1321 *105:20 *105:21 2.1249999999999996
+1322 *105:22 *105:23 2.875
+1323 *105:23 *105:24 0.30357142857142855
+1324 *105:24 _183_:D 1.232142857142857
+1325 *105:25 _124_:B 0.8214285714285714
+1326 *105:26 *105:27 7.892857142857142
+1327 _083_:A _083_:A 0.8214285714285714
+1328 *105:28 *105:29 0.9588000000000001
+1329 _086_:B _086_:B 0
+1330 *105:1 *105:2 0
+1331 *105:3 *105:5 0
+1332 *105:4 *105:28 0
+1333 _148_:B _148_:B 0
+1334 *105:6 *105:7 0
+1335 _182_:Q _182_:Q 0
+1336 _182_:Q *105:11 0
+1337 *105:10 *105:12 0
+1338 *105:13 *105:15 0
+1339 *105:16 *105:14 0
+1340 *105:17 *105:29 0
+1341 _183_:D _183_:D 0
+1342 *105:18 *105:30 0
+1343 _083_:A _083_:A 0
+1344 *105:19 *105:20 0
+1345 *105:22 *105:21 0
+1346 _124_:B _124_:B 0
+1347 *105:25 *105:26 0
+1348 _083_:A *105:27 0
+*END
+
+*D_NET *106 0.00701495602308
+*CONN
+*I _184_:D I
+*I _183_:Q O
+*I _148_:A I
+*I _122_:A I
+*I _114_:A I
+*I _082_:A I
+*CAP
+961 _122_:A 4.017137184e-05
+962 *106:1 8.932229792e-05
+963 *106:3 0.00014298538739999998
+964 _114_:A 0.00016728583584
+965 *106:6 0.00035484597524
+966 *106:8 0.0002062676048
+967 _148_:A 6.898398368e-05
+968 _082_:A 0.0002062676048
+969 *106:11 0.00018270924762000003
+970 *106:13 8.371941029999999e-05
+971 *106:15 0.0013119079870000001
+972 *106:17 4.43020701e-05
+973 *106:7 0.00023567439458000006
+974 _183_:Q 0.0002062676048
+975 *106:12 0.0006991194304800001
+976 _184_:D 0.0009469212150399999
+977 *106:23 4.017137184e-05
+978 *106:24 0.00032321291168
+979 *106:26 0.0010963580326800002
+980 *106:28 8.932229792e-05
+981 *106:29 0.0004791399875199999
+982 *106:2 0
+983 *106:5 0
+984 *106:9 0
+985 *106:10 0
+986 *106:18 0
+987 *106:19 0
+988 *106:20 0
+989 *106:22 0
+990 *106:25 0
+*RES
+1349 _122_:A *106:1 0.30357142857142855
+1350 *106:1 *106:2 0.8214285714285714
+1351 *106:3 *106:4 1.5178571428571426
+1352 _114_:A *106:5 1.6428571428571428
+1353 *106:6 *106:7 3.946428571428571
+1354 *106:8 *106:9 2.0535714285714284
+1355 _148_:A *106:8 0.6071428571428571
+1356 _082_:A *106:10 2.0535714285714284
+1357 *106:11 *106:12 1.9732142857142856
+1358 *106:13 *106:14 0.1081
+1359 *106:15 *106:16 2.6633333333333336
+1360 *106:17 *106:18 0.036033333333333334
+1361 *106:7 *106:19 2.580357142857143
+1362 _183_:Q *106:20 2.0535714285714284
+1363 *106:12 *106:21 7.892857142857142
+1364 _184_:D *106:22 9.857142857142856
+1365 *106:23 *106:24 0.30357142857142855
+1366 *106:24 *106:25 3.2857142857142856
+1367 *106:26 *106:27 12.44642857142857
+1368 *106:28 _184_:D 0.8214285714285714
+1369 *106:29 *106:23 4.928571428571428
+1370 _122_:A _122_:A 0
+1371 *106:2 *106:3 0
+1372 *106:29 *106:4 0
+1373 _114_:A _114_:A 0
+1374 *106:5 *106:7 0
+1375 *106:9 *106:6 0
+1376 _148_:A _148_:A 0
+1377 _082_:A _082_:A 0
+1378 *106:10 *106:12 0
+1379 *106:11 *106:14 0
+1380 *106:13 *106:16 0
+1381 *106:18 *106:15 0
+1382 *106:19 *106:17 0
+1383 _183_:Q _183_:Q 0
+1384 *106:20 *106:21 0
+1385 _184_:D _184_:D 0
+1386 *106:22 *106:30 0
+1387 *106:25 *106:26 0
+1388 *106:28 *106:27 0
+*END
+
+*D_NET *107 0.005009876793440001
+*CONN
+*I _181_:X O
+*I _170_:A I
+*I _164_:A I
+*I _162_:A I
+*I _156_:A I
+*CAP
+991 _164_:A 5.034052896000001e-05
+992 *107:2 0.0012022883266000002
+993 *107:4 0.00101590519872
+994 *107:5 0.0006726368570000002
+995 *107:7 0.00075201237024
+996 *107:8 0.00043429369568000003
+997 *107:10 0.00032321291168
+998 *107:11 0.00019595053436
+999 *107:13 0.00016728583584
+1000 *107:9 0.00019595053436
+1001 *107:1 0
+1002 _181_:X 0
+1003 _162_:A 0
+1004 _170_:A 0
+1005 _156_:A 0
+1006 *107:16 0
+1007 *107:14 0
+*RES
+1389 _164_:A *107:1 0.4107142857142857
+1390 *107:2 *107:3 13.660714285714285
+1391 *107:4 *107:1 0.6071428571428571
+1392 *107:5 *107:6 7.5892857142857135
+1393 *107:7 _170_:A 7.803571428571428
+1394 *107:8 *107:9 4.857142857142857
+1395 *107:10 _162_:A 3.2857142857142856
+1396 *107:11 *107:12 2.1249999999999996
+1397 *107:13 *107:14 1.6428571428571428
+1398 *107:9 *107:15 2.1249999999999996
+1399 *107:4 *107:16 9.857142857142856
+1400 _164_:A _164_:A 0
+1401 *107:1 *107:2 0
+1402 _181_:X _181_:X 0
+1403 _181_:X *107:3 0
+1404 _162_:A _162_:A 0
+1405 _162_:A *107:6 0
+1406 *107:7 *107:5 0
+1407 _170_:A _170_:A 0
+1408 _156_:A _156_:A 0
+1409 _156_:A *107:9 0
+1410 *107:10 *107:8 0
+1411 *107:16 *107:12 0
+1412 *107:13 *107:11 0
+1413 *107:14 *107:15 0
+*END
+
+*D_NET *108 0.005185664361520001
+*CONN
+*I _180_:A I
+*I _168_:Y O
+*I _163_:A I
+*I _129_:B1 I
+*CAP
+1008 *108:1 5.034052896000001e-05
+1009 *108:2 0.00043429369568000003
+1010 *108:4 0.0005960852944
+1011 *108:6 0.0005193113593599999
+1012 *108:7 0.00028423114272
+1013 *108:8 0.00149359663488
+1014 *108:10 8.932229792e-05
+1015 *108:12 0.0004791399875199999
+1016 *108:13 0.00035484597524
+1017 *108:14 0.00088449744484
+1018 _180_:A 0
+1019 _129_:B1 0
+1020 _163_:A 0
+1021 *108:11 0
+1022 _168_:Y 0
+*RES
+1414 *108:1 _180_:A 0.4107142857142857
+1415 *108:2 *108:3 4.857142857142857
+1416 *108:4 *108:5 6.160714285714286
+1417 *108:6 *108:5 0.30357142857142855
+1418 *108:6 _129_:B1 4.928571428571428
+1419 *108:7 *108:1 2.875
+1420 *108:8 *108:9 16.999999999999996
+1421 *108:10 *108:11 0.8214285714285714
+1422 *108:12 _168_:Y 4.928571428571428
+1423 *108:13 *108:8 3.946428571428571
+1424 *108:14 *108:15 10.017857142857142
+1425 _180_:A _180_:A 0
+1426 *108:1 *108:2 0
+1427 *108:4 *108:3 0
+1428 _129_:B1 _129_:B1 0
+1429 *108:7 *108:15 0
+1430 _163_:A _163_:A 0
+1431 _163_:A *108:8 0
+1432 *108:10 *108:9 0
+1433 *108:11 *108:14 0
+1434 _168_:Y _168_:Y 0
+1435 *108:12 *108:13 0
+*END
+
+*D_NET *109 0.006459473238240001
+*CONN
+*I _179_:B I
+*I _170_:Y O
+*I _169_:A I
+*I _142_:A2 I
+*I _141_:B I
+*I _080_:B I
+*CAP
+1023 _141_:B 0.0003621946806399999
+1024 *109:1 4.017137184e-05
+1025 *109:2 0.0005181217564799999
+1026 *109:4 0.00015622667414000004
+1027 *109:5 4.640188412000001e-05
+1028 _179_:B 0.0003621946806399999
+1029 *109:8 0.00063291299678
+1030 *109:9 4.640188412000001e-05
+1031 *109:10 0.00042105240893999997
+1032 *109:12 0.00028423114272
+1033 *109:14 6.353766696000002e-05
+1034 *109:16 0.0005960852944
+1035 *109:17 0.00061967171004
+1036 *109:18 0.0007909941392
+1037 *109:19 0.00019595053436
+1038 _142_:A2 4.017137184e-05
+1039 *109:21 0.00055710352544
+1040 _080_:B 4.017137184e-05
+1041 *109:6 0.0006858781437400002
+1042 *109:3 0
+1043 *109:7 0
+1044 *109:13 0
+1045 _169_:A 0
+1046 _170_:Y 0
+1047 *109:24 0
+*RES
+1436 _141_:B *109:1 3.6964285714285707
+1437 *109:1 *109:2 0.30357142857142855
+1438 *109:2 *109:3 5.339285714285714
+1439 *109:4 *109:5 1.669642857142857
+1440 *109:5 *109:6 0.4107142857142857
+1441 _179_:B *109:7 3.6964285714285707
+1442 *109:8 *109:9 7.133928571428571
+1443 *109:9 *109:10 0.4107142857142857
+1444 *109:10 *109:11 4.705357142857142
+1445 *109:12 *109:13 2.875
+1446 *109:14 *109:15 0.6071428571428571
+1447 *109:16 _169_:A 6.160714285714286
+1448 *109:17 *109:8 6.982142857142857
+1449 *109:18 _170_:Y 8.214285714285714
+1450 *109:19 *109:20 2.1249999999999996
+1451 _142_:A2 *109:21 0.30357142857142855
+1452 *109:21 *109:22 5.75
+1453 _080_:B *109:22 0.30357142857142855
+1454 *109:6 *109:23 7.741071428571428
+1455 _141_:B _141_:B 0
+1456 *109:3 *109:4 0
+1457 _179_:B _179_:B 0
+1458 *109:7 *109:8 0
+1459 *109:12 *109:11 0
+1460 *109:13 *109:14 0
+1461 _141_:B *109:15 0
+1462 _169_:A _169_:A 0
+1463 *109:16 *109:17 0
+1464 _170_:Y _170_:Y 0
+1465 *109:18 *109:19 0
+1466 *109:24 *109:20 0
+1467 _142_:A2 _142_:A2 0
+1468 _080_:B _080_:B 0
+1469 _142_:A2 *109:23 0
+*END
+
+*D_NET *110 0.006243891419160001
+*CONN
+*I _179_:A I
+*I _164_:Y O
+*I _163_:B I
+*I _142_:A1 I
+*I _129_:A2 I
+*I _080_:A I
+*CAP
+1048 _163_:B 9.051190080000001e-05
+1049 *110:2 0.00020919182110000001
+1050 *110:4 0.00024138877109999995
+1051 *110:6 0.00136350408248
+1052 *110:8 0.0009114835544999999
+1053 _179_:A 4.017137184e-05
+1054 *110:10 0.0005960852944
+1055 *110:12 0.00064615428352
+1056 *110:14 0.00055710352544
+1057 *110:15 0.0008580148713600001
+1058 _142_:A1 0.0003621946806399999
+1059 *110:17 0.00036808726198
+1060 *110:1 0
+1061 *110:3 0
+1062 *110:5 0
+1063 _164_:Y 0
+1064 _129_:A2 0
+1065 _080_:A 0
+*RES
+1470 _163_:B *110:1 0.4107142857142857
+1471 *110:2 *110:3 2.276785714285714
+1472 *110:4 *110:5 0.39636666666666664
+1473 *110:6 *110:7 2.7698666666666667
+1474 *110:8 *110:9 1.6215
+1475 _179_:A *110:10 0.30357142857142855
+1476 *110:10 *110:11 6.160714285714286
+1477 _163_:B *110:11 0.30357142857142855
+1478 *110:12 *110:13 7.285714285714286
+1479 *110:14 *110:10 5.75
+1480 *110:15 *110:16 9.714285714285714
+1481 _142_:A1 _080_:A 3.6964285714285707
+1482 *110:17 *110:15 4.098214285714286
+1483 _163_:B _163_:B 0
+1484 *110:1 *110:2 0
+1485 *110:3 *110:4 0
+1486 *110:5 *110:6 0
+1487 *110:8 *110:7 0
+1488 *110:17 *110:9 0
+1489 _179_:A _179_:A 0
+1490 _164_:Y _164_:Y 0
+1491 _164_:Y *110:13 0
+1492 *110:14 *110:12 0
+1493 _142_:A1 _142_:A1 0
+1494 _142_:A1 *110:15 0
+1495 _129_:A2 _129_:A2 0
+1496 _129_:A2 *110:16 0
+1497 _080_:A _080_:A 0
+*END
+
+*D_NET *111 0.00432443571288
+*CONN
+*I _156_:Y O
+*I _155_:A I
+*I _142_:B1 I
+*I _079_:B I
+*CAP
+1066 _156_:Y 0.00055710352544
+1067 *111:2 0.00038132854871999994
+1068 *111:4 0.00016728583584
+1069 _079_:B 5.034052896000001e-05
+1070 *111:6 0.00136118376748
+1071 *111:8 0.00016728583584
+1072 *111:9 0.0005181217564799999
+1073 *111:10 4.017137184e-05
+1074 *111:11 0.0003621946806399999
+1075 *111:13 0.00032440251456
+1076 *111:14 4.017137184e-05
+1077 *111:7 0.00035484597524
+1078 *111:1 0
+1079 _155_:A 0
+1080 *111:5 0
+1081 _142_:B1 0
+*RES
+1498 _156_:Y *111:1 5.75
+1499 *111:2 *111:3 4.249999999999999
+1500 *111:4 _155_:A 1.6428571428571428
+1501 _079_:B *111:5 0.4107142857142857
+1502 *111:6 *111:7 15.482142857142856
+1503 *111:8 _156_:Y 1.6428571428571428
+1504 *111:9 *111:10 5.339285714285714
+1505 *111:10 *111:11 0.30357142857142855
+1506 *111:11 *111:12 3.6964285714285707
+1507 *111:13 *111:12 0.30357142857142855
+1508 *111:13 *111:14 2.875
+1509 *111:14 _142_:B1 0.30357142857142855
+1510 *111:7 *111:15 3.946428571428571
+1511 _156_:Y _156_:Y 0
+1512 *111:1 *111:3 0
+1513 *111:4 *111:2 0
+1514 _155_:A _155_:A 0
+1515 _079_:B _079_:B 0
+1516 *111:5 *111:7 0
+1517 *111:8 *111:6 0
+1518 *111:9 *111:15 0
+1519 _142_:B1 _142_:B1 0
+*END
+
+*D_NET *112 0.00610390399032
+*CONN
+*I _162_:Y O
+*I _161_:A I
+*I _141_:A I
+*I _129_:A1 I
+*I _079_:A I
+*CAP
+1082 *112:1 0.0013757206736000002
+1083 *112:3 0.00016946796088000002
+1084 _162_:Y 0.00028423114272
+1085 *112:6 0.00038132854871999994
+1086 *112:8 0.00040117644959999997
+1087 _141_:A 0.00032440251456
+1088 *112:10 0.00044753498242
+1089 *112:12 4.640188412000001e-05
+1090 *112:13 0.0008712561581000002
+1091 *112:14 0.00046077626916000004
+1092 *112:16 0.00024524937376
+1093 *112:15 0.0010963580326800002
+1094 *112:2 0
+1095 _129_:A1 0
+1096 *112:5 0
+1097 _161_:A 0
+1098 *112:9 0
+1099 *112:18 0
+1100 _079_:A 0
+*RES
+1520 *112:1 *112:2 14.375
+1521 *112:3 *112:4 1.8214285714285714
+1522 _162_:Y *112:5 2.875
+1523 *112:6 *112:7 4.249999999999999
+1524 *112:8 _161_:A 4.107142857142857
+1525 _141_:A *112:9 2.875
+1526 *112:10 *112:11 5.008928571428571
+1527 *112:12 *112:10 0.4107142857142857
+1528 *112:13 *112:12 9.866071428571429
+1529 *112:14 *112:15 5.160714285714286
+1530 *112:16 _141_:A 2.464285714285714
+1531 _141_:A _141_:A 0.30357142857142855
+1532 *112:15 *112:17 12.44642857142857
+1533 *112:1 *112:17 0
+1534 *112:2 *112:3 0
+1535 _129_:A1 _129_:A1 0
+1536 _129_:A1 *112:4 0
+1537 _162_:Y _162_:Y 0
+1538 *112:5 *112:7 0
+1539 *112:8 *112:6 0
+1540 _161_:A _161_:A 0
+1541 _141_:A _141_:A 0
+1542 *112:9 *112:11 0
+1543 *112:18 *112:13 0
+1544 _079_:A _079_:A 0
+1545 _079_:A *112:15 0
+1546 *112:16 *112:14 0
+*END
+
+*D_NET *113 0.00408249428226
+*CONN
+*I _180_:B I
+*I _153_:A I
+*I _118_:Y O
+*I _081_:A I
+*CAP
+1101 _180_:B 5.034052896000001e-05
+1102 *113:1 0.0005204194400200001
+1103 *113:4 2.3813806740000006e-05
+1104 *113:6 0.0001625540907
+1105 *113:8 0.00095073531864
+1106 *113:10 0.0003202234515
+1107 *113:12 7.67789537e-05
+1108 _118_:Y 5.034052896000001e-05
+1109 *113:15 0.00015622667414000004
+1110 *113:17 0.0015618696678
+1111 *113:5 0.00020919182110000001
+1112 _153_:A 0
+1113 *113:7 0
+1114 *113:13 0
+1115 _081_:A 0
+1116 *113:14 0
+1117 *113:16 0
+*RES
+1547 _180_:B _180_:B 0.4107142857142857
+1548 *113:1 *113:2 5.3125
+1549 *113:1 *113:3 0.4107142857142857
+1550 *113:4 *113:5 0.15178571428571427
+1551 *113:6 *113:7 0.25223333333333336
+1552 *113:8 *113:9 1.9176000000000002
+1553 *113:10 *113:11 0.5405000000000001
+1554 *113:12 *113:13 0.7589285714285713
+1555 _118_:Y *113:14 0.4107142857142857
+1556 *113:15 *113:16 1.669642857142857
+1557 *113:17 *113:6 2.8106000000000004
+1558 *113:5 *113:3 2.276785714285714
+1559 _180_:B _180_:B 0
+1560 _180_:B *113:2 0
+1561 _153_:A _153_:A 0
+1562 _153_:A *113:5 0
+1563 *113:4 *113:6 0
+1564 *113:7 *113:9 0
+1565 *113:10 *113:8 0
+1566 *113:13 *113:11 0
+1567 _081_:A _081_:A 0
+1568 _081_:A *113:12 0
+1569 _118_:Y _118_:Y 0
+1570 *113:14 *113:15 0
+1571 *113:16 *113:17 0
+*END
+
+*D_NET *114 0.0043039003179200005
+*CONN
+*I _173_:X O
+*I _152_:A I
+*I _137_:A I
+*I _135_:A I
+*I _120_:A I
+*CAP
+1118 *114:1 0.00055710352544
+1119 *114:2 4.017137184e-05
+1120 *114:3 0.00082997590816
+1121 _120_:A 4.017137184e-05
+1122 *114:4 0.0003621946806399999
+1123 *114:6 0.0010169103122400001
+1124 *114:8 0.0002753982548
+1125 _152_:A 4.017137184e-05
+1126 *114:10 0.00016728583584
+1127 *114:12 0.00016946796088000002
+1128 *114:7 0.0008050497244000001
+1129 _173_:X 0
+1130 _135_:A 0
+1131 *114:5 0
+1132 _137_:A 0
+1133 *114:14 0
+1134 *114:11 0
+*RES
+1572 *114:1 *114:2 5.75
+1573 *114:2 *114:3 0.30357142857142855
+1574 *114:3 _173_:X 8.625
+1575 _120_:A *114:4 0.30357142857142855
+1576 *114:4 *114:5 3.6964285714285707
+1577 *114:6 *114:7 11.535714285714285
+1578 *114:8 *114:9 3.035714285714285
+1579 _152_:A *114:10 0.30357142857142855
+1580 *114:10 *114:11 1.6428571428571428
+1581 *114:12 *114:8 1.8214285714285714
+1582 *114:7 *114:13 9.107142857142856
+1583 *114:1 *114:13 0
+1584 _173_:X _173_:X 0
+1585 _135_:A _135_:A 0
+1586 _135_:A *114:7 0
+1587 _120_:A _120_:A 0
+1588 *114:5 *114:6 0
+1589 _137_:A _137_:A 0
+1590 _137_:A *114:8 0
+1591 *114:14 *114:9 0
+1592 _152_:A _152_:A 0
+1593 *114:11 *114:12 0
+*END
+
+*D_NET *115 0.0037277696174400003
+*CONN
+*I _172_:A I
+*I _159_:X O
+*I _136_:A I
+*I _099_:B1 I
+*CAP
+1135 *115:1 0.00011932451264000001
+1136 *115:3 0.00040117644959999997
+1137 _099_:B1 6.898398368e-05
+1138 *115:4 0.00012974410066
+1139 *115:5 4.640188412000001e-05
+1140 *115:6 0.0009109800183200002
+1141 *115:7 8.223124824000001e-05
+1142 *115:8 0.0013479424807400003
+1143 *115:2 0.00024524937376
+1144 *115:11 0.0002062676048
+1145 *115:12 0.00016946796088000002
+1146 _172_:A 0
+1147 _136_:A 0
+1148 *115:10 0
+1149 _159_:X 0
+*RES
+1594 *115:1 _172_:A 0.4107142857142857
+1595 *115:1 *115:2 0.6071428571428571
+1596 *115:3 *115:2 4.107142857142857
+1597 _099_:B1 *115:3 0.6071428571428571
+1598 *115:4 *115:5 1.3660714285714284
+1599 *115:5 *115:6 0.4107142857142857
+1600 *115:6 *115:7 10.321428571428571
+1601 *115:7 *115:8 0.8214285714285714
+1602 *115:8 *115:9 15.330357142857142
+1603 *115:2 *115:10 2.464285714285714
+1604 *115:11 _159_:X 2.0535714285714284
+1605 *115:12 *115:4 1.8214285714285714
+1606 _172_:A _172_:A 0
+1607 _099_:B1 _099_:B1 0
+1608 _136_:A _136_:A 0
+1609 _136_:A *115:4 0
+1610 *115:10 *115:9 0
+1611 _159_:X _159_:X 0
+1612 *115:11 *115:12 0
+*END
+
+*D_NET *116 0.008439951419519998
+*CONN
+*I _175_:A I
+*I _171_:A I
+*I _120_:Y O
+*I _119_:A I
+*I _106_:A1 I
+*I _105_:B I
+*CAP
+1150 *116:1 0.00044097171957999993
+1151 *116:4 0.0010831167459400002
+1152 *116:5 0.00024524937376
+1153 *116:6 0.0002753982548
+1154 *116:8 0.00145368421152
+1155 *116:10 4.43020701e-05
+1156 *116:12 0.0004185101396999999
+1157 *116:14 0.00010326152718000001
+1158 *116:16 0.00024524937376
+1159 *116:18 4.017137184e-05
+1160 *116:19 0.00012830406688
+1161 _175_:A 4.017137184e-05
+1162 *116:20 5.029638022e-05
+1163 *116:22 0.0013647829668
+1164 _120_:Y 0.00040117644959999997
+1165 *116:25 0.00039456983545999995
+1166 *116:26 4.640188412000001e-05
+1167 *116:27 0.00028863954154000006
+1168 *116:28 0.00040117644959999997
+1169 *116:30 0.00015622667414000004
+1170 *116:32 0.00081829101114
+1171 _171_:A 0
+1172 _119_:A 0
+1173 *116:9 0
+1174 *116:15 0
+1175 *116:17 0
+1176 _105_:B 0
+1177 *116:21 0
+1178 *116:24 0
+1179 _106_:A1 0
+1180 *116:29 0
+*RES
+1613 *116:1 *116:2 4.4017857142857135
+1614 *116:1 *116:3 0.4107142857142857
+1615 *116:4 *116:3 12.294642857142856
+1616 *116:5 _171_:A 2.464285714285714
+1617 *116:6 *116:7 3.035714285714285
+1618 *116:8 *116:9 15.19642857142857
+1619 *116:10 *116:11 0.036033333333333334
+1620 *116:12 *116:11 0.6392000000000001
+1621 *116:12 *116:13 0.036033333333333334
+1622 *116:14 *116:15 1.0624999999999998
+1623 *116:16 *116:17 2.464285714285714
+1624 *116:18 *116:16 0.30357142857142855
+1625 *116:19 *116:18 1.232142857142857
+1626 _175_:A *116:19 0.30357142857142855
+1627 *116:20 *116:21 0.45535714285714285
+1628 *116:22 *116:23 2.450266666666667
+1629 _120_:Y *116:24 4.107142857142857
+1630 *116:25 *116:26 4.4017857142857135
+1631 *116:26 *116:27 0.4107142857142857
+1632 *116:27 *116:20 3.1874999999999996
+1633 *116:28 *116:29 4.107142857142857
+1634 *116:30 *116:31 1.669642857142857
+1635 *116:32 *116:33 9.25892857142857
+1636 _175_:A _175_:A 0
+1637 _175_:A *116:2 0
+1638 *116:5 *116:4 0
+1639 _171_:A _171_:A 0
+1640 _119_:A _119_:A 0
+1641 _119_:A *116:7 0
+1642 *116:9 *116:6 0
+1643 *116:8 *116:34 0
+1644 *116:32 *116:10 0
+1645 *116:15 *116:13 0
+1646 *116:17 *116:14 0
+1647 _105_:B _105_:B 0
+1648 _105_:B *116:20 0
+1649 *116:21 *116:22 0
+1650 *116:30 *116:23 0
+1651 _120_:Y _120_:Y 0
+1652 *116:24 *116:25 0
+1653 _106_:A1 _106_:A1 0
+1654 _106_:A1 *116:33 0
+1655 *116:28 *116:31 0
+1656 *116:29 *116:35 0
+*END
+
+*D_NET *117 0.0067366543803599995
+*CONN
+*I _175_:B I
+*I _171_:B I
+*I _137_:Y O
+*I _136_:B I
+*I _106_:A2 I
+*I _099_:A2 I
+*CAP
+1181 *117:1 4.017137184e-05
+1182 *117:2 0.00075201237024
+1183 *117:3 4.017137184e-05
+1184 *117:4 0.0008050497244000001
+1185 *117:6 5.034052896000001e-05
+1186 *117:7 9.051190080000001e-05
+1187 *117:9 0.00061967171004
+1188 *117:11 0.00012830406688
+1189 _106_:A2 5.034052896000001e-05
+1190 *117:13 0.00022243310784000002
+1191 *117:15 8.932229792e-05
+1192 *117:17 0.00081829101114
+1193 *117:19 4.43020701e-05
+1194 *117:21 0.00036024102809999994
+1195 *117:10 2.3813806740000006e-05
+1196 *117:14 0.00043429369568000003
+1197 _137_:Y 0.00028423114272
+1198 *117:26 0.00026215696806
+1199 *117:28 0.0016209956780999998
+1200 _136_:B 0
+1201 _171_:B 0
+1202 _175_:B 0
+1203 *117:8 0
+1204 *117:12 0
+1205 *117:16 0
+1206 *117:23 0
+1207 _099_:A2 0
+1208 *117:25 0
+1209 *117:27 0
+*RES
+1657 *117:1 _136_:B 0.30357142857142855
+1658 *117:2 *117:1 7.803571428571428
+1659 *117:3 *117:2 0.30357142857142855
+1660 *117:4 *117:5 9.107142857142856
+1661 *117:6 _171_:B 0.4107142857142857
+1662 *117:7 _175_:B 0.30357142857142855
+1663 *117:7 *117:8 0.4107142857142857
+1664 *117:9 *117:10 6.982142857142857
+1665 *117:11 *117:2 1.232142857142857
+1666 _106_:A2 *117:12 0.4107142857142857
+1667 *117:13 *117:14 2.4285714285714284
+1668 *117:15 *117:16 0.8214285714285714
+1669 *117:17 *117:18 9.25892857142857
+1670 *117:19 *117:20 0.036033333333333334
+1671 *117:21 *117:19 0.5326666666666667
+1672 *117:21 *117:22 0.036033333333333334
+1673 *117:10 *117:23 0.15178571428571427
+1674 *117:14 *117:24 4.857142857142857
+1675 _137_:Y *117:25 2.875
+1676 *117:26 *117:27 2.883928571428571
+1677 *117:28 *117:29 2.9187000000000003
+1678 _136_:B _136_:B 0
+1679 *117:3 *117:5 0
+1680 *117:6 *117:4 0
+1681 _171_:B _171_:B 0
+1682 _175_:B _175_:B 0
+1683 *117:8 *117:10 0
+1684 *117:11 *117:9 0
+1685 _106_:A2 _106_:A2 0
+1686 *117:12 *117:14 0
+1687 *117:16 *117:13 0
+1688 *117:15 *117:18 0
+1689 *117:17 *117:20 0
+1690 *117:23 *117:22 0
+1691 _099_:A2 _099_:A2 0
+1692 _099_:A2 *117:24 0
+1693 _137_:Y _137_:Y 0
+1694 *117:25 *117:26 0
+1695 *117:27 *117:28 0
+*END
+
+*D_NET *118 0.005753487522839999
+*CONN
+*I _174_:B I
+*I _152_:Y O
+*I _151_:A I
+*I _106_:B1 I
+*CAP
+1210 _151_:A 4.017137184e-05
+1211 *118:1 0.0014147024425599998
+1212 *118:3 0.00064615428352
+1213 *118:5 0.00082997590816
+1214 _152_:Y 0.00012830406688
+1215 *118:7 0.00036338428352000003
+1216 *118:9 0.00024891568132000004
+1217 *118:11 0.00016728583584
+1218 _106_:B1 8.932229792e-05
+1219 *118:13 7.021569086000002e-05
+1220 *118:16 0.0016657333625000003
+1221 *118:2 8.932229792e-05
+1222 _174_:B 0
+1223 *118:8 0
+1224 *118:12 0
+1225 *118:17 0
+*RES
+1696 _151_:A *118:1 0.30357142857142855
+1697 *118:1 *118:2 14.785714285714283
+1698 *118:3 *118:4 7.285714285714286
+1699 *118:5 _174_:B 8.625
+1700 _152_:Y *118:6 1.232142857142857
+1701 *118:7 *118:6 0.30357142857142855
+1702 *118:7 *118:8 3.2857142857142856
+1703 *118:9 *118:10 2.7321428571428568
+1704 *118:11 *118:1 1.6428571428571428
+1705 _106_:B1 *118:12 0.8214285714285714
+1706 *118:13 *118:14 0.15178571428571427
+1707 *118:13 *118:15 0.4107142857142857
+1708 *118:16 *118:15 18.973214285714285
+1709 *118:2 *118:17 0.8214285714285714
+1710 _151_:A _151_:A 0
+1711 *118:2 *118:4 0
+1712 *118:5 *118:3 0
+1713 _174_:B _174_:B 0
+1714 _152_:Y _152_:Y 0
+1715 *118:8 *118:10 0
+1716 *118:11 *118:9 0
+1717 _106_:B1 _106_:B1 0
+1718 *118:12 *118:14 0
+1719 *118:17 *118:16 0
+*END
+
+*D_NET *119 0.006355304788760001
+*CONN
+*I _174_:A I
+*I _135_:Y O
+*I _134_:A I
+*I _105_:A I
+*I _099_:A1 I
+*CAP
+1226 *119:1 5.034052896000001e-05
+1227 *119:2 5.034052896000001e-05
+1228 *119:3 5.029638022e-05
+1229 *119:5 0.00024138877109999995
+1230 *119:7 0.0013119079870000001
+1231 *119:9 8.371941029999999e-05
+1232 *119:11 0.00014298538739999998
+1233 *119:13 0.00032440251456
+1234 *119:15 0.0002062676048
+1235 _099_:A1 5.034052896000001e-05
+1236 _135_:Y 0.0005960852944
+1237 *119:18 0.00016847543872000001
+1238 *119:20 0.0010260743558400001
+1239 *119:22 0.00041876680199999993
+1240 *119:24 2.3813806740000006e-05
+1241 *119:26 0.00042105240893999997
+1242 *119:28 0.0011890470398600002
+1243 _174_:A 0
+1244 _105_:A 0
+1245 _134_:A 0
+1246 *119:4 0
+1247 *119:14 0
+1248 *119:16 0
+1249 *119:21 0
+1250 *119:27 0
+1251 *119:31 0
+*RES
+1720 *119:1 _105_:A 0.4107142857142857
+1721 *119:2 _134_:A 0.4107142857142857
+1722 *119:3 *119:4 0.45535714285714285
+1723 *119:5 *119:6 0.39636666666666664
+1724 *119:7 *119:8 2.6633333333333336
+1725 *119:9 *119:10 0.1081
+1726 *119:11 *119:12 1.5178571428571426
+1727 *119:13 *119:14 2.875
+1728 *119:13 _134_:A 0.30357142857142855
+1729 *119:15 _174_:A 2.0535714285714284
+1730 _099_:A1 *119:16 0.4107142857142857
+1731 _135_:Y *119:17 6.160714285714286
+1732 *119:18 *119:17 0.30357142857142855
+1733 *119:18 *119:19 1.232142857142857
+1734 *119:20 *119:19 0.30357142857142855
+1735 *119:20 *119:21 10.267857142857142
+1736 *119:22 *119:23 0.7206666666666666
+1737 *119:24 *119:25 0.15178571428571427
+1738 *119:26 *119:27 4.705357142857142
+1739 *119:28 *119:29 13.508928571428571
+1740 _174_:A _174_:A 0
+1741 *119:1 *119:26 0
+1742 _105_:A _105_:A 0
+1743 _134_:A _134_:A 0
+1744 *119:2 *119:3 0
+1745 *119:4 *119:6 0
+1746 *119:5 *119:7 0
+1747 *119:9 *119:8 0
+1748 *119:28 *119:10 0
+1749 *119:15 *119:11 0
+1750 *119:14 *119:12 0
+1751 *119:16 *119:29 0
+1752 _099_:A1 _099_:A1 0
+1753 _135_:Y _135_:Y 0
+1754 *119:21 *119:30 0
+1755 *119:27 *119:22 0
+1756 *119:24 *119:23 0
+1757 *119:31 *119:25 0
+*END
+
+*D_NET *120 0.004444738414
+*CONN
+*I _178_:Y O
+*I _176_:A I
+*I _172_:B I
+*I _121_:A I
+*CAP
+1252 *120:1 0.00044015821855999997
+1253 *120:2 0.0021556609718800003
+1254 *120:4 0.00061967171004
+1255 *120:6 0.0003621946806399999
+1256 _178_:Y 4.017137184e-05
+1257 _172_:B 5.034052896000001e-05
+1258 *120:9 0.00014298538739999998
+1259 *120:11 8.932229792e-05
+1260 *120:13 0.0004144891461
+1261 *120:5 0.00012974410066
+1262 _176_:A 0
+1263 _121_:A 0
+1264 *120:7 0
+1265 *120:8 0
+1266 *120:12 0
+*RES
+1758 *120:1 _178_:Y 4.517857142857142
+1759 *120:2 *120:3 24.58928571428571
+1760 *120:4 *120:5 6.982142857142857
+1761 *120:6 *120:7 3.6964285714285707
+1762 _178_:Y *120:6 0.30357142857142855
+1763 _172_:B *120:8 0.4107142857142857
+1764 *120:9 *120:10 1.5178571428571426
+1765 *120:11 *120:12 0.8214285714285714
+1766 *120:13 *120:14 4.098214285714286
+1767 *120:13 *120:15 0.4107142857142857
+1768 *120:5 *120:15 1.3660714285714284
+1769 _178_:Y _178_:Y 0
+1770 *120:1 *120:3 0
+1771 _176_:A _176_:A 0
+1772 _176_:A *120:2 0
+1773 _121_:A _121_:A 0
+1774 _121_:A *120:5 0
+1775 *120:7 *120:4 0
+1776 _172_:B _172_:B 0
+1777 *120:8 *120:10 0
+1778 *120:12 *120:9 0
+1779 *120:11 *120:14 0
+*END
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.v b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.v
new file mode 100644
index 0000000..6656186
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.v
@@ -0,0 +1,662 @@
+/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
+
+module ycell(reset, confclk, cbitin, cbitout, hempty, vempty, uempty, uin, uout, dempty, din, dout, lempty, lin, lout, rempty, rin, rout);
+  wire _000_;
+  wire _001_;
+  wire _002_;
+  wire _003_;
+  wire _004_;
+  wire _005_;
+  wire _006_;
+  wire _007_;
+  wire _008_;
+  wire _009_;
+  wire _010_;
+  wire _011_;
+  wire _012_;
+  wire _013_;
+  wire _014_;
+  wire _015_;
+  wire _016_;
+  wire _017_;
+  wire _018_;
+  wire _019_;
+  wire _020_;
+  wire _021_;
+  wire _022_;
+  wire _023_;
+  wire _024_;
+  wire _025_;
+  wire _026_;
+  wire _027_;
+  wire _028_;
+  wire _029_;
+  wire _030_;
+  wire _031_;
+  wire _032_;
+  wire _033_;
+  wire _034_;
+  wire _035_;
+  wire _036_;
+  wire _037_;
+  wire _038_;
+  wire _039_;
+  wire _040_;
+  wire _041_;
+  wire _042_;
+  wire _043_;
+  wire _044_;
+  wire _045_;
+  wire _046_;
+  wire _047_;
+  wire _048_;
+  wire _049_;
+  wire _050_;
+  wire _051_;
+  wire _052_;
+  wire _053_;
+  wire _054_;
+  wire _055_;
+  wire _056_;
+  wire _057_;
+  wire _058_;
+  wire _059_;
+  wire _060_;
+  wire _061_;
+  wire _062_;
+  wire _063_;
+  wire _064_;
+  wire _065_;
+  wire _066_;
+  wire _067_;
+  wire _068_;
+  wire _069_;
+  wire _070_;
+  wire _071_;
+  wire _072_;
+  wire _073_;
+  wire _074_;
+  wire _075_;
+  wire _076_;
+  wire _077_;
+  wire _078_;
+  input cbitin;
+  output cbitout;
+  wire \cfg.cnfg[0] ;
+  wire \cfg.cnfg[1] ;
+  input confclk;
+  input dempty;
+  input [1:0] din;
+  output [1:0] dout;
+  output hempty;
+  wire \hfsm.clear ;
+  wire \hfsm.in[1] ;
+  wire \hfsm.lin[0] ;
+  wire \hfsm.lin[1] ;
+  wire \hfsm.lmatch[0] ;
+  wire \hfsm.lmatch[1] ;
+  wire \hfsm.nlmempty ;
+  input lempty;
+  input [1:0] lin;
+  output [1:0] lout;
+  input rempty;
+  input reset;
+  input [1:0] rin;
+  output [1:0] rout;
+  input uempty;
+  input [1:0] uin;
+  output [1:0] uout;
+  output vempty;
+  wire \vfsm.clear ;
+  wire \vfsm.in[1] ;
+  wire \vfsm.lin[0] ;
+  wire \vfsm.lin[1] ;
+  wire \vfsm.lmatch[0] ;
+  wire \vfsm.lmatch[1] ;
+  wire \vfsm.nlmempty ;
+  sky130_fd_sc_hd__or2_2 _079_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .X(_041_)
+  );
+  sky130_fd_sc_hd__nor3_2 _080_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .Y(_042_)
+  );
+  sky130_fd_sc_hd__nor2_2 _081_ (
+    .A(\hfsm.nlmempty ),
+    .B(_042_),
+    .Y(_043_)
+  );
+  sky130_fd_sc_hd__inv_8 _082_ (
+    .A(\cfg.cnfg[1] ),
+    .Y(_044_)
+  );
+  sky130_fd_sc_hd__inv_8 _083_ (
+    .A(\cfg.cnfg[0] ),
+    .Y(_045_)
+  );
+  sky130_fd_sc_hd__or2_4 _084_ (
+    .A(_044_),
+    .B(_045_),
+    .X(_046_)
+  );
+  sky130_fd_sc_hd__buf_6 _085_ (
+    .A(cbitout),
+    .X(_047_)
+  );
+  sky130_fd_sc_hd__or2_2 _086_ (
+    .A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .X(_048_)
+  );
+  sky130_fd_sc_hd__nor2_2 _087_ (
+    .A(_044_),
+    .B(_047_),
+    .Y(_049_)
+  );
+  sky130_fd_sc_hd__nand2_2 _088_ (
+    .A(_045_),
+    .B(_049_),
+    .Y(_050_)
+  );
+  sky130_fd_sc_hd__inv_8 _089_ (
+    .A(_050_),
+    .Y(_051_)
+  );
+  sky130_fd_sc_hd__inv_8 _090_ (
+    .A(_047_),
+    .Y(_052_)
+  );
+  sky130_fd_sc_hd__and3_2 _091_ (
+    .A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .X(_053_)
+  );
+  sky130_fd_sc_hd__or2_2 _092_ (
+    .A(_051_),
+    .B(_053_),
+    .X(vempty)
+  );
+  sky130_fd_sc_hd__or2_2 _093_ (
+    .A(dempty),
+    .B(vempty),
+    .X(_054_)
+  );
+  sky130_fd_sc_hd__buf_2 _094_ (
+    .A(_054_),
+    .X(_055_)
+  );
+  sky130_fd_sc_hd__inv_8 _095_ (
+    .A(_055_),
+    .Y(_056_)
+  );
+  sky130_fd_sc_hd__or2_4 _096_ (
+    .A(cbitout),
+    .B(_046_),
+    .X(_057_)
+  );
+  sky130_fd_sc_hd__buf_6 _097_ (
+    .A(_057_),
+    .X(_058_)
+  );
+  sky130_fd_sc_hd__inv_8 _098_ (
+    .A(_058_),
+    .Y(_059_)
+  );
+  sky130_fd_sc_hd__a32o_4 _099_ (
+    .A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .X(dout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _100_ (
+    .A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .X(uout[1])
+  );
+  sky130_fd_sc_hd__nand3_2 _101_ (
+    .A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .Y(_060_)
+  );
+  sky130_fd_sc_hd__inv_8 _102_ (
+    .A(uempty),
+    .Y(_061_)
+  );
+  sky130_fd_sc_hd__and2_2 _103_ (
+    .A(uin[0]),
+    .B(_061_),
+    .X(_062_)
+  );
+  sky130_fd_sc_hd__or2_2 _104_ (
+    .A(_058_),
+    .B(_062_),
+    .X(_063_)
+  );
+  sky130_fd_sc_hd__and2_2 _105_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .X(_064_)
+  );
+  sky130_fd_sc_hd__o21a_4 _106_ (
+    .A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .X(_065_)
+  );
+  sky130_fd_sc_hd__or3_2 _107_ (
+    .A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .X(_066_)
+  );
+  sky130_fd_sc_hd__and2_2 _108_ (
+    .A(_063_),
+    .B(_066_),
+    .X(_067_)
+  );
+  sky130_fd_sc_hd__buf_1 _109_ (
+    .A(_067_),
+    .X(dout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _110_ (
+    .A(_055_),
+    .B(dout[0]),
+    .Y(_068_)
+  );
+  sky130_fd_sc_hd__nand2_2 _111_ (
+    .A(din[0]),
+    .B(_056_),
+    .Y(_069_)
+  );
+  sky130_fd_sc_hd__nand2_2 _112_ (
+    .A(_068_),
+    .B(_069_),
+    .Y(uout[0])
+  );
+  sky130_fd_sc_hd__or2_2 _113_ (
+    .A(_052_),
+    .B(_046_),
+    .X(_070_)
+  );
+  sky130_fd_sc_hd__or2_2 _114_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .X(_071_)
+  );
+  sky130_fd_sc_hd__nand2_2 _115_ (
+    .A(_070_),
+    .B(_071_),
+    .Y(_072_)
+  );
+  sky130_fd_sc_hd__nand2_2 _116_ (
+    .A(uout[0]),
+    .B(_072_),
+    .Y(_073_)
+  );
+  sky130_fd_sc_hd__and3_2 _117_ (
+    .A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .X(_074_)
+  );
+  sky130_fd_sc_hd__nor2_2 _118_ (
+    .A(_043_),
+    .B(_074_),
+    .Y(\hfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _119_ (
+    .A(\vfsm.lin[0] ),
+    .B(_062_),
+    .Y(_075_)
+  );
+  sky130_fd_sc_hd__nor2_2 _120_ (
+    .A(\vfsm.clear ),
+    .B(_075_),
+    .Y(\vfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _121_ (
+    .A(\vfsm.nlmempty ),
+    .Y(_076_)
+  );
+  sky130_fd_sc_hd__nor2_2 _122_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .Y(_077_)
+  );
+  sky130_fd_sc_hd__nor2_2 _123_ (
+    .A(_047_),
+    .B(_077_),
+    .Y(_078_)
+  );
+  sky130_fd_sc_hd__and3_2 _124_ (
+    .A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .X(_000_)
+  );
+  sky130_fd_sc_hd__or2_4 _125_ (
+    .A(_053_),
+    .B(_059_),
+    .X(hempty)
+  );
+  sky130_fd_sc_hd__or2_4 _126_ (
+    .A(rempty),
+    .B(hempty),
+    .X(_001_)
+  );
+  sky130_fd_sc_hd__buf_6 _127_ (
+    .A(_001_),
+    .X(_002_)
+  );
+  sky130_fd_sc_hd__inv_8 _128_ (
+    .A(_002_),
+    .Y(_003_)
+  );
+  sky130_fd_sc_hd__a32o_4 _129_ (
+    .A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .X(rout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _130_ (
+    .A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .X(lout[1])
+  );
+  sky130_fd_sc_hd__inv_8 _131_ (
+    .A(lout[1]),
+    .Y(_004_)
+  );
+  sky130_fd_sc_hd__or3_4 _132_ (
+    .A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .X(_005_)
+  );
+  sky130_fd_sc_hd__nor2_2 _133_ (
+    .A(_076_),
+    .B(_005_),
+    .Y(_006_)
+  );
+  sky130_fd_sc_hd__nor2_2 _134_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .Y(_007_)
+  );
+  sky130_fd_sc_hd__nor2_4 _135_ (
+    .A(\vfsm.clear ),
+    .B(_007_),
+    .Y(\vfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _136_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_008_)
+  );
+  sky130_fd_sc_hd__nor2_2 _137_ (
+    .A(\vfsm.clear ),
+    .B(_008_),
+    .Y(\vfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__inv_8 _138_ (
+    .A(lempty),
+    .Y(_009_)
+  );
+  sky130_fd_sc_hd__and2_2 _139_ (
+    .A(lin[0]),
+    .B(_009_),
+    .X(_010_)
+  );
+  sky130_fd_sc_hd__or2_2 _140_ (
+    .A(_050_),
+    .B(_010_),
+    .X(_011_)
+  );
+  sky130_fd_sc_hd__and2_2 _141_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .X(_012_)
+  );
+  sky130_fd_sc_hd__o21a_4 _142_ (
+    .A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .X(_013_)
+  );
+  sky130_fd_sc_hd__or3_2 _143_ (
+    .A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .X(_014_)
+  );
+  sky130_fd_sc_hd__and2_2 _144_ (
+    .A(_011_),
+    .B(_014_),
+    .X(_015_)
+  );
+  sky130_fd_sc_hd__buf_1 _145_ (
+    .A(_015_),
+    .X(rout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _146_ (
+    .A(_002_),
+    .B(rout[0]),
+    .Y(_016_)
+  );
+  sky130_fd_sc_hd__nand2_2 _147_ (
+    .A(rin[0]),
+    .B(_003_),
+    .Y(_017_)
+  );
+  sky130_fd_sc_hd__nor2_2 _148_ (
+    .A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .Y(_018_)
+  );
+  sky130_fd_sc_hd__a211o_4 _149_ (
+    .A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .X(_019_)
+  );
+  sky130_fd_sc_hd__nor2_2 _150_ (
+    .A(_076_),
+    .B(_019_),
+    .Y(_020_)
+  );
+  sky130_fd_sc_hd__nor2_2 _151_ (
+    .A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .Y(_021_)
+  );
+  sky130_fd_sc_hd__nor2_2 _152_ (
+    .A(\vfsm.clear ),
+    .B(_021_),
+    .Y(\vfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _153_ (
+    .A(\hfsm.nlmempty ),
+    .Y(_022_)
+  );
+  sky130_fd_sc_hd__nor2_2 _154_ (
+    .A(_022_),
+    .B(_073_),
+    .Y(_023_)
+  );
+  sky130_fd_sc_hd__nor2_2 _155_ (
+    .A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .Y(_024_)
+  );
+  sky130_fd_sc_hd__nor2_2 _156_ (
+    .A(\hfsm.clear ),
+    .B(_024_),
+    .Y(\hfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__and2_2 _157_ (
+    .A(_061_),
+    .B(uin[1]),
+    .X(_025_)
+  );
+  sky130_fd_sc_hd__nor3_2 _158_ (
+    .A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .Y(_026_)
+  );
+  sky130_fd_sc_hd__or2_2 _159_ (
+    .A(_025_),
+    .B(_026_),
+    .X(\vfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _160_ (
+    .A(_022_),
+    .B(_060_),
+    .Y(_027_)
+  );
+  sky130_fd_sc_hd__nor2_2 _161_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .Y(_028_)
+  );
+  sky130_fd_sc_hd__nor2_2 _162_ (
+    .A(\hfsm.clear ),
+    .B(_028_),
+    .Y(\hfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _163_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .Y(_029_)
+  );
+  sky130_fd_sc_hd__nor2_2 _164_ (
+    .A(\hfsm.clear ),
+    .B(_029_),
+    .Y(\hfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__nand2_2 _165_ (
+    .A(_009_),
+    .B(lin[1]),
+    .Y(_030_)
+  );
+  sky130_fd_sc_hd__nand2_2 _166_ (
+    .A(_016_),
+    .B(_017_),
+    .Y(lout[0])
+  );
+  sky130_fd_sc_hd__or3_4 _167_ (
+    .A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .X(_031_)
+  );
+  sky130_fd_sc_hd__nand2_2 _168_ (
+    .A(_030_),
+    .B(_031_),
+    .Y(\hfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _169_ (
+    .A(\hfsm.lin[0] ),
+    .B(_010_),
+    .Y(_032_)
+  );
+  sky130_fd_sc_hd__nor2_2 _170_ (
+    .A(\hfsm.clear ),
+    .B(_032_),
+    .Y(\hfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__nor2_2 _171_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_033_)
+  );
+  sky130_fd_sc_hd__nor4_2 _172_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .Y(_034_)
+  );
+  sky130_fd_sc_hd__a211o_4 _173_ (
+    .A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .X(\vfsm.clear )
+  );
+  sky130_fd_sc_hd__or2_2 _174_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .X(_035_)
+  );
+  sky130_fd_sc_hd__nor3_2 _175_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .Y(_036_)
+  );
+  sky130_fd_sc_hd__nor2_2 _176_ (
+    .A(\vfsm.nlmempty ),
+    .B(_036_),
+    .Y(_037_)
+  );
+  sky130_fd_sc_hd__and3_4 _177_ (
+    .A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .X(_038_)
+  );
+  sky130_fd_sc_hd__nor2_4 _178_ (
+    .A(_037_),
+    .B(_038_),
+    .Y(\vfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _179_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .Y(_039_)
+  );
+  sky130_fd_sc_hd__nor4_2 _180_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .Y(_040_)
+  );
+  sky130_fd_sc_hd__or3_2 _181_ (
+    .A(reset),
+    .B(hempty),
+    .C(_040_),
+    .X(\hfsm.clear )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _182_ (
+    .CLK(confclk),
+    .D(cbitin),
+    .Q(\cfg.cnfg[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _183_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _184_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[1] ),
+    .Q(cbitout)
+  );
+endmodule
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.ve b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.ve
new file mode 100644
index 0000000..6656186
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis.ve
@@ -0,0 +1,662 @@
+/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
+
+module ycell(reset, confclk, cbitin, cbitout, hempty, vempty, uempty, uin, uout, dempty, din, dout, lempty, lin, lout, rempty, rin, rout);
+  wire _000_;
+  wire _001_;
+  wire _002_;
+  wire _003_;
+  wire _004_;
+  wire _005_;
+  wire _006_;
+  wire _007_;
+  wire _008_;
+  wire _009_;
+  wire _010_;
+  wire _011_;
+  wire _012_;
+  wire _013_;
+  wire _014_;
+  wire _015_;
+  wire _016_;
+  wire _017_;
+  wire _018_;
+  wire _019_;
+  wire _020_;
+  wire _021_;
+  wire _022_;
+  wire _023_;
+  wire _024_;
+  wire _025_;
+  wire _026_;
+  wire _027_;
+  wire _028_;
+  wire _029_;
+  wire _030_;
+  wire _031_;
+  wire _032_;
+  wire _033_;
+  wire _034_;
+  wire _035_;
+  wire _036_;
+  wire _037_;
+  wire _038_;
+  wire _039_;
+  wire _040_;
+  wire _041_;
+  wire _042_;
+  wire _043_;
+  wire _044_;
+  wire _045_;
+  wire _046_;
+  wire _047_;
+  wire _048_;
+  wire _049_;
+  wire _050_;
+  wire _051_;
+  wire _052_;
+  wire _053_;
+  wire _054_;
+  wire _055_;
+  wire _056_;
+  wire _057_;
+  wire _058_;
+  wire _059_;
+  wire _060_;
+  wire _061_;
+  wire _062_;
+  wire _063_;
+  wire _064_;
+  wire _065_;
+  wire _066_;
+  wire _067_;
+  wire _068_;
+  wire _069_;
+  wire _070_;
+  wire _071_;
+  wire _072_;
+  wire _073_;
+  wire _074_;
+  wire _075_;
+  wire _076_;
+  wire _077_;
+  wire _078_;
+  input cbitin;
+  output cbitout;
+  wire \cfg.cnfg[0] ;
+  wire \cfg.cnfg[1] ;
+  input confclk;
+  input dempty;
+  input [1:0] din;
+  output [1:0] dout;
+  output hempty;
+  wire \hfsm.clear ;
+  wire \hfsm.in[1] ;
+  wire \hfsm.lin[0] ;
+  wire \hfsm.lin[1] ;
+  wire \hfsm.lmatch[0] ;
+  wire \hfsm.lmatch[1] ;
+  wire \hfsm.nlmempty ;
+  input lempty;
+  input [1:0] lin;
+  output [1:0] lout;
+  input rempty;
+  input reset;
+  input [1:0] rin;
+  output [1:0] rout;
+  input uempty;
+  input [1:0] uin;
+  output [1:0] uout;
+  output vempty;
+  wire \vfsm.clear ;
+  wire \vfsm.in[1] ;
+  wire \vfsm.lin[0] ;
+  wire \vfsm.lin[1] ;
+  wire \vfsm.lmatch[0] ;
+  wire \vfsm.lmatch[1] ;
+  wire \vfsm.nlmempty ;
+  sky130_fd_sc_hd__or2_2 _079_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .X(_041_)
+  );
+  sky130_fd_sc_hd__nor3_2 _080_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .Y(_042_)
+  );
+  sky130_fd_sc_hd__nor2_2 _081_ (
+    .A(\hfsm.nlmempty ),
+    .B(_042_),
+    .Y(_043_)
+  );
+  sky130_fd_sc_hd__inv_8 _082_ (
+    .A(\cfg.cnfg[1] ),
+    .Y(_044_)
+  );
+  sky130_fd_sc_hd__inv_8 _083_ (
+    .A(\cfg.cnfg[0] ),
+    .Y(_045_)
+  );
+  sky130_fd_sc_hd__or2_4 _084_ (
+    .A(_044_),
+    .B(_045_),
+    .X(_046_)
+  );
+  sky130_fd_sc_hd__buf_6 _085_ (
+    .A(cbitout),
+    .X(_047_)
+  );
+  sky130_fd_sc_hd__or2_2 _086_ (
+    .A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .X(_048_)
+  );
+  sky130_fd_sc_hd__nor2_2 _087_ (
+    .A(_044_),
+    .B(_047_),
+    .Y(_049_)
+  );
+  sky130_fd_sc_hd__nand2_2 _088_ (
+    .A(_045_),
+    .B(_049_),
+    .Y(_050_)
+  );
+  sky130_fd_sc_hd__inv_8 _089_ (
+    .A(_050_),
+    .Y(_051_)
+  );
+  sky130_fd_sc_hd__inv_8 _090_ (
+    .A(_047_),
+    .Y(_052_)
+  );
+  sky130_fd_sc_hd__and3_2 _091_ (
+    .A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .X(_053_)
+  );
+  sky130_fd_sc_hd__or2_2 _092_ (
+    .A(_051_),
+    .B(_053_),
+    .X(vempty)
+  );
+  sky130_fd_sc_hd__or2_2 _093_ (
+    .A(dempty),
+    .B(vempty),
+    .X(_054_)
+  );
+  sky130_fd_sc_hd__buf_2 _094_ (
+    .A(_054_),
+    .X(_055_)
+  );
+  sky130_fd_sc_hd__inv_8 _095_ (
+    .A(_055_),
+    .Y(_056_)
+  );
+  sky130_fd_sc_hd__or2_4 _096_ (
+    .A(cbitout),
+    .B(_046_),
+    .X(_057_)
+  );
+  sky130_fd_sc_hd__buf_6 _097_ (
+    .A(_057_),
+    .X(_058_)
+  );
+  sky130_fd_sc_hd__inv_8 _098_ (
+    .A(_058_),
+    .Y(_059_)
+  );
+  sky130_fd_sc_hd__a32o_4 _099_ (
+    .A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .X(dout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _100_ (
+    .A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .X(uout[1])
+  );
+  sky130_fd_sc_hd__nand3_2 _101_ (
+    .A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .Y(_060_)
+  );
+  sky130_fd_sc_hd__inv_8 _102_ (
+    .A(uempty),
+    .Y(_061_)
+  );
+  sky130_fd_sc_hd__and2_2 _103_ (
+    .A(uin[0]),
+    .B(_061_),
+    .X(_062_)
+  );
+  sky130_fd_sc_hd__or2_2 _104_ (
+    .A(_058_),
+    .B(_062_),
+    .X(_063_)
+  );
+  sky130_fd_sc_hd__and2_2 _105_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .X(_064_)
+  );
+  sky130_fd_sc_hd__o21a_4 _106_ (
+    .A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .X(_065_)
+  );
+  sky130_fd_sc_hd__or3_2 _107_ (
+    .A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .X(_066_)
+  );
+  sky130_fd_sc_hd__and2_2 _108_ (
+    .A(_063_),
+    .B(_066_),
+    .X(_067_)
+  );
+  sky130_fd_sc_hd__buf_1 _109_ (
+    .A(_067_),
+    .X(dout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _110_ (
+    .A(_055_),
+    .B(dout[0]),
+    .Y(_068_)
+  );
+  sky130_fd_sc_hd__nand2_2 _111_ (
+    .A(din[0]),
+    .B(_056_),
+    .Y(_069_)
+  );
+  sky130_fd_sc_hd__nand2_2 _112_ (
+    .A(_068_),
+    .B(_069_),
+    .Y(uout[0])
+  );
+  sky130_fd_sc_hd__or2_2 _113_ (
+    .A(_052_),
+    .B(_046_),
+    .X(_070_)
+  );
+  sky130_fd_sc_hd__or2_2 _114_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .X(_071_)
+  );
+  sky130_fd_sc_hd__nand2_2 _115_ (
+    .A(_070_),
+    .B(_071_),
+    .Y(_072_)
+  );
+  sky130_fd_sc_hd__nand2_2 _116_ (
+    .A(uout[0]),
+    .B(_072_),
+    .Y(_073_)
+  );
+  sky130_fd_sc_hd__and3_2 _117_ (
+    .A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .X(_074_)
+  );
+  sky130_fd_sc_hd__nor2_2 _118_ (
+    .A(_043_),
+    .B(_074_),
+    .Y(\hfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _119_ (
+    .A(\vfsm.lin[0] ),
+    .B(_062_),
+    .Y(_075_)
+  );
+  sky130_fd_sc_hd__nor2_2 _120_ (
+    .A(\vfsm.clear ),
+    .B(_075_),
+    .Y(\vfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _121_ (
+    .A(\vfsm.nlmempty ),
+    .Y(_076_)
+  );
+  sky130_fd_sc_hd__nor2_2 _122_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .Y(_077_)
+  );
+  sky130_fd_sc_hd__nor2_2 _123_ (
+    .A(_047_),
+    .B(_077_),
+    .Y(_078_)
+  );
+  sky130_fd_sc_hd__and3_2 _124_ (
+    .A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .X(_000_)
+  );
+  sky130_fd_sc_hd__or2_4 _125_ (
+    .A(_053_),
+    .B(_059_),
+    .X(hempty)
+  );
+  sky130_fd_sc_hd__or2_4 _126_ (
+    .A(rempty),
+    .B(hempty),
+    .X(_001_)
+  );
+  sky130_fd_sc_hd__buf_6 _127_ (
+    .A(_001_),
+    .X(_002_)
+  );
+  sky130_fd_sc_hd__inv_8 _128_ (
+    .A(_002_),
+    .Y(_003_)
+  );
+  sky130_fd_sc_hd__a32o_4 _129_ (
+    .A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .X(rout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _130_ (
+    .A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .X(lout[1])
+  );
+  sky130_fd_sc_hd__inv_8 _131_ (
+    .A(lout[1]),
+    .Y(_004_)
+  );
+  sky130_fd_sc_hd__or3_4 _132_ (
+    .A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .X(_005_)
+  );
+  sky130_fd_sc_hd__nor2_2 _133_ (
+    .A(_076_),
+    .B(_005_),
+    .Y(_006_)
+  );
+  sky130_fd_sc_hd__nor2_2 _134_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .Y(_007_)
+  );
+  sky130_fd_sc_hd__nor2_4 _135_ (
+    .A(\vfsm.clear ),
+    .B(_007_),
+    .Y(\vfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _136_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_008_)
+  );
+  sky130_fd_sc_hd__nor2_2 _137_ (
+    .A(\vfsm.clear ),
+    .B(_008_),
+    .Y(\vfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__inv_8 _138_ (
+    .A(lempty),
+    .Y(_009_)
+  );
+  sky130_fd_sc_hd__and2_2 _139_ (
+    .A(lin[0]),
+    .B(_009_),
+    .X(_010_)
+  );
+  sky130_fd_sc_hd__or2_2 _140_ (
+    .A(_050_),
+    .B(_010_),
+    .X(_011_)
+  );
+  sky130_fd_sc_hd__and2_2 _141_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .X(_012_)
+  );
+  sky130_fd_sc_hd__o21a_4 _142_ (
+    .A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .X(_013_)
+  );
+  sky130_fd_sc_hd__or3_2 _143_ (
+    .A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .X(_014_)
+  );
+  sky130_fd_sc_hd__and2_2 _144_ (
+    .A(_011_),
+    .B(_014_),
+    .X(_015_)
+  );
+  sky130_fd_sc_hd__buf_1 _145_ (
+    .A(_015_),
+    .X(rout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _146_ (
+    .A(_002_),
+    .B(rout[0]),
+    .Y(_016_)
+  );
+  sky130_fd_sc_hd__nand2_2 _147_ (
+    .A(rin[0]),
+    .B(_003_),
+    .Y(_017_)
+  );
+  sky130_fd_sc_hd__nor2_2 _148_ (
+    .A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .Y(_018_)
+  );
+  sky130_fd_sc_hd__a211o_4 _149_ (
+    .A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .X(_019_)
+  );
+  sky130_fd_sc_hd__nor2_2 _150_ (
+    .A(_076_),
+    .B(_019_),
+    .Y(_020_)
+  );
+  sky130_fd_sc_hd__nor2_2 _151_ (
+    .A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .Y(_021_)
+  );
+  sky130_fd_sc_hd__nor2_2 _152_ (
+    .A(\vfsm.clear ),
+    .B(_021_),
+    .Y(\vfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _153_ (
+    .A(\hfsm.nlmempty ),
+    .Y(_022_)
+  );
+  sky130_fd_sc_hd__nor2_2 _154_ (
+    .A(_022_),
+    .B(_073_),
+    .Y(_023_)
+  );
+  sky130_fd_sc_hd__nor2_2 _155_ (
+    .A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .Y(_024_)
+  );
+  sky130_fd_sc_hd__nor2_2 _156_ (
+    .A(\hfsm.clear ),
+    .B(_024_),
+    .Y(\hfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__and2_2 _157_ (
+    .A(_061_),
+    .B(uin[1]),
+    .X(_025_)
+  );
+  sky130_fd_sc_hd__nor3_2 _158_ (
+    .A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .Y(_026_)
+  );
+  sky130_fd_sc_hd__or2_2 _159_ (
+    .A(_025_),
+    .B(_026_),
+    .X(\vfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _160_ (
+    .A(_022_),
+    .B(_060_),
+    .Y(_027_)
+  );
+  sky130_fd_sc_hd__nor2_2 _161_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .Y(_028_)
+  );
+  sky130_fd_sc_hd__nor2_2 _162_ (
+    .A(\hfsm.clear ),
+    .B(_028_),
+    .Y(\hfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _163_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .Y(_029_)
+  );
+  sky130_fd_sc_hd__nor2_2 _164_ (
+    .A(\hfsm.clear ),
+    .B(_029_),
+    .Y(\hfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__nand2_2 _165_ (
+    .A(_009_),
+    .B(lin[1]),
+    .Y(_030_)
+  );
+  sky130_fd_sc_hd__nand2_2 _166_ (
+    .A(_016_),
+    .B(_017_),
+    .Y(lout[0])
+  );
+  sky130_fd_sc_hd__or3_4 _167_ (
+    .A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .X(_031_)
+  );
+  sky130_fd_sc_hd__nand2_2 _168_ (
+    .A(_030_),
+    .B(_031_),
+    .Y(\hfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _169_ (
+    .A(\hfsm.lin[0] ),
+    .B(_010_),
+    .Y(_032_)
+  );
+  sky130_fd_sc_hd__nor2_2 _170_ (
+    .A(\hfsm.clear ),
+    .B(_032_),
+    .Y(\hfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__nor2_2 _171_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_033_)
+  );
+  sky130_fd_sc_hd__nor4_2 _172_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .Y(_034_)
+  );
+  sky130_fd_sc_hd__a211o_4 _173_ (
+    .A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .X(\vfsm.clear )
+  );
+  sky130_fd_sc_hd__or2_2 _174_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .X(_035_)
+  );
+  sky130_fd_sc_hd__nor3_2 _175_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .Y(_036_)
+  );
+  sky130_fd_sc_hd__nor2_2 _176_ (
+    .A(\vfsm.nlmempty ),
+    .B(_036_),
+    .Y(_037_)
+  );
+  sky130_fd_sc_hd__and3_4 _177_ (
+    .A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .X(_038_)
+  );
+  sky130_fd_sc_hd__nor2_4 _178_ (
+    .A(_037_),
+    .B(_038_),
+    .Y(\vfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _179_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .Y(_039_)
+  );
+  sky130_fd_sc_hd__nor4_2 _180_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .Y(_040_)
+  );
+  sky130_fd_sc_hd__or3_2 _181_ (
+    .A(reset),
+    .B(hempty),
+    .C(_040_),
+    .X(\hfsm.clear )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _182_ (
+    .CLK(confclk),
+    .D(cbitin),
+    .Q(\cfg.cnfg[0] )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _183_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _184_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[1] ),
+    .Q(cbitout)
+  );
+endmodule
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_optimized.v b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_optimized.v
new file mode 100644
index 0000000..ee0c656
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_optimized.v
@@ -0,0 +1,444 @@
+module ycell (cbitin,
+    cbitout,
+    confclk,
+    dempty,
+    hempty,
+    lempty,
+    rempty,
+    reset,
+    uempty,
+    vempty,
+    din,
+    dout,
+    lin,
+    lout,
+    rin,
+    rout,
+    uin,
+    uout);
+ input cbitin;
+ output cbitout;
+ input confclk;
+ input dempty;
+ output hempty;
+ input lempty;
+ input rempty;
+ input reset;
+ input uempty;
+ output vempty;
+ input [1:0] din;
+ output [1:0] dout;
+ input [1:0] lin;
+ output [1:0] lout;
+ input [1:0] rin;
+ output [1:0] rout;
+ input [1:0] uin;
+ output [1:0] uout;
+
+ sky130_fd_sc_hd__or2_2 _079_ (.A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .X(_041_));
+ sky130_fd_sc_hd__nor3_2 _080_ (.A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .Y(_042_));
+ sky130_fd_sc_hd__nor2_2 _081_ (.A(\hfsm.nlmempty ),
+    .B(_042_),
+    .Y(_043_));
+ sky130_fd_sc_hd__inv_8 _082_ (.A(\cfg.cnfg[1] ),
+    .Y(_044_));
+ sky130_fd_sc_hd__inv_8 _083_ (.A(\cfg.cnfg[0] ),
+    .Y(_045_));
+ sky130_fd_sc_hd__or2_4 _084_ (.A(_044_),
+    .B(_045_),
+    .X(_046_));
+ sky130_fd_sc_hd__buf_6 _085_ (.A(cbitout),
+    .X(_047_));
+ sky130_fd_sc_hd__or2_2 _086_ (.A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .X(_048_));
+ sky130_fd_sc_hd__nor2_2 _087_ (.A(_044_),
+    .B(_047_),
+    .Y(_049_));
+ sky130_fd_sc_hd__nand2_2 _088_ (.A(_045_),
+    .B(_049_),
+    .Y(_050_));
+ sky130_fd_sc_hd__inv_8 _089_ (.A(_050_),
+    .Y(_051_));
+ sky130_fd_sc_hd__inv_8 _090_ (.A(_047_),
+    .Y(_052_));
+ sky130_fd_sc_hd__and3_2 _091_ (.A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .X(_053_));
+ sky130_fd_sc_hd__or2_2 _092_ (.A(_051_),
+    .B(_053_),
+    .X(vempty));
+ sky130_fd_sc_hd__or2_2 _093_ (.A(dempty),
+    .B(vempty),
+    .X(_054_));
+ sky130_fd_sc_hd__buf_2 _094_ (.A(_054_),
+    .X(_055_));
+ sky130_fd_sc_hd__inv_8 _095_ (.A(_055_),
+    .Y(_056_));
+ sky130_fd_sc_hd__or2_4 _096_ (.A(cbitout),
+    .B(_046_),
+    .X(_057_));
+ sky130_fd_sc_hd__buf_6 _097_ (.A(_057_),
+    .X(_058_));
+ sky130_fd_sc_hd__inv_8 _098_ (.A(_058_),
+    .Y(_059_));
+ sky130_fd_sc_hd__a32o_4 _099_ (.A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .X(dout[1]));
+ sky130_fd_sc_hd__o22a_4 _100_ (.A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .X(uout[1]));
+ sky130_fd_sc_hd__nand3_2 _101_ (.A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .Y(_060_));
+ sky130_fd_sc_hd__inv_8 _102_ (.A(uempty),
+    .Y(_061_));
+ sky130_fd_sc_hd__and2_2 _103_ (.A(uin[0]),
+    .B(_061_),
+    .X(_062_));
+ sky130_fd_sc_hd__or2_2 _104_ (.A(_058_),
+    .B(_062_),
+    .X(_063_));
+ sky130_fd_sc_hd__and2_2 _105_ (.A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .X(_064_));
+ sky130_fd_sc_hd__o21a_4 _106_ (.A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .X(_065_));
+ sky130_fd_sc_hd__or3_2 _107_ (.A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .X(_066_));
+ sky130_fd_sc_hd__and2_2 _108_ (.A(_063_),
+    .B(_066_),
+    .X(_067_));
+ sky130_fd_sc_hd__buf_1 _109_ (.A(_067_),
+    .X(dout[0]));
+ sky130_fd_sc_hd__nand2_2 _110_ (.A(_055_),
+    .B(dout[0]),
+    .Y(_068_));
+ sky130_fd_sc_hd__nand2_2 _111_ (.A(din[0]),
+    .B(_056_),
+    .Y(_069_));
+ sky130_fd_sc_hd__nand2_2 _112_ (.A(_068_),
+    .B(_069_),
+    .Y(uout[0]));
+ sky130_fd_sc_hd__or2_2 _113_ (.A(_052_),
+    .B(_046_),
+    .X(_070_));
+ sky130_fd_sc_hd__or2_2 _114_ (.A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .X(_071_));
+ sky130_fd_sc_hd__nand2_2 _115_ (.A(_070_),
+    .B(_071_),
+    .Y(_072_));
+ sky130_fd_sc_hd__nand2_2 _116_ (.A(uout[0]),
+    .B(_072_),
+    .Y(_073_));
+ sky130_fd_sc_hd__and3_2 _117_ (.A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .X(_074_));
+ sky130_fd_sc_hd__nor2_2 _118_ (.A(_043_),
+    .B(_074_),
+    .Y(\hfsm.nlmempty ));
+ sky130_fd_sc_hd__nor2_2 _119_ (.A(\vfsm.lin[0] ),
+    .B(_062_),
+    .Y(_075_));
+ sky130_fd_sc_hd__nor2_2 _120_ (.A(\vfsm.clear ),
+    .B(_075_),
+    .Y(\vfsm.lin[0] ));
+ sky130_fd_sc_hd__inv_8 _121_ (.A(\vfsm.nlmempty ),
+    .Y(_076_));
+ sky130_fd_sc_hd__nor2_2 _122_ (.A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .Y(_077_));
+ sky130_fd_sc_hd__nor2_2 _123_ (.A(_047_),
+    .B(_077_),
+    .Y(_078_));
+ sky130_fd_sc_hd__and3_2 _124_ (.A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .X(_000_));
+ sky130_fd_sc_hd__or2_4 _125_ (.A(_053_),
+    .B(_059_),
+    .X(hempty));
+ sky130_fd_sc_hd__or2_4 _126_ (.A(rempty),
+    .B(hempty),
+    .X(_001_));
+ sky130_fd_sc_hd__buf_6 _127_ (.A(_001_),
+    .X(_002_));
+ sky130_fd_sc_hd__inv_8 _128_ (.A(_002_),
+    .Y(_003_));
+ sky130_fd_sc_hd__a32o_4 _129_ (.A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .X(rout[1]));
+ sky130_fd_sc_hd__o22a_4 _130_ (.A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .X(lout[1]));
+ sky130_fd_sc_hd__inv_8 _131_ (.A(lout[1]),
+    .Y(_004_));
+ sky130_fd_sc_hd__or3_4 _132_ (.A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .X(_005_));
+ sky130_fd_sc_hd__nor2_2 _133_ (.A(_076_),
+    .B(_005_),
+    .Y(_006_));
+ sky130_fd_sc_hd__nor2_2 _134_ (.A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .Y(_007_));
+ sky130_fd_sc_hd__nor2_4 _135_ (.A(\vfsm.clear ),
+    .B(_007_),
+    .Y(\vfsm.lmatch[1] ));
+ sky130_fd_sc_hd__nor2_2 _136_ (.A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_008_));
+ sky130_fd_sc_hd__nor2_2 _137_ (.A(\vfsm.clear ),
+    .B(_008_),
+    .Y(\vfsm.lin[1] ));
+ sky130_fd_sc_hd__inv_8 _138_ (.A(lempty),
+    .Y(_009_));
+ sky130_fd_sc_hd__and2_2 _139_ (.A(lin[0]),
+    .B(_009_),
+    .X(_010_));
+ sky130_fd_sc_hd__or2_2 _140_ (.A(_050_),
+    .B(_010_),
+    .X(_011_));
+ sky130_fd_sc_hd__and2_2 _141_ (.A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .X(_012_));
+ sky130_fd_sc_hd__o21a_4 _142_ (.A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .X(_013_));
+ sky130_fd_sc_hd__or3_2 _143_ (.A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .X(_014_));
+ sky130_fd_sc_hd__and2_2 _144_ (.A(_011_),
+    .B(_014_),
+    .X(_015_));
+ sky130_fd_sc_hd__buf_1 _145_ (.A(_015_),
+    .X(rout[0]));
+ sky130_fd_sc_hd__nand2_2 _146_ (.A(_002_),
+    .B(rout[0]),
+    .Y(_016_));
+ sky130_fd_sc_hd__nand2_2 _147_ (.A(rin[0]),
+    .B(_003_),
+    .Y(_017_));
+ sky130_fd_sc_hd__nor2_2 _148_ (.A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .Y(_018_));
+ sky130_fd_sc_hd__a211o_4 _149_ (.A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .X(_019_));
+ sky130_fd_sc_hd__nor2_2 _150_ (.A(_076_),
+    .B(_019_),
+    .Y(_020_));
+ sky130_fd_sc_hd__nor2_2 _151_ (.A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .Y(_021_));
+ sky130_fd_sc_hd__nor2_2 _152_ (.A(\vfsm.clear ),
+    .B(_021_),
+    .Y(\vfsm.lmatch[0] ));
+ sky130_fd_sc_hd__inv_8 _153_ (.A(\hfsm.nlmempty ),
+    .Y(_022_));
+ sky130_fd_sc_hd__nor2_2 _154_ (.A(_022_),
+    .B(_073_),
+    .Y(_023_));
+ sky130_fd_sc_hd__nor2_2 _155_ (.A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .Y(_024_));
+ sky130_fd_sc_hd__nor2_2 _156_ (.A(\hfsm.clear ),
+    .B(_024_),
+    .Y(\hfsm.lmatch[0] ));
+ sky130_fd_sc_hd__and2_2 _157_ (.A(_061_),
+    .B(uin[1]),
+    .X(_025_));
+ sky130_fd_sc_hd__nor3_2 _158_ (.A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .Y(_026_));
+ sky130_fd_sc_hd__or2_2 _159_ (.A(_025_),
+    .B(_026_),
+    .X(\vfsm.in[1] ));
+ sky130_fd_sc_hd__nor2_2 _160_ (.A(_022_),
+    .B(_060_),
+    .Y(_027_));
+ sky130_fd_sc_hd__nor2_2 _161_ (.A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .Y(_028_));
+ sky130_fd_sc_hd__nor2_2 _162_ (.A(\hfsm.clear ),
+    .B(_028_),
+    .Y(\hfsm.lmatch[1] ));
+ sky130_fd_sc_hd__nor2_2 _163_ (.A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .Y(_029_));
+ sky130_fd_sc_hd__nor2_2 _164_ (.A(\hfsm.clear ),
+    .B(_029_),
+    .Y(\hfsm.lin[1] ));
+ sky130_fd_sc_hd__nand2_2 _165_ (.A(_009_),
+    .B(lin[1]),
+    .Y(_030_));
+ sky130_fd_sc_hd__nand2_2 _166_ (.A(_016_),
+    .B(_017_),
+    .Y(lout[0]));
+ sky130_fd_sc_hd__or3_4 _167_ (.A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .X(_031_));
+ sky130_fd_sc_hd__nand2_2 _168_ (.A(_030_),
+    .B(_031_),
+    .Y(\hfsm.in[1] ));
+ sky130_fd_sc_hd__nor2_2 _169_ (.A(\hfsm.lin[0] ),
+    .B(_010_),
+    .Y(_032_));
+ sky130_fd_sc_hd__nor2_2 _170_ (.A(\hfsm.clear ),
+    .B(_032_),
+    .Y(\hfsm.lin[0] ));
+ sky130_fd_sc_hd__nor2_2 _171_ (.A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_033_));
+ sky130_fd_sc_hd__nor4_2 _172_ (.A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .Y(_034_));
+ sky130_fd_sc_hd__a211o_4 _173_ (.A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .X(\vfsm.clear ));
+ sky130_fd_sc_hd__or2_2 _174_ (.A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .X(_035_));
+ sky130_fd_sc_hd__nor3_2 _175_ (.A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .Y(_036_));
+ sky130_fd_sc_hd__nor2_2 _176_ (.A(\vfsm.nlmempty ),
+    .B(_036_),
+    .Y(_037_));
+ sky130_fd_sc_hd__and3_4 _177_ (.A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .X(_038_));
+ sky130_fd_sc_hd__nor2_4 _178_ (.A(_037_),
+    .B(_038_),
+    .Y(\vfsm.nlmempty ));
+ sky130_fd_sc_hd__nor2_2 _179_ (.A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .Y(_039_));
+ sky130_fd_sc_hd__nor4_2 _180_ (.A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .Y(_040_));
+ sky130_fd_sc_hd__or3_2 _181_ (.A(reset),
+    .B(hempty),
+    .C(_040_),
+    .X(\hfsm.clear ));
+ sky130_fd_sc_hd__dfxtp_4 _182_ (.D(cbitin),
+    .Q(\cfg.cnfg[0] ),
+    .CLK(confclk));
+ sky130_fd_sc_hd__dfxtp_4 _183_ (.D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] ),
+    .CLK(confclk));
+ sky130_fd_sc_hd__dfxtp_4 _184_ (.D(\cfg.cnfg[1] ),
+    .Q(cbitout),
+    .CLK(confclk));
+ sky130_fd_sc_hd__decap_3 PHY_0 ();
+ sky130_fd_sc_hd__decap_3 PHY_1 ();
+ sky130_fd_sc_hd__decap_3 PHY_2 ();
+ sky130_fd_sc_hd__decap_3 PHY_3 ();
+ sky130_fd_sc_hd__decap_3 PHY_4 ();
+ sky130_fd_sc_hd__decap_3 PHY_5 ();
+ sky130_fd_sc_hd__decap_3 PHY_6 ();
+ sky130_fd_sc_hd__decap_3 PHY_7 ();
+ sky130_fd_sc_hd__decap_3 PHY_8 ();
+ sky130_fd_sc_hd__decap_3 PHY_9 ();
+ sky130_fd_sc_hd__decap_3 PHY_10 ();
+ sky130_fd_sc_hd__decap_3 PHY_11 ();
+ sky130_fd_sc_hd__decap_3 PHY_12 ();
+ sky130_fd_sc_hd__decap_3 PHY_13 ();
+ sky130_fd_sc_hd__decap_3 PHY_14 ();
+ sky130_fd_sc_hd__decap_3 PHY_15 ();
+ sky130_fd_sc_hd__decap_3 PHY_16 ();
+ sky130_fd_sc_hd__decap_3 PHY_17 ();
+ sky130_fd_sc_hd__decap_3 PHY_18 ();
+ sky130_fd_sc_hd__decap_3 PHY_19 ();
+ sky130_fd_sc_hd__decap_3 PHY_20 ();
+ sky130_fd_sc_hd__decap_3 PHY_21 ();
+ sky130_fd_sc_hd__decap_3 PHY_22 ();
+ sky130_fd_sc_hd__decap_3 PHY_23 ();
+ sky130_fd_sc_hd__decap_3 PHY_24 ();
+ sky130_fd_sc_hd__decap_3 PHY_25 ();
+ sky130_fd_sc_hd__decap_3 PHY_26 ();
+ sky130_fd_sc_hd__decap_3 PHY_27 ();
+ sky130_fd_sc_hd__decap_3 PHY_28 ();
+ sky130_fd_sc_hd__decap_3 PHY_29 ();
+ sky130_fd_sc_hd__decap_3 PHY_30 ();
+ sky130_fd_sc_hd__decap_3 PHY_31 ();
+ sky130_fd_sc_hd__decap_3 PHY_32 ();
+ sky130_fd_sc_hd__decap_3 PHY_33 ();
+ sky130_fd_sc_hd__decap_3 PHY_34 ();
+ sky130_fd_sc_hd__decap_3 PHY_35 ();
+ sky130_fd_sc_hd__decap_3 PHY_36 ();
+ sky130_fd_sc_hd__decap_3 PHY_37 ();
+ sky130_fd_sc_hd__decap_3 PHY_38 ();
+ sky130_fd_sc_hd__decap_3 PHY_39 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_40 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_41 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_42 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_43 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_44 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_45 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_46 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_47 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_48 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_49 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_50 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_51 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_52 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_53 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_54 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_55 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_56 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_57 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_58 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_59 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_60 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_61 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_62 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_63 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_64 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_65 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 ();
+endmodule
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_preroute.v b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_preroute.v
new file mode 100644
index 0000000..06e9fb9
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/results/synthesis/ycell.synthesis_preroute.v
@@ -0,0 +1,657 @@
+module ycell (cbitin,
+    cbitout,
+    confclk,
+    dempty,
+    hempty,
+    lempty,
+    rempty,
+    reset,
+    uempty,
+    vempty,
+    VPWR,
+    VGND,
+    din,
+    dout,
+    lin,
+    lout,
+    rin,
+    rout,
+    uin,
+    uout);
+ input cbitin;
+ output cbitout;
+ input confclk;
+ input dempty;
+ output hempty;
+ input lempty;
+ input rempty;
+ input reset;
+ input uempty;
+ output vempty;
+ input VPWR;
+ input VGND;
+ input [1:0] din;
+ output [1:0] dout;
+ input [1:0] lin;
+ output [1:0] lout;
+ input [1:0] rin;
+ output [1:0] rout;
+ input [1:0] uin;
+ output [1:0] uout;
+
+ sky130_fd_sc_hd__or2_2 _079_ (.A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .X(_041_));
+ sky130_fd_sc_hd__nor3_2 _080_ (.A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .Y(_042_));
+ sky130_fd_sc_hd__nor2_2 _081_ (.A(\hfsm.nlmempty ),
+    .B(_042_),
+    .Y(_043_));
+ sky130_fd_sc_hd__inv_8 _082_ (.A(\cfg.cnfg[1] ),
+    .Y(_044_));
+ sky130_fd_sc_hd__inv_8 _083_ (.A(\cfg.cnfg[0] ),
+    .Y(_045_));
+ sky130_fd_sc_hd__or2_4 _084_ (.A(_044_),
+    .B(_045_),
+    .X(_046_));
+ sky130_fd_sc_hd__buf_6 _085_ (.A(cbitout),
+    .X(_047_));
+ sky130_fd_sc_hd__or2_2 _086_ (.A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .X(_048_));
+ sky130_fd_sc_hd__nor2_2 _087_ (.A(_044_),
+    .B(_047_),
+    .Y(_049_));
+ sky130_fd_sc_hd__nand2_2 _088_ (.A(_045_),
+    .B(_049_),
+    .Y(_050_));
+ sky130_fd_sc_hd__inv_8 _089_ (.A(_050_),
+    .Y(_051_));
+ sky130_fd_sc_hd__inv_8 _090_ (.A(_047_),
+    .Y(_052_));
+ sky130_fd_sc_hd__and3_2 _091_ (.A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .X(_053_));
+ sky130_fd_sc_hd__or2_2 _092_ (.A(_051_),
+    .B(_053_),
+    .X(vempty));
+ sky130_fd_sc_hd__or2_2 _093_ (.A(dempty),
+    .B(vempty),
+    .X(_054_));
+ sky130_fd_sc_hd__buf_2 _094_ (.A(_054_),
+    .X(_055_));
+ sky130_fd_sc_hd__inv_8 _095_ (.A(_055_),
+    .Y(_056_));
+ sky130_fd_sc_hd__or2_4 _096_ (.A(cbitout),
+    .B(_046_),
+    .X(_057_));
+ sky130_fd_sc_hd__buf_6 _097_ (.A(_057_),
+    .X(_058_));
+ sky130_fd_sc_hd__inv_8 _098_ (.A(_058_),
+    .Y(_059_));
+ sky130_fd_sc_hd__a32o_4 _099_ (.A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .X(dout[1]));
+ sky130_fd_sc_hd__o22a_4 _100_ (.A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .X(uout[1]));
+ sky130_fd_sc_hd__nand3_2 _101_ (.A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .Y(_060_));
+ sky130_fd_sc_hd__inv_8 _102_ (.A(uempty),
+    .Y(_061_));
+ sky130_fd_sc_hd__and2_2 _103_ (.A(uin[0]),
+    .B(_061_),
+    .X(_062_));
+ sky130_fd_sc_hd__or2_2 _104_ (.A(_058_),
+    .B(_062_),
+    .X(_063_));
+ sky130_fd_sc_hd__and2_2 _105_ (.A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .X(_064_));
+ sky130_fd_sc_hd__o21a_4 _106_ (.A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .X(_065_));
+ sky130_fd_sc_hd__or3_2 _107_ (.A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .X(_066_));
+ sky130_fd_sc_hd__and2_2 _108_ (.A(_063_),
+    .B(_066_),
+    .X(_067_));
+ sky130_fd_sc_hd__buf_1 _109_ (.A(_067_),
+    .X(dout[0]));
+ sky130_fd_sc_hd__nand2_2 _110_ (.A(_055_),
+    .B(dout[0]),
+    .Y(_068_));
+ sky130_fd_sc_hd__nand2_2 _111_ (.A(din[0]),
+    .B(_056_),
+    .Y(_069_));
+ sky130_fd_sc_hd__nand2_2 _112_ (.A(_068_),
+    .B(_069_),
+    .Y(uout[0]));
+ sky130_fd_sc_hd__or2_2 _113_ (.A(_052_),
+    .B(_046_),
+    .X(_070_));
+ sky130_fd_sc_hd__or2_2 _114_ (.A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .X(_071_));
+ sky130_fd_sc_hd__nand2_2 _115_ (.A(_070_),
+    .B(_071_),
+    .Y(_072_));
+ sky130_fd_sc_hd__nand2_2 _116_ (.A(uout[0]),
+    .B(_072_),
+    .Y(_073_));
+ sky130_fd_sc_hd__and3_2 _117_ (.A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .X(_074_));
+ sky130_fd_sc_hd__nor2_2 _118_ (.A(_043_),
+    .B(_074_),
+    .Y(\hfsm.nlmempty ));
+ sky130_fd_sc_hd__nor2_2 _119_ (.A(\vfsm.lin[0] ),
+    .B(_062_),
+    .Y(_075_));
+ sky130_fd_sc_hd__nor2_2 _120_ (.A(\vfsm.clear ),
+    .B(_075_),
+    .Y(\vfsm.lin[0] ));
+ sky130_fd_sc_hd__inv_8 _121_ (.A(\vfsm.nlmempty ),
+    .Y(_076_));
+ sky130_fd_sc_hd__nor2_2 _122_ (.A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .Y(_077_));
+ sky130_fd_sc_hd__nor2_2 _123_ (.A(_047_),
+    .B(_077_),
+    .Y(_078_));
+ sky130_fd_sc_hd__and3_2 _124_ (.A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .X(_000_));
+ sky130_fd_sc_hd__or2_4 _125_ (.A(_053_),
+    .B(_059_),
+    .X(hempty));
+ sky130_fd_sc_hd__or2_4 _126_ (.A(rempty),
+    .B(hempty),
+    .X(_001_));
+ sky130_fd_sc_hd__buf_6 _127_ (.A(_001_),
+    .X(_002_));
+ sky130_fd_sc_hd__inv_8 _128_ (.A(_002_),
+    .Y(_003_));
+ sky130_fd_sc_hd__a32o_4 _129_ (.A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .X(rout[1]));
+ sky130_fd_sc_hd__o22a_4 _130_ (.A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .X(lout[1]));
+ sky130_fd_sc_hd__inv_8 _131_ (.A(lout[1]),
+    .Y(_004_));
+ sky130_fd_sc_hd__or3_4 _132_ (.A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .X(_005_));
+ sky130_fd_sc_hd__nor2_2 _133_ (.A(_076_),
+    .B(_005_),
+    .Y(_006_));
+ sky130_fd_sc_hd__nor2_2 _134_ (.A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .Y(_007_));
+ sky130_fd_sc_hd__nor2_4 _135_ (.A(\vfsm.clear ),
+    .B(_007_),
+    .Y(\vfsm.lmatch[1] ));
+ sky130_fd_sc_hd__nor2_2 _136_ (.A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_008_));
+ sky130_fd_sc_hd__nor2_2 _137_ (.A(\vfsm.clear ),
+    .B(_008_),
+    .Y(\vfsm.lin[1] ));
+ sky130_fd_sc_hd__inv_8 _138_ (.A(lempty),
+    .Y(_009_));
+ sky130_fd_sc_hd__and2_2 _139_ (.A(lin[0]),
+    .B(_009_),
+    .X(_010_));
+ sky130_fd_sc_hd__or2_2 _140_ (.A(_050_),
+    .B(_010_),
+    .X(_011_));
+ sky130_fd_sc_hd__and2_2 _141_ (.A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .X(_012_));
+ sky130_fd_sc_hd__o21a_4 _142_ (.A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .X(_013_));
+ sky130_fd_sc_hd__or3_2 _143_ (.A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .X(_014_));
+ sky130_fd_sc_hd__and2_2 _144_ (.A(_011_),
+    .B(_014_),
+    .X(_015_));
+ sky130_fd_sc_hd__buf_1 _145_ (.A(_015_),
+    .X(rout[0]));
+ sky130_fd_sc_hd__nand2_2 _146_ (.A(_002_),
+    .B(rout[0]),
+    .Y(_016_));
+ sky130_fd_sc_hd__nand2_2 _147_ (.A(rin[0]),
+    .B(_003_),
+    .Y(_017_));
+ sky130_fd_sc_hd__nor2_2 _148_ (.A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .Y(_018_));
+ sky130_fd_sc_hd__a211o_4 _149_ (.A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .X(_019_));
+ sky130_fd_sc_hd__nor2_2 _150_ (.A(_076_),
+    .B(_019_),
+    .Y(_020_));
+ sky130_fd_sc_hd__nor2_2 _151_ (.A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .Y(_021_));
+ sky130_fd_sc_hd__nor2_2 _152_ (.A(\vfsm.clear ),
+    .B(_021_),
+    .Y(\vfsm.lmatch[0] ));
+ sky130_fd_sc_hd__inv_8 _153_ (.A(\hfsm.nlmempty ),
+    .Y(_022_));
+ sky130_fd_sc_hd__nor2_2 _154_ (.A(_022_),
+    .B(_073_),
+    .Y(_023_));
+ sky130_fd_sc_hd__nor2_2 _155_ (.A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .Y(_024_));
+ sky130_fd_sc_hd__nor2_2 _156_ (.A(\hfsm.clear ),
+    .B(_024_),
+    .Y(\hfsm.lmatch[0] ));
+ sky130_fd_sc_hd__and2_2 _157_ (.A(_061_),
+    .B(uin[1]),
+    .X(_025_));
+ sky130_fd_sc_hd__nor3_2 _158_ (.A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .Y(_026_));
+ sky130_fd_sc_hd__or2_2 _159_ (.A(_025_),
+    .B(_026_),
+    .X(\vfsm.in[1] ));
+ sky130_fd_sc_hd__nor2_2 _160_ (.A(_022_),
+    .B(_060_),
+    .Y(_027_));
+ sky130_fd_sc_hd__nor2_2 _161_ (.A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .Y(_028_));
+ sky130_fd_sc_hd__nor2_2 _162_ (.A(\hfsm.clear ),
+    .B(_028_),
+    .Y(\hfsm.lmatch[1] ));
+ sky130_fd_sc_hd__nor2_2 _163_ (.A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .Y(_029_));
+ sky130_fd_sc_hd__nor2_2 _164_ (.A(\hfsm.clear ),
+    .B(_029_),
+    .Y(\hfsm.lin[1] ));
+ sky130_fd_sc_hd__nand2_2 _165_ (.A(_009_),
+    .B(lin[1]),
+    .Y(_030_));
+ sky130_fd_sc_hd__nand2_2 _166_ (.A(_016_),
+    .B(_017_),
+    .Y(lout[0]));
+ sky130_fd_sc_hd__or3_4 _167_ (.A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .X(_031_));
+ sky130_fd_sc_hd__nand2_2 _168_ (.A(_030_),
+    .B(_031_),
+    .Y(\hfsm.in[1] ));
+ sky130_fd_sc_hd__nor2_2 _169_ (.A(\hfsm.lin[0] ),
+    .B(_010_),
+    .Y(_032_));
+ sky130_fd_sc_hd__nor2_2 _170_ (.A(\hfsm.clear ),
+    .B(_032_),
+    .Y(\hfsm.lin[0] ));
+ sky130_fd_sc_hd__nor2_2 _171_ (.A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .Y(_033_));
+ sky130_fd_sc_hd__nor4_2 _172_ (.A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .Y(_034_));
+ sky130_fd_sc_hd__a211o_4 _173_ (.A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .X(\vfsm.clear ));
+ sky130_fd_sc_hd__or2_2 _174_ (.A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .X(_035_));
+ sky130_fd_sc_hd__nor3_2 _175_ (.A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .Y(_036_));
+ sky130_fd_sc_hd__nor2_2 _176_ (.A(\vfsm.nlmempty ),
+    .B(_036_),
+    .Y(_037_));
+ sky130_fd_sc_hd__and3_4 _177_ (.A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .X(_038_));
+ sky130_fd_sc_hd__nor2_4 _178_ (.A(_037_),
+    .B(_038_),
+    .Y(\vfsm.nlmempty ));
+ sky130_fd_sc_hd__nor2_2 _179_ (.A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .Y(_039_));
+ sky130_fd_sc_hd__nor4_2 _180_ (.A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .Y(_040_));
+ sky130_fd_sc_hd__or3_2 _181_ (.A(reset),
+    .B(hempty),
+    .C(_040_),
+    .X(\hfsm.clear ));
+ sky130_fd_sc_hd__dfxtp_4 _182_ (.D(cbitin),
+    .Q(\cfg.cnfg[0] ),
+    .CLK(confclk));
+ sky130_fd_sc_hd__dfxtp_4 _183_ (.D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] ),
+    .CLK(confclk));
+ sky130_fd_sc_hd__dfxtp_4 _184_ (.D(\cfg.cnfg[1] ),
+    .Q(cbitout),
+    .CLK(confclk));
+ sky130_fd_sc_hd__decap_3 PHY_0 ();
+ sky130_fd_sc_hd__decap_3 PHY_1 ();
+ sky130_fd_sc_hd__decap_3 PHY_2 ();
+ sky130_fd_sc_hd__decap_3 PHY_3 ();
+ sky130_fd_sc_hd__decap_3 PHY_4 ();
+ sky130_fd_sc_hd__decap_3 PHY_5 ();
+ sky130_fd_sc_hd__decap_3 PHY_6 ();
+ sky130_fd_sc_hd__decap_3 PHY_7 ();
+ sky130_fd_sc_hd__decap_3 PHY_8 ();
+ sky130_fd_sc_hd__decap_3 PHY_9 ();
+ sky130_fd_sc_hd__decap_3 PHY_10 ();
+ sky130_fd_sc_hd__decap_3 PHY_11 ();
+ sky130_fd_sc_hd__decap_3 PHY_12 ();
+ sky130_fd_sc_hd__decap_3 PHY_13 ();
+ sky130_fd_sc_hd__decap_3 PHY_14 ();
+ sky130_fd_sc_hd__decap_3 PHY_15 ();
+ sky130_fd_sc_hd__decap_3 PHY_16 ();
+ sky130_fd_sc_hd__decap_3 PHY_17 ();
+ sky130_fd_sc_hd__decap_3 PHY_18 ();
+ sky130_fd_sc_hd__decap_3 PHY_19 ();
+ sky130_fd_sc_hd__decap_3 PHY_20 ();
+ sky130_fd_sc_hd__decap_3 PHY_21 ();
+ sky130_fd_sc_hd__decap_3 PHY_22 ();
+ sky130_fd_sc_hd__decap_3 PHY_23 ();
+ sky130_fd_sc_hd__decap_3 PHY_24 ();
+ sky130_fd_sc_hd__decap_3 PHY_25 ();
+ sky130_fd_sc_hd__decap_3 PHY_26 ();
+ sky130_fd_sc_hd__decap_3 PHY_27 ();
+ sky130_fd_sc_hd__decap_3 PHY_28 ();
+ sky130_fd_sc_hd__decap_3 PHY_29 ();
+ sky130_fd_sc_hd__decap_3 PHY_30 ();
+ sky130_fd_sc_hd__decap_3 PHY_31 ();
+ sky130_fd_sc_hd__decap_3 PHY_32 ();
+ sky130_fd_sc_hd__decap_3 PHY_33 ();
+ sky130_fd_sc_hd__decap_3 PHY_34 ();
+ sky130_fd_sc_hd__decap_3 PHY_35 ();
+ sky130_fd_sc_hd__decap_3 PHY_36 ();
+ sky130_fd_sc_hd__decap_3 PHY_37 ();
+ sky130_fd_sc_hd__decap_3 PHY_38 ();
+ sky130_fd_sc_hd__decap_3 PHY_39 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_40 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_41 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_42 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_43 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_44 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_45 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_46 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_47 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_48 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_49 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_50 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_51 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_52 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_53 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_54 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_55 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_56 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_57 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_58 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_59 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_60 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_61 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_62 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_63 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_64 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_65 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 ();
+ sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_3 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_15 ();
+ sky130_fd_sc_hd__decap_4 FILLER_0_27 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_32 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_44 ();
+ sky130_fd_sc_hd__decap_6 FILLER_0_56 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_63 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_75 ();
+ sky130_fd_sc_hd__decap_6 FILLER_0_87 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_94 ();
+ sky130_fd_sc_hd__decap_12 FILLER_0_106 ();
+ sky130_fd_sc_hd__fill_1 FILLER_0_118 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_3 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_15 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_27 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_39 ();
+ sky130_fd_sc_hd__decap_8 FILLER_1_51 ();
+ sky130_fd_sc_hd__fill_2 FILLER_1_59 ();
+ sky130_fd_sc_hd__decap_8 FILLER_1_67 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_80 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_92 ();
+ sky130_fd_sc_hd__decap_12 FILLER_1_104 ();
+ sky130_fd_sc_hd__decap_3 FILLER_1_116 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_3 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_15 ();
+ sky130_fd_sc_hd__decap_4 FILLER_2_27 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_32 ();
+ sky130_fd_sc_hd__decap_4 FILLER_2_44 ();
+ sky130_fd_sc_hd__fill_1 FILLER_2_48 ();
+ sky130_fd_sc_hd__decap_8 FILLER_2_54 ();
+ sky130_fd_sc_hd__decap_8 FILLER_2_67 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_80 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_93 ();
+ sky130_fd_sc_hd__decap_12 FILLER_2_105 ();
+ sky130_fd_sc_hd__fill_2 FILLER_2_117 ();
+ sky130_fd_sc_hd__decap_12 FILLER_3_3 ();
+ sky130_fd_sc_hd__decap_12 FILLER_3_15 ();
+ sky130_fd_sc_hd__decap_12 FILLER_3_27 ();
+ sky130_fd_sc_hd__decap_8 FILLER_3_39 ();
+ sky130_fd_sc_hd__decap_8 FILLER_3_52 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_60 ();
+ sky130_fd_sc_hd__decap_4 FILLER_3_62 ();
+ sky130_fd_sc_hd__fill_1 FILLER_3_66 ();
+ sky130_fd_sc_hd__decap_8 FILLER_3_72 ();
+ sky130_fd_sc_hd__decap_12 FILLER_3_85 ();
+ sky130_fd_sc_hd__decap_12 FILLER_3_97 ();
+ sky130_fd_sc_hd__decap_8 FILLER_3_109 ();
+ sky130_fd_sc_hd__fill_2 FILLER_3_117 ();
+ sky130_fd_sc_hd__decap_12 FILLER_4_3 ();
+ sky130_fd_sc_hd__decap_12 FILLER_4_15 ();
+ sky130_fd_sc_hd__decap_4 FILLER_4_27 ();
+ sky130_fd_sc_hd__decap_8 FILLER_4_32 ();
+ sky130_fd_sc_hd__fill_2 FILLER_4_40 ();
+ sky130_fd_sc_hd__decap_8 FILLER_4_47 ();
+ sky130_fd_sc_hd__decap_8 FILLER_4_60 ();
+ sky130_fd_sc_hd__decap_12 FILLER_4_73 ();
+ sky130_fd_sc_hd__decap_6 FILLER_4_85 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_91 ();
+ sky130_fd_sc_hd__decap_12 FILLER_4_98 ();
+ sky130_fd_sc_hd__decap_8 FILLER_4_110 ();
+ sky130_fd_sc_hd__fill_1 FILLER_4_118 ();
+ sky130_fd_sc_hd__decap_12 FILLER_5_3 ();
+ sky130_fd_sc_hd__decap_6 FILLER_5_15 ();
+ sky130_fd_sc_hd__fill_1 FILLER_5_21 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_27 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_40 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_53 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_62 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_75 ();
+ sky130_fd_sc_hd__decap_8 FILLER_5_88 ();
+ sky130_fd_sc_hd__decap_12 FILLER_5_101 ();
+ sky130_fd_sc_hd__decap_6 FILLER_5_113 ();
+ sky130_fd_sc_hd__decap_12 FILLER_6_3 ();
+ sky130_fd_sc_hd__decap_3 FILLER_6_15 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_23 ();
+ sky130_fd_sc_hd__fill_2 FILLER_6_32 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_39 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_52 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_65 ();
+ sky130_fd_sc_hd__decap_3 FILLER_6_73 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_81 ();
+ sky130_fd_sc_hd__decap_3 FILLER_6_89 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_98 ();
+ sky130_fd_sc_hd__decap_8 FILLER_6_111 ();
+ sky130_fd_sc_hd__decap_3 FILLER_7_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_15 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_27 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_40 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_53 ();
+ sky130_fd_sc_hd__decap_3 FILLER_7_62 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_71 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_84 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_97 ();
+ sky130_fd_sc_hd__decap_8 FILLER_7_110 ();
+ sky130_fd_sc_hd__fill_1 FILLER_7_118 ();
+ sky130_fd_sc_hd__fill_2 FILLER_8_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_10 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_23 ();
+ sky130_fd_sc_hd__fill_1 FILLER_8_32 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_38 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_51 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_64 ();
+ sky130_fd_sc_hd__decap_12 FILLER_8_78 ();
+ sky130_fd_sc_hd__fill_2 FILLER_8_90 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_98 ();
+ sky130_fd_sc_hd__decap_8 FILLER_8_111 ();
+ sky130_fd_sc_hd__decap_6 FILLER_9_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_14 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_27 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_40 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_53 ();
+ sky130_fd_sc_hd__decap_6 FILLER_9_62 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_76 ();
+ sky130_fd_sc_hd__decap_8 FILLER_9_90 ();
+ sky130_fd_sc_hd__decap_12 FILLER_9_104 ();
+ sky130_fd_sc_hd__decap_3 FILLER_9_116 ();
+ sky130_fd_sc_hd__fill_2 FILLER_10_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_10 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_23 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_32 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_46 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_60 ();
+ sky130_fd_sc_hd__decap_12 FILLER_10_77 ();
+ sky130_fd_sc_hd__decap_3 FILLER_10_89 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_100 ();
+ sky130_fd_sc_hd__decap_8 FILLER_10_111 ();
+ sky130_fd_sc_hd__decap_4 FILLER_11_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_12 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_25 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_39 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_53 ();
+ sky130_fd_sc_hd__fill_1 FILLER_11_62 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_72 ();
+ sky130_fd_sc_hd__decap_8 FILLER_11_89 ();
+ sky130_fd_sc_hd__decap_12 FILLER_11_105 ();
+ sky130_fd_sc_hd__fill_2 FILLER_11_117 ();
+ sky130_fd_sc_hd__fill_2 FILLER_12_3 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_10 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_23 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_37 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_52 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_69 ();
+ sky130_fd_sc_hd__decap_8 FILLER_12_84 ();
+ sky130_fd_sc_hd__decap_12 FILLER_12_101 ();
+ sky130_fd_sc_hd__decap_6 FILLER_12_113 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_8 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_22 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_36 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_53 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_71 ();
+ sky130_fd_sc_hd__decap_8 FILLER_13_88 ();
+ sky130_fd_sc_hd__decap_12 FILLER_13_105 ();
+ sky130_fd_sc_hd__fill_2 FILLER_13_117 ();
+ sky130_fd_sc_hd__decap_8 FILLER_14_9 ();
+ sky130_fd_sc_hd__decap_8 FILLER_14_23 ();
+ sky130_fd_sc_hd__decap_6 FILLER_14_32 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_38 ();
+ sky130_fd_sc_hd__decap_8 FILLER_14_48 ();
+ sky130_fd_sc_hd__decap_8 FILLER_14_68 ();
+ sky130_fd_sc_hd__decap_8 FILLER_14_84 ();
+ sky130_fd_sc_hd__decap_12 FILLER_14_102 ();
+ sky130_fd_sc_hd__decap_4 FILLER_14_114 ();
+ sky130_fd_sc_hd__fill_1 FILLER_14_118 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_15_11 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_19 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_36 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_53 ();
+ sky130_fd_sc_hd__decap_3 FILLER_15_62 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_77 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_94 ();
+ sky130_fd_sc_hd__decap_8 FILLER_15_111 ();
+ sky130_fd_sc_hd__decap_8 FILLER_16_3 ();
+ sky130_fd_sc_hd__decap_3 FILLER_16_11 ();
+ sky130_fd_sc_hd__decap_8 FILLER_16_23 ();
+ sky130_fd_sc_hd__decap_8 FILLER_16_38 ();
+ sky130_fd_sc_hd__decap_8 FILLER_16_56 ();
+ sky130_fd_sc_hd__decap_12 FILLER_16_78 ();
+ sky130_fd_sc_hd__fill_2 FILLER_16_90 ();
+ sky130_fd_sc_hd__decap_12 FILLER_16_107 ();
+ sky130_fd_sc_hd__decap_8 FILLER_17_3 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_11 ();
+ sky130_fd_sc_hd__decap_8 FILLER_17_21 ();
+ sky130_fd_sc_hd__decap_12 FILLER_17_48 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_60 ();
+ sky130_fd_sc_hd__decap_4 FILLER_17_62 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_66 ();
+ sky130_fd_sc_hd__decap_8 FILLER_17_84 ();
+ sky130_fd_sc_hd__decap_12 FILLER_17_102 ();
+ sky130_fd_sc_hd__decap_4 FILLER_17_114 ();
+ sky130_fd_sc_hd__fill_1 FILLER_17_118 ();
+ sky130_fd_sc_hd__decap_8 FILLER_18_3 ();
+ sky130_fd_sc_hd__decap_3 FILLER_18_11 ();
+ sky130_fd_sc_hd__decap_8 FILLER_18_23 ();
+ sky130_fd_sc_hd__fill_1 FILLER_18_32 ();
+ sky130_fd_sc_hd__decap_8 FILLER_18_52 ();
+ sky130_fd_sc_hd__decap_12 FILLER_18_77 ();
+ sky130_fd_sc_hd__decap_3 FILLER_18_89 ();
+ sky130_fd_sc_hd__decap_12 FILLER_18_102 ();
+ sky130_fd_sc_hd__decap_4 FILLER_18_114 ();
+ sky130_fd_sc_hd__fill_1 FILLER_18_118 ();
+ sky130_fd_sc_hd__decap_8 FILLER_19_6 ();
+ sky130_fd_sc_hd__decap_8 FILLER_19_23 ();
+ sky130_fd_sc_hd__decap_8 FILLER_19_51 ();
+ sky130_fd_sc_hd__decap_3 FILLER_19_59 ();
+ sky130_fd_sc_hd__decap_12 FILLER_19_77 ();
+ sky130_fd_sc_hd__decap_4 FILLER_19_89 ();
+ sky130_fd_sc_hd__decap_8 FILLER_19_108 ();
+ sky130_fd_sc_hd__decap_3 FILLER_19_116 ();
+endmodule
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/cts/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/cts/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/cts/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
new file mode 100644
index 0000000..1dd360f
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
@@ -0,0 +1,298 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 106 ;
+    - _079_ sky130_fd_sc_hd__or2_2 ;
+    - _080_ sky130_fd_sc_hd__nor3_2 ;
+    - _081_ sky130_fd_sc_hd__nor2_2 ;
+    - _082_ sky130_fd_sc_hd__inv_8 ;
+    - _083_ sky130_fd_sc_hd__inv_8 ;
+    - _084_ sky130_fd_sc_hd__or2_4 ;
+    - _085_ sky130_fd_sc_hd__buf_6 ;
+    - _086_ sky130_fd_sc_hd__or2_2 ;
+    - _087_ sky130_fd_sc_hd__nor2_2 ;
+    - _088_ sky130_fd_sc_hd__nand2_2 ;
+    - _089_ sky130_fd_sc_hd__inv_8 ;
+    - _090_ sky130_fd_sc_hd__inv_8 ;
+    - _091_ sky130_fd_sc_hd__and3_2 ;
+    - _092_ sky130_fd_sc_hd__or2_2 ;
+    - _093_ sky130_fd_sc_hd__or2_2 ;
+    - _094_ sky130_fd_sc_hd__buf_2 ;
+    - _095_ sky130_fd_sc_hd__inv_8 ;
+    - _096_ sky130_fd_sc_hd__or2_4 ;
+    - _097_ sky130_fd_sc_hd__buf_6 ;
+    - _098_ sky130_fd_sc_hd__inv_8 ;
+    - _099_ sky130_fd_sc_hd__a32o_4 ;
+    - _100_ sky130_fd_sc_hd__o22a_4 ;
+    - _101_ sky130_fd_sc_hd__nand3_2 ;
+    - _102_ sky130_fd_sc_hd__inv_8 ;
+    - _103_ sky130_fd_sc_hd__and2_2 ;
+    - _104_ sky130_fd_sc_hd__or2_2 ;
+    - _105_ sky130_fd_sc_hd__and2_2 ;
+    - _106_ sky130_fd_sc_hd__o21a_4 ;
+    - _107_ sky130_fd_sc_hd__or3_2 ;
+    - _108_ sky130_fd_sc_hd__and2_2 ;
+    - _109_ sky130_fd_sc_hd__buf_1 ;
+    - _110_ sky130_fd_sc_hd__nand2_2 ;
+    - _111_ sky130_fd_sc_hd__nand2_2 ;
+    - _112_ sky130_fd_sc_hd__nand2_2 ;
+    - _113_ sky130_fd_sc_hd__or2_2 ;
+    - _114_ sky130_fd_sc_hd__or2_2 ;
+    - _115_ sky130_fd_sc_hd__nand2_2 ;
+    - _116_ sky130_fd_sc_hd__nand2_2 ;
+    - _117_ sky130_fd_sc_hd__and3_2 ;
+    - _118_ sky130_fd_sc_hd__nor2_2 ;
+    - _119_ sky130_fd_sc_hd__nor2_2 ;
+    - _120_ sky130_fd_sc_hd__nor2_2 ;
+    - _121_ sky130_fd_sc_hd__inv_8 ;
+    - _122_ sky130_fd_sc_hd__nor2_2 ;
+    - _123_ sky130_fd_sc_hd__nor2_2 ;
+    - _124_ sky130_fd_sc_hd__and3_2 ;
+    - _125_ sky130_fd_sc_hd__or2_4 ;
+    - _126_ sky130_fd_sc_hd__or2_4 ;
+    - _127_ sky130_fd_sc_hd__buf_6 ;
+    - _128_ sky130_fd_sc_hd__inv_8 ;
+    - _129_ sky130_fd_sc_hd__a32o_4 ;
+    - _130_ sky130_fd_sc_hd__o22a_4 ;
+    - _131_ sky130_fd_sc_hd__inv_8 ;
+    - _132_ sky130_fd_sc_hd__or3_4 ;
+    - _133_ sky130_fd_sc_hd__nor2_2 ;
+    - _134_ sky130_fd_sc_hd__nor2_2 ;
+    - _135_ sky130_fd_sc_hd__nor2_4 ;
+    - _136_ sky130_fd_sc_hd__nor2_2 ;
+    - _137_ sky130_fd_sc_hd__nor2_2 ;
+    - _138_ sky130_fd_sc_hd__inv_8 ;
+    - _139_ sky130_fd_sc_hd__and2_2 ;
+    - _140_ sky130_fd_sc_hd__or2_2 ;
+    - _141_ sky130_fd_sc_hd__and2_2 ;
+    - _142_ sky130_fd_sc_hd__o21a_4 ;
+    - _143_ sky130_fd_sc_hd__or3_2 ;
+    - _144_ sky130_fd_sc_hd__and2_2 ;
+    - _145_ sky130_fd_sc_hd__buf_1 ;
+    - _146_ sky130_fd_sc_hd__nand2_2 ;
+    - _147_ sky130_fd_sc_hd__nand2_2 ;
+    - _148_ sky130_fd_sc_hd__nor2_2 ;
+    - _149_ sky130_fd_sc_hd__a211o_4 ;
+    - _150_ sky130_fd_sc_hd__nor2_2 ;
+    - _151_ sky130_fd_sc_hd__nor2_2 ;
+    - _152_ sky130_fd_sc_hd__nor2_2 ;
+    - _153_ sky130_fd_sc_hd__inv_8 ;
+    - _154_ sky130_fd_sc_hd__nor2_2 ;
+    - _155_ sky130_fd_sc_hd__nor2_2 ;
+    - _156_ sky130_fd_sc_hd__nor2_2 ;
+    - _157_ sky130_fd_sc_hd__and2_2 ;
+    - _158_ sky130_fd_sc_hd__nor3_2 ;
+    - _159_ sky130_fd_sc_hd__or2_2 ;
+    - _160_ sky130_fd_sc_hd__nor2_2 ;
+    - _161_ sky130_fd_sc_hd__nor2_2 ;
+    - _162_ sky130_fd_sc_hd__nor2_2 ;
+    - _163_ sky130_fd_sc_hd__nor2_2 ;
+    - _164_ sky130_fd_sc_hd__nor2_2 ;
+    - _165_ sky130_fd_sc_hd__nand2_2 ;
+    - _166_ sky130_fd_sc_hd__nand2_2 ;
+    - _167_ sky130_fd_sc_hd__or3_4 ;
+    - _168_ sky130_fd_sc_hd__nand2_2 ;
+    - _169_ sky130_fd_sc_hd__nor2_2 ;
+    - _170_ sky130_fd_sc_hd__nor2_2 ;
+    - _171_ sky130_fd_sc_hd__nor2_2 ;
+    - _172_ sky130_fd_sc_hd__nor4_2 ;
+    - _173_ sky130_fd_sc_hd__a211o_4 ;
+    - _174_ sky130_fd_sc_hd__or2_2 ;
+    - _175_ sky130_fd_sc_hd__nor3_2 ;
+    - _176_ sky130_fd_sc_hd__nor2_2 ;
+    - _177_ sky130_fd_sc_hd__and3_4 ;
+    - _178_ sky130_fd_sc_hd__nor2_4 ;
+    - _179_ sky130_fd_sc_hd__nor2_2 ;
+    - _180_ sky130_fd_sc_hd__nor4_2 ;
+    - _181_ sky130_fd_sc_hd__or3_2 ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+END PINS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/pdn.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/pdn.def
new file mode 100644
index 0000000..1c03e98
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/pdn.def
@@ -0,0 +1,567 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+VIAS 3 ;
+    - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150  + LAYERS met1 via met2  + CUTSPACING 170 170  + ENCLOSURE 245 165 55 165  + ROWCOL 1 4  ;
+    - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200  + LAYERS met2 via2 met3  + CUTSPACING 200 200  + ENCLOSURE 40 140 100 65  + ROWCOL 1 4  ;
+    - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200  + LAYERS met3 via3 met4  + CUTSPACING 200 200  + ENCLOSURE 100 60 100 140  + ROWCOL 1 4  ;
+END VIAS
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 28 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - VPWR + NET VPWR + DIRECTION INPUT + USE SIGNAL + FIXED ( 14873 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+    - VGND + NET VGND + DIRECTION INPUT + USE SIGNAL + FIXED ( 24226 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+END PINS
+SPECIALNETS 2 ;
+    - VPWR ( PIN VPWR ) + USE POWER
+      + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) ;
+    - VGND ( PIN VGND ) + USE GROUND
+      + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) ;
+END SPECIALNETS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def
new file mode 100644
index 0000000..936ecd8
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/verilog2def_openroad.def
@@ -0,0 +1,298 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 106 ;
+    - _079_ sky130_fd_sc_hd__or2_2 ;
+    - _080_ sky130_fd_sc_hd__nor3_2 ;
+    - _081_ sky130_fd_sc_hd__nor2_2 ;
+    - _082_ sky130_fd_sc_hd__inv_8 ;
+    - _083_ sky130_fd_sc_hd__inv_8 ;
+    - _084_ sky130_fd_sc_hd__or2_4 ;
+    - _085_ sky130_fd_sc_hd__buf_6 ;
+    - _086_ sky130_fd_sc_hd__or2_2 ;
+    - _087_ sky130_fd_sc_hd__nor2_2 ;
+    - _088_ sky130_fd_sc_hd__nand2_2 ;
+    - _089_ sky130_fd_sc_hd__inv_8 ;
+    - _090_ sky130_fd_sc_hd__inv_8 ;
+    - _091_ sky130_fd_sc_hd__and3_2 ;
+    - _092_ sky130_fd_sc_hd__or2_2 ;
+    - _093_ sky130_fd_sc_hd__or2_2 ;
+    - _094_ sky130_fd_sc_hd__buf_2 ;
+    - _095_ sky130_fd_sc_hd__inv_8 ;
+    - _096_ sky130_fd_sc_hd__or2_4 ;
+    - _097_ sky130_fd_sc_hd__buf_6 ;
+    - _098_ sky130_fd_sc_hd__inv_8 ;
+    - _099_ sky130_fd_sc_hd__a32o_4 ;
+    - _100_ sky130_fd_sc_hd__o22a_4 ;
+    - _101_ sky130_fd_sc_hd__nand3_2 ;
+    - _102_ sky130_fd_sc_hd__inv_8 ;
+    - _103_ sky130_fd_sc_hd__and2_2 ;
+    - _104_ sky130_fd_sc_hd__or2_2 ;
+    - _105_ sky130_fd_sc_hd__and2_2 ;
+    - _106_ sky130_fd_sc_hd__o21a_4 ;
+    - _107_ sky130_fd_sc_hd__or3_2 ;
+    - _108_ sky130_fd_sc_hd__and2_2 ;
+    - _109_ sky130_fd_sc_hd__buf_1 ;
+    - _110_ sky130_fd_sc_hd__nand2_2 ;
+    - _111_ sky130_fd_sc_hd__nand2_2 ;
+    - _112_ sky130_fd_sc_hd__nand2_2 ;
+    - _113_ sky130_fd_sc_hd__or2_2 ;
+    - _114_ sky130_fd_sc_hd__or2_2 ;
+    - _115_ sky130_fd_sc_hd__nand2_2 ;
+    - _116_ sky130_fd_sc_hd__nand2_2 ;
+    - _117_ sky130_fd_sc_hd__and3_2 ;
+    - _118_ sky130_fd_sc_hd__nor2_2 ;
+    - _119_ sky130_fd_sc_hd__nor2_2 ;
+    - _120_ sky130_fd_sc_hd__nor2_2 ;
+    - _121_ sky130_fd_sc_hd__inv_8 ;
+    - _122_ sky130_fd_sc_hd__nor2_2 ;
+    - _123_ sky130_fd_sc_hd__nor2_2 ;
+    - _124_ sky130_fd_sc_hd__and3_2 ;
+    - _125_ sky130_fd_sc_hd__or2_4 ;
+    - _126_ sky130_fd_sc_hd__or2_4 ;
+    - _127_ sky130_fd_sc_hd__buf_6 ;
+    - _128_ sky130_fd_sc_hd__inv_8 ;
+    - _129_ sky130_fd_sc_hd__a32o_4 ;
+    - _130_ sky130_fd_sc_hd__o22a_4 ;
+    - _131_ sky130_fd_sc_hd__inv_8 ;
+    - _132_ sky130_fd_sc_hd__or3_4 ;
+    - _133_ sky130_fd_sc_hd__nor2_2 ;
+    - _134_ sky130_fd_sc_hd__nor2_2 ;
+    - _135_ sky130_fd_sc_hd__nor2_4 ;
+    - _136_ sky130_fd_sc_hd__nor2_2 ;
+    - _137_ sky130_fd_sc_hd__nor2_2 ;
+    - _138_ sky130_fd_sc_hd__inv_8 ;
+    - _139_ sky130_fd_sc_hd__and2_2 ;
+    - _140_ sky130_fd_sc_hd__or2_2 ;
+    - _141_ sky130_fd_sc_hd__and2_2 ;
+    - _142_ sky130_fd_sc_hd__o21a_4 ;
+    - _143_ sky130_fd_sc_hd__or3_2 ;
+    - _144_ sky130_fd_sc_hd__and2_2 ;
+    - _145_ sky130_fd_sc_hd__buf_1 ;
+    - _146_ sky130_fd_sc_hd__nand2_2 ;
+    - _147_ sky130_fd_sc_hd__nand2_2 ;
+    - _148_ sky130_fd_sc_hd__nor2_2 ;
+    - _149_ sky130_fd_sc_hd__a211o_4 ;
+    - _150_ sky130_fd_sc_hd__nor2_2 ;
+    - _151_ sky130_fd_sc_hd__nor2_2 ;
+    - _152_ sky130_fd_sc_hd__nor2_2 ;
+    - _153_ sky130_fd_sc_hd__inv_8 ;
+    - _154_ sky130_fd_sc_hd__nor2_2 ;
+    - _155_ sky130_fd_sc_hd__nor2_2 ;
+    - _156_ sky130_fd_sc_hd__nor2_2 ;
+    - _157_ sky130_fd_sc_hd__and2_2 ;
+    - _158_ sky130_fd_sc_hd__nor3_2 ;
+    - _159_ sky130_fd_sc_hd__or2_2 ;
+    - _160_ sky130_fd_sc_hd__nor2_2 ;
+    - _161_ sky130_fd_sc_hd__nor2_2 ;
+    - _162_ sky130_fd_sc_hd__nor2_2 ;
+    - _163_ sky130_fd_sc_hd__nor2_2 ;
+    - _164_ sky130_fd_sc_hd__nor2_2 ;
+    - _165_ sky130_fd_sc_hd__nand2_2 ;
+    - _166_ sky130_fd_sc_hd__nand2_2 ;
+    - _167_ sky130_fd_sc_hd__or3_4 ;
+    - _168_ sky130_fd_sc_hd__nand2_2 ;
+    - _169_ sky130_fd_sc_hd__nor2_2 ;
+    - _170_ sky130_fd_sc_hd__nor2_2 ;
+    - _171_ sky130_fd_sc_hd__nor2_2 ;
+    - _172_ sky130_fd_sc_hd__nor4_2 ;
+    - _173_ sky130_fd_sc_hd__a211o_4 ;
+    - _174_ sky130_fd_sc_hd__or2_2 ;
+    - _175_ sky130_fd_sc_hd__nor3_2 ;
+    - _176_ sky130_fd_sc_hd__nor2_2 ;
+    - _177_ sky130_fd_sc_hd__and3_4 ;
+    - _178_ sky130_fd_sc_hd__nor2_4 ;
+    - _179_ sky130_fd_sc_hd__nor2_2 ;
+    - _180_ sky130_fd_sc_hd__nor4_2 ;
+    - _181_ sky130_fd_sc_hd__or3_2 ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL ;
+END PINS
+NETS 121 ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/lvs/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/lvs/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/lvs/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic_spice.tcl b/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic_spice.tcl
new file mode 100644
index 0000000..7e2f5c8
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/magic_spice.tcl
@@ -0,0 +1,24 @@
+
+lef read /opt/asic/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef
+if {  [info exist ::env(EXTRA_LEFS)] } {
+	set lefs_in $::env(EXTRA_LEFS)
+	foreach lef_file $lefs_in {
+		lef read $lef_file
+	}
+}
+def read /project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+load ycell -dereference
+cd /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/
+extract do local
+extract no capacitance
+extract no coupling
+extract no resistance
+extract no adjust
+# extract warn all
+extract
+
+ext2spice lvs
+ext2spice ycell.ext
+feedback save /project/openlane/morphle_ycell/runs/morphle_ycell/logs/magic/magic_ext2spice.feedback.txt
+# exec cp ycell.spice /project/openlane/morphle_ycell/runs/morphle_ycell/results/magic/ycell.spice
+
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
new file mode 100644
index 0000000..ebe22e5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged.lef
@@ -0,0 +1,68055 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  TIME NANOSECONDS 1 ;
+  CAPACITANCE PICOFARADS 1 ;
+  RESISTANCE OHMS 1 ;
+  DATABASE MICRONS 1000 ;
+END UNITS
+
+MANUFACTURINGGRID 0.005 ;
+
+PROPERTYDEFINITIONS
+  LAYER LEF58_TYPE STRING ;
+END PROPERTYDEFINITIONS
+
+# High density, single height
+SITE unithd
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 2.72 ;
+END unithd
+
+# High density, double height
+SITE unithddbl
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 5.44 ;
+END unithddbl
+
+LAYER nwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
+END nwell
+
+LAYER pwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
+END pwell
+
+LAYER li1
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 0.34 ;
+  OFFSET 0.23 0.17 ;
+
+  WIDTH 0.17 ;          # LI 1
+  # SPACING  0.17 ;     # LI 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.17 ;
+  AREA 0.0561 ;         # LI 6
+  THICKNESS 0.1 ;
+  EDGECAPACITANCE 40.697E-6 ;
+  CAPACITANCE CPERSQDIST 36.9866E-6 ;
+  RESISTANCE RPERSQ 12.2 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
+END li1
+
+LAYER mcon
+  TYPE CUT ;
+
+  WIDTH 0.17 ;                # Mcon 1
+  SPACING 0.19 ;              # Mcon 2
+  ENCLOSURE BELOW 0 0 ;       # Mcon 4
+  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
+
+END mcon
+
+LAYER met1
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.34 ;
+  OFFSET 0.17 ;
+
+  WIDTH 0.14 ;                     # Met1 1
+  # SPACING 0.14 ;                 # Met1 2
+  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.083 ;                     # Met1 6
+  THICKNESS 0.35 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  EDGECAPACITANCE 40.567E-6 ;
+  CAPACITANCE CPERSQDIST 25.7784E-6 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+
+  RESISTANCE RPERSQ 0.125 ;
+END met1
+
+LAYER via
+  TYPE CUT ;
+  WIDTH 0.15 ;                  # Via 1a
+  SPACING 0.17 ;                # Via 2
+  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
+  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
+END via
+
+LAYER met2
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 ;
+  OFFSET 0.23 ;
+
+  WIDTH 0.14 ;                        # Met2 1
+  # SPACING  0.14 ;                   # Met2 2
+  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.0676 ;                       # Met2 6
+  THICKNESS 0.35 ;
+
+  EDGECAPACITANCE 37.759E-6 ;
+  CAPACITANCE CPERSQDIST 16.9423E-6 ;
+  RESISTANCE RPERSQ 0.125 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met2
+
+# ******** Layer via2, type routing, number 44 **************
+LAYER via2
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via2 1
+  SPACING 0.2 ;                 # Via2 2
+  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via2
+
+LAYER met3
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.68 ;
+  OFFSET 0.34 ;
+
+  WIDTH 0.3 ;              # Met3 1
+  # SPACING 0.3 ;          # Met3 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;              # Met3 6
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 40.989E-6 ;
+  CAPACITANCE CPERSQDIST 12.3729E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met3
+
+LAYER via3
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via3 1
+  SPACING 0.2 ;                 # Via3 2
+  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via3
+
+LAYER met4
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.92 ;
+  OFFSET 0.46 ;
+
+  WIDTH 0.3 ;             # Met4 1
+  # SPACING  0.3 ;             # Met4 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;            # Met4 4a
+
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 36.676E-6 ;
+  CAPACITANCE CPERSQDIST 8.41537E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met4
+
+LAYER via4
+  TYPE CUT ;
+
+  WIDTH 0.8 ;                 # Via4 1
+  SPACING 0.8 ;               # Via4 2
+  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
+  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
+END via4
+
+LAYER met5
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 3.4 ;
+  OFFSET 1.7 ;
+
+  WIDTH 1.6 ;            # Met5 1
+  #SPACING  1.6 ;        # Met5 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 1.6 ;
+  AREA 4 ;               # Met5 4
+
+  THICKNESS 1.2 ;
+
+  EDGECAPACITANCE 38.851E-6 ;
+  CAPACITANCE CPERSQDIST 6.32063E-6 ;
+  RESISTANCE RPERSQ 0.0285 ;
+  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+END met5
+
+
+### Routing via cells section   ###
+# Plus via rule, metals are along the prefered direction
+VIA L1M1_PR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR
+
+VIARULE L1M1_PR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA L1M1_PR_R DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_R
+
+VIARULE L1M1_PR_R GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA L1M1_PR_M DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_M
+
+VIARULE L1M1_PR_M GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA L1M1_PR_MR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR_MR
+
+VIARULE L1M1_PR_MR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA L1M1_PR_C DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.145 0.145 0.145 ;
+END L1M1_PR_C
+
+VIARULE L1M1_PR_C GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M1M2_PR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR
+
+VIARULE M1M2_PR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M1M2_PR_R DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_R
+
+VIARULE M1M2_PR_R GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M1M2_PR_M DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_M
+
+VIARULE M1M2_PR_M GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M1M2_PR_MR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR_MR
+
+VIARULE M1M2_PR_MR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M1M2_PR_C DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+END M1M2_PR_C
+
+VIARULE M1M2_PR_C GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M2M3_PR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR
+
+VIARULE M2M3_PR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M2M3_PR_R DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_R
+
+VIARULE M2M3_PR_R GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M2M3_PR_M DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_M
+
+VIARULE M2M3_PR_M GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M2M3_PR_MR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_MR
+
+VIARULE M2M3_PR_MR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M2M3_PR_C DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.185 0.185 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_C
+
+VIARULE M2M3_PR_C GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M3M4_PR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR
+
+VIARULE M3M4_PR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M3M4_PR_R DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_R
+
+VIARULE M3M4_PR_R GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M3M4_PR_M DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_M
+
+VIARULE M3M4_PR_M GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M3M4_PR_MR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_MR
+
+VIARULE M3M4_PR_MR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M3M4_PR_C DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.19 0.19 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_C
+
+VIARULE M3M4_PR_C GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M4M5_PR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR
+
+VIARULE M4M5_PR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M4M5_PR_R DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_R
+
+VIARULE M4M5_PR_R GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M4M5_PR_M DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_M
+
+VIARULE M4M5_PR_M GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M4M5_PR_MR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_MR
+
+VIARULE M4M5_PR_MR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M4M5_PR_C DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_C
+
+VIARULE M4M5_PR_C GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_C
+###  end of single via cells   ###
+
+
+MACRO sky130_fd_sc_hd__dlxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 0.415000 5.435000 0.745000 ;
+        RECT 5.150000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.770000  2.255000 3.605000 2.425000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.175000 1.165000 ;
+      RECT 3.435000  1.165000 4.175000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.685000  0.085000 4.015000 0.530000 ;
+      RECT 3.775000  2.135000 3.945000 2.635000 ;
+      RECT 3.840000  1.535000 4.515000 1.865000 ;
+      RECT 4.295000  0.415000 4.515000 0.745000 ;
+      RECT 4.295000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.515000 0.995000 ;
+      RECT 4.345000  0.995000 5.095000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.695000  0.085000 4.900000 0.715000 ;
+      RECT 4.695000  1.570000 4.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxtp_1
+MACRO sky130_fd_sc_hd__a41o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.995000 1.915000 1.325000 ;
+        RECT 1.535000 1.325000 1.835000 1.620000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.700000 0.415000 2.650000 0.600000 ;
+        RECT 2.225000 0.600000 2.445000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.995000 3.085000 1.625000 ;
+        RECT 2.880000 0.395000 3.085000 0.995000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 0.995000 3.570000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.635000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.300000 0.425000 0.560000 ;
+        RECT 0.085000 0.560000 0.345000 2.165000 ;
+        RECT 0.085000 2.165000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  0.735000 1.530000 0.810000 ;
+      RECT 0.515000  0.810000 1.335000 0.905000 ;
+      RECT 0.515000  0.905000 0.685000 1.825000 ;
+      RECT 0.515000  1.825000 1.365000 1.995000 ;
+      RECT 0.595000  0.085000 0.925000 0.565000 ;
+      RECT 0.595000  2.175000 0.845000 2.635000 ;
+      RECT 1.035000  1.995000 1.365000 2.425000 ;
+      RECT 1.115000  0.300000 1.530000 0.735000 ;
+      RECT 1.535000  1.795000 3.505000 1.965000 ;
+      RECT 1.535000  1.965000 1.705000 2.465000 ;
+      RECT 1.915000  2.175000 2.165000 2.635000 ;
+      RECT 2.375000  1.965000 2.545000 2.465000 ;
+      RECT 2.845000  2.175000 3.095000 2.635000 ;
+      RECT 3.255000  0.085000 3.595000 0.810000 ;
+      RECT 3.335000  1.965000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_1
+MACRO sky130_fd_sc_hd__a41o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.785000 0.730000 4.005000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.085000 1.075000 3.550000 1.245000 ;
+        RECT 3.335000 0.745000 3.550000 1.075000 ;
+        RECT 3.335000 1.245000 3.550000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 2.855000 1.435000 ;
+        RECT 2.685000 1.435000 3.090000 1.625000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 2.335000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.730000 1.295000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.295000 0.765000 0.755000 ;
+        RECT 0.595000 0.755000 0.785000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.980000  0.635000 2.545000 0.805000 ;
+      RECT 0.980000  0.805000 1.150000 1.495000 ;
+      RECT 0.980000  1.495000 1.785000 1.665000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  1.665000 1.785000 2.425000 ;
+      RECT 1.495000  0.255000 1.705000 0.635000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 1.955000  1.795000 3.965000 1.965000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.335000  2.175000 2.585000 2.635000 ;
+      RECT 2.375000  0.295000 4.045000 0.465000 ;
+      RECT 2.375000  0.465000 2.545000 0.635000 ;
+      RECT 2.795000  1.965000 2.965000 2.465000 ;
+      RECT 3.335000  2.175000 3.585000 2.635000 ;
+      RECT 3.795000  1.965000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_2
+MACRO sky130_fd_sc_hd__a41o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.065000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 4.975000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.075000 6.185000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.495000 1.075000 7.505000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.075000 3.145000 1.280000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.635000 1.605000 0.805000 ;
+        RECT 0.150000 0.805000 0.320000 1.575000 ;
+        RECT 0.150000 1.575000 1.605000 1.745000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.490000  1.075000 1.945000 1.245000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.125000 0.465000 ;
+      RECT 1.775000  0.645000 3.905000 0.815000 ;
+      RECT 1.775000  0.815000 1.945000 1.075000 ;
+      RECT 1.775000  1.245000 1.945000 1.455000 ;
+      RECT 1.775000  1.455000 2.965000 1.625000 ;
+      RECT 1.775000  1.915000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 2.465000 0.645000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.375000  2.295000 3.405000 2.465000 ;
+      RECT 2.635000  0.085000 2.965000 0.465000 ;
+      RECT 2.715000  1.955000 3.045000 2.125000 ;
+      RECT 2.795000  1.625000 2.965000 1.955000 ;
+      RECT 3.155000  0.295000 4.245000 0.465000 ;
+      RECT 3.235000  1.535000 7.370000 1.705000 ;
+      RECT 3.235000  1.705000 3.405000 2.295000 ;
+      RECT 3.575000  1.915000 3.905000 2.635000 ;
+      RECT 4.075000  0.465000 4.245000 0.645000 ;
+      RECT 4.075000  0.645000 5.165000 0.815000 ;
+      RECT 4.075000  1.705000 4.245000 2.465000 ;
+      RECT 4.415000  0.295000 6.105000 0.465000 ;
+      RECT 4.415000  1.915000 4.745000 2.635000 ;
+      RECT 4.935000  1.705000 5.105000 2.465000 ;
+      RECT 5.345000  1.915000 6.035000 2.635000 ;
+      RECT 5.355000  0.645000 7.285000 0.815000 ;
+      RECT 6.275000  1.705000 6.445000 2.465000 ;
+      RECT 6.615000  0.085000 6.945000 0.465000 ;
+      RECT 6.615000  1.915000 6.945000 2.635000 ;
+      RECT 7.115000  0.255000 7.285000 0.645000 ;
+      RECT 7.115000  1.705000 7.285000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_4
+MACRO sky130_fd_sc_hd__dfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 1.005000 2.160000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.445000 11.875000 2.465000 ;
+        RECT 11.660000 0.825000 11.875000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.280000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.590000 0.735000 4.000000 0.965000 ;
+        RECT 3.590000 0.965000 3.920000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.830000 0.765000 4.000000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.460000 0.735000 7.835000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.510000 0.765000 7.680000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 0.735000 4.060000 0.780000 ;
+        RECT 3.770000 0.780000 7.740000 0.920000 ;
+        RECT 3.770000 0.920000 4.060000 0.965000 ;
+        RECT 7.450000 0.735000 7.740000 0.780000 ;
+        RECT 7.450000 0.920000 7.740000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.410000  0.635000  2.125000 0.825000 ;
+      RECT  1.410000  0.825000  1.580000 1.795000 ;
+      RECT  1.410000  1.795000  2.125000 1.965000 ;
+      RECT  1.435000  0.085000  1.785000 0.465000 ;
+      RECT  1.435000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.330000  0.705000  2.550000 1.575000 ;
+      RECT  2.330000  1.575000  2.830000 1.955000 ;
+      RECT  2.340000  2.250000  3.170000 2.420000 ;
+      RECT  2.405000  0.265000  3.400000 0.465000 ;
+      RECT  2.730000  0.645000  3.060000 1.015000 ;
+      RECT  3.000000  1.195000  3.400000 1.235000 ;
+      RECT  3.000000  1.235000  4.350000 1.405000 ;
+      RECT  3.000000  1.405000  3.170000 2.250000 ;
+      RECT  3.230000  0.465000  3.400000 1.195000 ;
+      RECT  3.340000  1.575000  3.590000 1.785000 ;
+      RECT  3.340000  1.785000  4.690000 2.035000 ;
+      RECT  3.410000  2.205000  3.790000 2.635000 ;
+      RECT  3.570000  0.085000  3.740000 0.525000 ;
+      RECT  3.910000  0.255000  5.080000 0.425000 ;
+      RECT  3.910000  0.425000  4.240000 0.545000 ;
+      RECT  4.090000  2.035000  4.260000 2.375000 ;
+      RECT  4.100000  1.405000  4.350000 1.485000 ;
+      RECT  4.130000  1.155000  4.350000 1.235000 ;
+      RECT  4.410000  0.595000  4.740000 0.765000 ;
+      RECT  4.520000  0.765000  4.740000 0.895000 ;
+      RECT  4.520000  0.895000  5.830000 1.065000 ;
+      RECT  4.520000  1.065000  4.690000 1.785000 ;
+      RECT  4.860000  1.235000  5.190000 1.415000 ;
+      RECT  4.860000  1.415000  5.865000 1.655000 ;
+      RECT  4.880000  1.915000  5.210000 2.635000 ;
+      RECT  4.910000  0.425000  5.080000 0.715000 ;
+      RECT  5.350000  0.085000  5.680000 0.465000 ;
+      RECT  5.500000  1.065000  5.830000 1.235000 ;
+      RECT  6.065000  1.575000  6.300000 1.985000 ;
+      RECT  6.125000  0.705000  6.410000 1.125000 ;
+      RECT  6.125000  1.125000  6.745000 1.305000 ;
+      RECT  6.255000  2.250000  7.085000 2.420000 ;
+      RECT  6.320000  0.265000  7.085000 0.465000 ;
+      RECT  6.540000  1.305000  6.745000 1.905000 ;
+      RECT  6.915000  0.465000  7.085000 1.235000 ;
+      RECT  6.915000  1.235000  8.265000 1.405000 ;
+      RECT  6.915000  1.405000  7.085000 2.250000 ;
+      RECT  7.255000  1.575000  7.505000 1.915000 ;
+      RECT  7.255000  1.915000 10.030000 2.085000 ;
+      RECT  7.265000  0.085000  7.525000 0.525000 ;
+      RECT  7.325000  2.255000  7.705000 2.635000 ;
+      RECT  7.785000  0.255000  8.955000 0.425000 ;
+      RECT  7.785000  0.425000  8.115000 0.545000 ;
+      RECT  7.945000  2.085000  8.115000 2.375000 ;
+      RECT  8.045000  1.075000  8.265000 1.235000 ;
+      RECT  8.285000  0.595000  8.615000 0.780000 ;
+      RECT  8.435000  0.780000  8.615000 1.915000 ;
+      RECT  8.645000  2.255000 10.030000 2.635000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.785000  0.755000  9.475000 0.925000 ;
+      RECT  8.785000  0.925000  9.060000 1.575000 ;
+      RECT  8.785000  1.575000  9.545000 1.745000 ;
+      RECT  9.240000  0.265000  9.475000 0.755000 ;
+      RECT  9.700000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.110000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.655000  0.255000 10.970000 0.995000 ;
+      RECT 10.655000  0.995000 11.490000 1.325000 ;
+      RECT 10.655000  1.325000 10.970000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.150000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.785000  0.780000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  0.765000  1.240000 0.935000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.785000  2.620000 1.955000 ;
+      RECT  2.890000  0.765000  3.060000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.670000  1.445000  5.840000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.130000  1.105000  6.300000 1.275000 ;
+      RECT  6.130000  1.785000  6.300000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.890000  1.445000  9.060000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 6.360000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.120000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.390000 1.755000 2.680000 1.800000 ;
+      RECT 2.390000 1.940000 2.680000 1.985000 ;
+      RECT 2.830000 0.735000 3.120000 0.780000 ;
+      RECT 2.830000 0.920000 3.120000 0.965000 ;
+      RECT 2.925000 0.965000 3.120000 1.120000 ;
+      RECT 2.925000 1.120000 6.360000 1.260000 ;
+      RECT 5.610000 1.415000 5.900000 1.460000 ;
+      RECT 5.610000 1.460000 9.120000 1.600000 ;
+      RECT 5.610000 1.600000 5.900000 1.645000 ;
+      RECT 6.070000 1.075000 6.360000 1.120000 ;
+      RECT 6.070000 1.260000 6.360000 1.305000 ;
+      RECT 6.070000 1.755000 6.360000 1.800000 ;
+      RECT 6.070000 1.940000 6.360000 1.985000 ;
+      RECT 8.830000 1.415000 9.120000 1.460000 ;
+      RECT 8.830000 1.600000 9.120000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbp_1
+MACRO sky130_fd_sc_hd__xor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.965000 1.075000 8.375000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.145000 0.995000 7.315000 1.445000 ;
+        RECT 7.145000 1.445000 7.725000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.320000 0.995000 2.955000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.660000 1.050000 0.925000 ;
+        RECT 0.545000 0.925000 0.860000 1.440000 ;
+        RECT 0.545000 1.440000 1.070000 2.045000 ;
+        RECT 0.800000 0.350000 1.050000 0.660000 ;
+        RECT 0.820000 2.045000 1.070000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.300000  0.085000 0.630000 0.465000 ;
+      RECT 0.300000  2.215000 0.650000 2.635000 ;
+      RECT 1.210000  0.995000 1.410000 1.325000 ;
+      RECT 1.220000  0.085000 1.470000 0.525000 ;
+      RECT 1.240000  0.695000 1.810000 0.865000 ;
+      RECT 1.240000  0.865000 1.410000 0.995000 ;
+      RECT 1.240000  1.325000 1.410000 1.875000 ;
+      RECT 1.240000  1.875000 1.930000 2.045000 ;
+      RECT 1.240000  2.215000 1.575000 2.635000 ;
+      RECT 1.640000  0.255000 3.200000 0.425000 ;
+      RECT 1.640000  0.425000 1.810000 0.695000 ;
+      RECT 1.645000  1.535000 3.295000 1.705000 ;
+      RECT 1.760000  2.045000 1.930000 2.235000 ;
+      RECT 1.760000  2.235000 3.355000 2.405000 ;
+      RECT 1.980000  0.595000 2.150000 1.535000 ;
+      RECT 2.330000  1.895000 3.635000 2.065000 ;
+      RECT 2.430000  0.655000 3.540000 0.825000 ;
+      RECT 2.850000  0.425000 3.200000 0.455000 ;
+      RECT 3.125000  0.995000 3.400000 1.325000 ;
+      RECT 3.125000  1.325000 3.295000 1.535000 ;
+      RECT 3.370000  0.255000 4.220000 0.425000 ;
+      RECT 3.370000  0.425000 3.540000 0.655000 ;
+      RECT 3.465000  1.525000 3.995000 1.695000 ;
+      RECT 3.465000  1.695000 3.635000 1.895000 ;
+      RECT 3.570000  2.235000 3.975000 2.405000 ;
+      RECT 3.710000  0.595000 3.880000 1.375000 ;
+      RECT 3.710000  1.375000 3.995000 1.525000 ;
+      RECT 3.805000  1.895000 4.980000 2.065000 ;
+      RECT 3.805000  2.065000 3.975000 2.235000 ;
+      RECT 4.050000  0.425000 4.220000 1.035000 ;
+      RECT 4.050000  1.035000 4.335000 1.205000 ;
+      RECT 4.145000  2.235000 4.475000 2.635000 ;
+      RECT 4.165000  1.205000 4.335000 1.895000 ;
+      RECT 4.390000  0.085000 4.560000 0.865000 ;
+      RECT 4.565000  1.445000 4.980000 1.715000 ;
+      RECT 4.740000  0.415000 4.980000 1.445000 ;
+      RECT 4.810000  2.065000 4.980000 2.275000 ;
+      RECT 4.810000  2.275000 7.905000 2.445000 ;
+      RECT 5.155000  0.265000 5.570000 0.485000 ;
+      RECT 5.155000  0.485000 5.375000 0.595000 ;
+      RECT 5.155000  0.595000 5.325000 2.105000 ;
+      RECT 5.495000  0.720000 5.910000 0.825000 ;
+      RECT 5.495000  0.825000 5.715000 0.890000 ;
+      RECT 5.495000  0.890000 5.665000 2.275000 ;
+      RECT 5.545000  0.655000 5.910000 0.720000 ;
+      RECT 5.740000  0.320000 5.910000 0.655000 ;
+      RECT 5.855000  1.445000 6.635000 1.615000 ;
+      RECT 5.855000  1.615000 6.270000 2.045000 ;
+      RECT 5.870000  0.995000 6.295000 1.270000 ;
+      RECT 6.080000  0.630000 6.295000 0.995000 ;
+      RECT 6.465000  0.255000 7.610000 0.425000 ;
+      RECT 6.465000  0.425000 6.635000 1.445000 ;
+      RECT 6.805000  0.595000 6.975000 1.935000 ;
+      RECT 6.805000  1.935000 9.115000 2.105000 ;
+      RECT 7.145000  0.425000 7.610000 0.465000 ;
+      RECT 7.485000  0.730000 7.690000 0.945000 ;
+      RECT 7.485000  0.945000 7.795000 1.275000 ;
+      RECT 7.895000  1.495000 8.715000 1.705000 ;
+      RECT 7.935000  0.295000 8.225000 0.735000 ;
+      RECT 7.935000  0.735000 8.715000 0.750000 ;
+      RECT 7.975000  0.750000 8.715000 0.905000 ;
+      RECT 8.315000  2.275000 8.650000 2.635000 ;
+      RECT 8.395000  0.085000 8.565000 0.565000 ;
+      RECT 8.545000  0.905000 8.715000 0.995000 ;
+      RECT 8.545000  0.995000 8.775000 1.325000 ;
+      RECT 8.545000  1.325000 8.715000 1.495000 ;
+      RECT 8.630000  1.875000 9.115000 1.935000 ;
+      RECT 8.815000  0.255000 9.115000 0.585000 ;
+      RECT 8.820000  2.105000 9.115000 2.465000 ;
+      RECT 8.945000  0.585000 9.115000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  1.445000 3.995000 1.615000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.425000 5.375000 0.595000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  0.765000 6.295000 0.935000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.765000 7.675000 0.935000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.425000 8.135000 0.595000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.765000 1.415000 4.055000 1.460000 ;
+      RECT 3.765000 1.460000 6.355000 1.600000 ;
+      RECT 3.765000 1.600000 4.055000 1.645000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.780000 7.735000 0.920000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 0.395000 5.435000 0.440000 ;
+      RECT 5.145000 0.440000 8.195000 0.580000 ;
+      RECT 5.145000 0.580000 5.435000 0.625000 ;
+      RECT 6.065000 0.735000 6.355000 0.780000 ;
+      RECT 6.065000 0.920000 6.355000 0.965000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+      RECT 7.445000 0.735000 7.735000 0.780000 ;
+      RECT 7.445000 0.920000 7.735000 0.965000 ;
+      RECT 7.905000 0.395000 8.195000 0.440000 ;
+      RECT 7.905000 0.580000 8.195000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_2
+MACRO sky130_fd_sc_hd__xor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.860000 0.995000 2.495000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.590000 0.925000 ;
+        RECT 0.085000 0.925000 0.400000 1.440000 ;
+        RECT 0.085000 1.440000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.750000  0.995000 0.950000 1.325000 ;
+      RECT 0.760000  0.085000 1.010000 0.525000 ;
+      RECT 0.780000  0.695000 1.350000 0.865000 ;
+      RECT 0.780000  0.865000 0.950000 0.995000 ;
+      RECT 0.780000  1.325000 0.950000 1.875000 ;
+      RECT 0.780000  1.875000 1.470000 2.045000 ;
+      RECT 0.780000  2.215000 1.115000 2.635000 ;
+      RECT 1.180000  0.255000 2.740000 0.425000 ;
+      RECT 1.180000  0.425000 1.350000 0.695000 ;
+      RECT 1.185000  1.535000 2.835000 1.705000 ;
+      RECT 1.300000  2.045000 1.470000 2.235000 ;
+      RECT 1.300000  2.235000 2.895000 2.405000 ;
+      RECT 1.520000  0.595000 1.690000 1.535000 ;
+      RECT 1.870000  1.895000 3.175000 2.065000 ;
+      RECT 1.970000  0.655000 3.080000 0.825000 ;
+      RECT 2.390000  0.425000 2.740000 0.455000 ;
+      RECT 2.665000  0.995000 2.940000 1.325000 ;
+      RECT 2.665000  1.325000 2.835000 1.535000 ;
+      RECT 2.910000  0.255000 3.760000 0.425000 ;
+      RECT 2.910000  0.425000 3.080000 0.655000 ;
+      RECT 3.005000  1.525000 3.535000 1.695000 ;
+      RECT 3.005000  1.695000 3.175000 1.895000 ;
+      RECT 3.110000  2.235000 3.515000 2.405000 ;
+      RECT 3.250000  0.595000 3.420000 1.375000 ;
+      RECT 3.250000  1.375000 3.535000 1.525000 ;
+      RECT 3.345000  1.895000 4.520000 2.065000 ;
+      RECT 3.345000  2.065000 3.515000 2.235000 ;
+      RECT 3.590000  0.425000 3.760000 1.035000 ;
+      RECT 3.590000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.930000  0.085000 4.100000 0.865000 ;
+      RECT 4.105000  1.445000 4.520000 1.715000 ;
+      RECT 4.280000  0.415000 4.520000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.695000  0.265000 5.110000 0.485000 ;
+      RECT 4.695000  0.485000 4.915000 0.595000 ;
+      RECT 4.695000  0.595000 4.865000 2.105000 ;
+      RECT 5.035000  0.720000 5.450000 0.825000 ;
+      RECT 5.035000  0.825000 5.255000 0.890000 ;
+      RECT 5.035000  0.890000 5.205000 2.275000 ;
+      RECT 5.085000  0.655000 5.450000 0.720000 ;
+      RECT 5.280000  0.320000 5.450000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.620000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_1
+MACRO sky130_fd_sc_hd__xor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.525000 1.075000 8.935000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.705000 0.995000 7.875000 1.445000 ;
+        RECT 7.705000 1.445000 8.285000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.880000 0.995000 3.515000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.350000 0.765000 0.660000 ;
+        RECT 0.595000 0.660000 1.605000 0.830000 ;
+        RECT 0.595000 0.830000 1.535000 0.925000 ;
+        RECT 0.695000 1.440000 1.420000 1.455000 ;
+        RECT 0.695000 1.455000 1.705000 2.045000 ;
+        RECT 0.695000 2.045000 0.865000 2.465000 ;
+        RECT 1.105000 0.925000 1.420000 1.440000 ;
+        RECT 1.435000 0.350000 1.605000 0.660000 ;
+        RECT 1.535000 2.045000 1.705000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 10.120000 0.085000 ;
+        RECT 0.175000  0.085000  0.345000 0.545000 ;
+        RECT 0.935000  0.085000  1.265000 0.465000 ;
+        RECT 1.855000  0.085000  2.025000 0.525000 ;
+        RECT 4.950000  0.085000  5.120000 0.885000 ;
+        RECT 8.995000  0.085000  9.165000 0.565000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+        RECT 4.285000 -0.085000 4.455000 0.085000 ;
+        RECT 4.745000 -0.085000 4.915000 0.085000 ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+        RECT 5.665000 -0.085000 5.835000 0.085000 ;
+        RECT 6.125000 -0.085000 6.295000 0.085000 ;
+        RECT 6.585000 -0.085000 6.755000 0.085000 ;
+        RECT 7.045000 -0.085000 7.215000 0.085000 ;
+        RECT 7.505000 -0.085000 7.675000 0.085000 ;
+        RECT 7.965000 -0.085000 8.135000 0.085000 ;
+        RECT 8.425000 -0.085000 8.595000 0.085000 ;
+        RECT 8.885000 -0.085000 9.055000 0.085000 ;
+        RECT 9.345000 -0.085000 9.515000 0.085000 ;
+        RECT 9.805000 -0.085000 9.975000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.235000 -0.085000 0.405000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 10.120000 2.805000 ;
+        RECT 0.275000 2.135000  0.445000 2.635000 ;
+        RECT 1.035000 2.215000  1.365000 2.635000 ;
+        RECT 1.875000 2.215000  2.205000 2.635000 ;
+        RECT 4.705000 2.235000  5.035000 2.635000 ;
+        RECT 8.915000 2.275000  9.245000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+        RECT 7.505000 2.635000 7.675000 2.805000 ;
+        RECT 7.965000 2.635000 8.135000 2.805000 ;
+        RECT 8.425000 2.635000 8.595000 2.805000 ;
+        RECT 8.885000 2.635000 9.055000 2.805000 ;
+        RECT 9.345000 2.635000 9.515000 2.805000 ;
+        RECT 9.805000 2.635000 9.975000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.820000 0.965000 2.045000 1.325000 ;
+      RECT 1.875000 0.695000 2.365000 0.865000 ;
+      RECT 1.875000 0.865000 2.045000 0.965000 ;
+      RECT 1.875000 1.325000 2.045000 1.875000 ;
+      RECT 1.875000 1.875000 2.545000 2.045000 ;
+      RECT 2.195000 0.255000 3.760000 0.425000 ;
+      RECT 2.195000 0.425000 2.365000 0.695000 ;
+      RECT 2.370000 1.535000 3.855000 1.705000 ;
+      RECT 2.375000 2.045000 2.545000 2.235000 ;
+      RECT 2.375000 2.235000 3.915000 2.405000 ;
+      RECT 2.540000 0.595000 2.710000 1.535000 ;
+      RECT 2.890000 1.895000 4.195000 2.065000 ;
+      RECT 2.990000 0.655000 4.100000 0.825000 ;
+      RECT 3.410000 0.425000 3.760000 0.455000 ;
+      RECT 3.685000 0.995000 4.055000 1.325000 ;
+      RECT 3.685000 1.325000 3.855000 1.535000 ;
+      RECT 3.930000 0.255000 4.780000 0.425000 ;
+      RECT 3.930000 0.425000 4.100000 0.655000 ;
+      RECT 4.025000 1.525000 4.555000 1.695000 ;
+      RECT 4.025000 1.695000 4.195000 1.895000 ;
+      RECT 4.130000 2.235000 4.535000 2.405000 ;
+      RECT 4.270000 0.595000 4.440000 1.375000 ;
+      RECT 4.270000 1.375000 4.555000 1.525000 ;
+      RECT 4.365000 1.895000 5.540000 2.065000 ;
+      RECT 4.365000 2.065000 4.535000 2.235000 ;
+      RECT 4.610000 0.425000 4.780000 1.035000 ;
+      RECT 4.610000 1.035000 4.865000 1.040000 ;
+      RECT 4.610000 1.040000 4.880000 1.045000 ;
+      RECT 4.610000 1.045000 4.890000 1.050000 ;
+      RECT 4.610000 1.050000 4.895000 1.205000 ;
+      RECT 4.725000 1.205000 4.895000 1.895000 ;
+      RECT 5.125000 1.445000 5.540000 1.715000 ;
+      RECT 5.300000 0.415000 5.540000 1.445000 ;
+      RECT 5.370000 2.065000 5.540000 2.275000 ;
+      RECT 5.370000 2.275000 8.465000 2.445000 ;
+      RECT 5.715000 0.265000 6.130000 0.485000 ;
+      RECT 5.715000 0.485000 5.935000 0.595000 ;
+      RECT 5.715000 0.595000 5.885000 2.105000 ;
+      RECT 6.075000 0.720000 6.470000 0.825000 ;
+      RECT 6.075000 0.825000 6.275000 0.890000 ;
+      RECT 6.075000 0.890000 6.245000 2.275000 ;
+      RECT 6.105000 0.655000 6.470000 0.720000 ;
+      RECT 6.300000 0.320000 6.470000 0.655000 ;
+      RECT 6.415000 1.445000 7.195000 1.615000 ;
+      RECT 6.415000 1.615000 6.830000 2.045000 ;
+      RECT 6.430000 0.995000 6.855000 1.270000 ;
+      RECT 6.640000 0.630000 6.855000 0.995000 ;
+      RECT 7.025000 0.255000 8.170000 0.425000 ;
+      RECT 7.025000 0.425000 7.195000 1.445000 ;
+      RECT 7.365000 0.595000 7.535000 1.935000 ;
+      RECT 7.365000 1.935000 9.675000 2.105000 ;
+      RECT 7.705000 0.425000 8.170000 0.465000 ;
+      RECT 8.045000 0.730000 8.250000 0.945000 ;
+      RECT 8.045000 0.945000 8.355000 1.275000 ;
+      RECT 8.455000 1.495000 9.275000 1.705000 ;
+      RECT 8.495000 0.295000 8.785000 0.735000 ;
+      RECT 8.495000 0.735000 9.275000 0.750000 ;
+      RECT 8.535000 0.750000 9.275000 0.905000 ;
+      RECT 9.105000 0.905000 9.275000 0.995000 ;
+      RECT 9.105000 0.995000 9.335000 1.325000 ;
+      RECT 9.105000 1.325000 9.275000 1.495000 ;
+      RECT 9.190000 1.875000 9.675000 1.935000 ;
+      RECT 9.415000 0.255000 9.675000 0.585000 ;
+      RECT 9.415000 2.105000 9.675000 2.465000 ;
+      RECT 9.505000 0.585000 9.675000 1.875000 ;
+    LAYER mcon ;
+      RECT 4.385000 1.445000 4.555000 1.615000 ;
+      RECT 5.305000 0.765000 5.475000 0.935000 ;
+      RECT 5.765000 0.425000 5.935000 0.595000 ;
+      RECT 6.685000 0.765000 6.855000 0.935000 ;
+      RECT 6.685000 1.445000 6.855000 1.615000 ;
+      RECT 8.065000 0.765000 8.235000 0.935000 ;
+      RECT 8.525000 0.425000 8.695000 0.595000 ;
+    LAYER met1 ;
+      RECT 4.325000 1.415000 4.615000 1.460000 ;
+      RECT 4.325000 1.460000 6.915000 1.600000 ;
+      RECT 4.325000 1.600000 4.615000 1.645000 ;
+      RECT 5.245000 0.735000 5.535000 0.780000 ;
+      RECT 5.245000 0.780000 8.295000 0.920000 ;
+      RECT 5.245000 0.920000 5.535000 0.965000 ;
+      RECT 5.705000 0.395000 5.995000 0.440000 ;
+      RECT 5.705000 0.440000 8.755000 0.580000 ;
+      RECT 5.705000 0.580000 5.995000 0.625000 ;
+      RECT 6.625000 0.735000 6.915000 0.780000 ;
+      RECT 6.625000 0.920000 6.915000 0.965000 ;
+      RECT 6.625000 1.415000 6.915000 1.460000 ;
+      RECT 6.625000 1.600000 6.915000 1.645000 ;
+      RECT 8.005000 0.735000 8.295000 0.780000 ;
+      RECT 8.005000 0.920000 8.295000 0.965000 ;
+      RECT 8.465000 0.395000 8.755000 0.440000 ;
+      RECT 8.465000 0.580000 8.755000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_4
+MACRO sky130_fd_sc_hd__o31a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.995000 1.760000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.995000 2.190000 1.325000 ;
+        RECT 1.990000 1.325000 2.190000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.640000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.255000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.577500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.860000 1.295000 ;
+        RECT 0.550000 0.265000 0.990000 0.825000 ;
+        RECT 0.550000 0.825000 0.860000 1.075000 ;
+        RECT 0.550000 1.295000 0.860000 1.835000 ;
+        RECT 0.550000 1.835000 0.990000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.905000 ;
+      RECT 0.085000  1.465000 0.380000 2.635000 ;
+      RECT 1.030000  0.995000 1.200000 1.445000 ;
+      RECT 1.030000  1.445000 1.820000 1.615000 ;
+      RECT 1.160000  0.085000 1.610000 0.825000 ;
+      RECT 1.165000  1.785000 1.480000 2.635000 ;
+      RECT 1.650000  1.615000 1.820000 2.295000 ;
+      RECT 1.650000  2.295000 3.080000 2.465000 ;
+      RECT 1.780000  0.255000 1.950000 0.655000 ;
+      RECT 1.780000  0.655000 2.940000 0.825000 ;
+      RECT 2.120000  0.085000 2.540000 0.485000 ;
+      RECT 2.710000  0.255000 2.940000 0.655000 ;
+      RECT 2.830000  1.495000 3.595000 1.665000 ;
+      RECT 2.830000  1.665000 3.080000 2.295000 ;
+      RECT 3.110000  0.255000 3.595000 0.825000 ;
+      RECT 3.255000  1.835000 3.590000 2.635000 ;
+      RECT 3.425000  0.825000 3.595000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_2
+MACRO sky130_fd_sc_hd__o31a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.295000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.725000 1.325000 ;
+        RECT 1.525000 1.325000 1.725000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.995000 2.175000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.795000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.594000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.525000 0.825000 ;
+        RECT 0.085000 0.825000 0.395000 1.835000 ;
+        RECT 0.085000 1.835000 0.525000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.995000 0.735000 1.445000 ;
+      RECT 0.565000  1.445000 1.355000 1.615000 ;
+      RECT 0.695000  0.085000 1.145000 0.825000 ;
+      RECT 0.700000  1.785000 1.015000 2.635000 ;
+      RECT 1.185000  1.615000 1.355000 2.295000 ;
+      RECT 1.185000  2.295000 2.615000 2.465000 ;
+      RECT 1.315000  0.255000 1.485000 0.655000 ;
+      RECT 1.315000  0.655000 2.475000 0.825000 ;
+      RECT 1.655000  0.085000 2.075000 0.485000 ;
+      RECT 2.245000  0.255000 2.475000 0.655000 ;
+      RECT 2.365000  1.495000 3.135000 1.665000 ;
+      RECT 2.365000  1.665000 2.615000 2.295000 ;
+      RECT 2.645000  0.255000 3.135000 0.825000 ;
+      RECT 2.795000  1.835000 3.125000 2.635000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_1
+MACRO sky130_fd_sc_hd__o31a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 1.055000 5.470000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 1.055000 4.970000 1.360000 ;
+        RECT 4.680000 1.360000 4.970000 1.530000 ;
+        RECT 4.680000 1.530000 6.355000 1.700000 ;
+        RECT 5.640000 1.055000 6.355000 1.530000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.765000 1.055000 4.095000 1.360000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 1.055000 3.575000 1.355000 ;
+        RECT 2.780000 1.355000 3.150000 1.695000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.765000 0.885000 ;
+        RECT 0.085000 0.885000 0.735000 1.460000 ;
+        RECT 0.085000 1.460000 1.750000 1.665000 ;
+        RECT 0.680000 0.255000 0.895000 0.655000 ;
+        RECT 0.680000 0.655000 1.765000 0.715000 ;
+        RECT 0.680000 1.665000 0.895000 2.465000 ;
+        RECT 1.565000 0.255000 1.765000 0.655000 ;
+        RECT 1.565000 1.665000 1.750000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.085000 0.510000 0.545000 ;
+      RECT 0.085000  1.835000 0.510000 2.635000 ;
+      RECT 0.905000  1.055000 2.610000 1.290000 ;
+      RECT 1.065000  0.085000 1.395000 0.485000 ;
+      RECT 1.065000  1.835000 1.395000 2.635000 ;
+      RECT 1.920000  1.460000 2.250000 2.635000 ;
+      RECT 1.935000  0.085000 2.250000 0.885000 ;
+      RECT 2.440000  0.255000 3.570000 0.465000 ;
+      RECT 2.440000  0.635000 3.210000 0.885000 ;
+      RECT 2.440000  0.885000 2.610000 1.055000 ;
+      RECT 2.440000  1.290000 2.610000 1.870000 ;
+      RECT 2.440000  1.870000 4.090000 2.070000 ;
+      RECT 2.440000  2.070000 2.610000 2.465000 ;
+      RECT 2.780000  2.240000 3.110000 2.635000 ;
+      RECT 3.320000  1.530000 4.510000 1.700000 ;
+      RECT 3.380000  0.465000 3.570000 0.635000 ;
+      RECT 3.380000  0.635000 6.355000 0.885000 ;
+      RECT 3.760000  0.085000 4.090000 0.445000 ;
+      RECT 3.760000  2.070000 4.090000 2.465000 ;
+      RECT 4.260000  0.255000 4.430000 0.635000 ;
+      RECT 4.260000  1.700000 4.510000 2.465000 ;
+      RECT 4.600000  0.085000 4.930000 0.445000 ;
+      RECT 4.680000  1.870000 5.720000 2.070000 ;
+      RECT 4.680000  2.070000 4.850000 2.465000 ;
+      RECT 5.020000  2.240000 5.350000 2.635000 ;
+      RECT 5.100000  0.255000 5.270000 0.635000 ;
+      RECT 5.440000  0.085000 5.770000 0.445000 ;
+      RECT 5.520000  2.070000 5.720000 2.465000 ;
+      RECT 5.890000  1.870000 6.355000 2.465000 ;
+      RECT 5.940000  0.255000 6.355000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.125000 6.295000 2.295000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.355000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 6.065000 2.095000 6.355000 2.140000 ;
+      RECT 6.065000 2.280000 6.355000 2.325000 ;
+  END
+END sky130_fd_sc_hd__o31a_4
+MACRO sky130_fd_sc_hd__nor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.655000 1.755000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.005000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.425000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.604500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.385000 0.345000 0.655000 ;
+        RECT 0.090000 0.655000 1.315000 0.825000 ;
+        RECT 0.090000 1.495000 0.425000 2.280000 ;
+        RECT 0.090000 2.280000 1.170000 2.450000 ;
+        RECT 1.000000 1.495000 1.315000 1.665000 ;
+        RECT 1.000000 1.665000 1.170000 2.280000 ;
+        RECT 1.015000 0.385000 1.185000 0.655000 ;
+        RECT 1.145000 0.825000 1.315000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.435000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_1
+MACRO sky130_fd_sc_hd__nor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.185000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.075000 2.965000 1.285000 ;
+        RECT 2.375000 1.285000 2.640000 1.625000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.595000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.755000 0.255000 3.085000 0.725000 ;
+        RECT 2.835000 1.455000 3.595000 1.625000 ;
+        RECT 2.835000 1.625000 3.045000 2.125000 ;
+        RECT 3.135000 0.905000 3.595000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.465000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.585000 0.555000 ;
+      RECT 2.415000  1.795000 2.625000 2.295000 ;
+      RECT 3.215000  1.795000 3.465000 2.295000 ;
+      RECT 3.255000  0.085000 3.545000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_2
+MACRO sky130_fd_sc_hd__nor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.685000 1.285000 ;
+        RECT 3.515000 1.285000 3.685000 1.445000 ;
+        RECT 3.515000 1.445000 5.165000 1.615000 ;
+        RECT 4.995000 1.075000 5.415000 1.285000 ;
+        RECT 4.995000 1.285000 5.165000 1.445000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.855000 1.075000 4.765000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 5.895000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.515000 1.785000 5.895000 1.955000 ;
+        RECT 3.515000 1.955000 4.605000 1.965000 ;
+        RECT 3.515000 1.965000 3.765000 2.125000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 4.355000 1.965000 4.605000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 5.605000 0.255000 5.895000 0.725000 ;
+        RECT 5.605000 0.905000 5.895000 1.785000 ;
+        RECT 5.615000 1.955000 5.895000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.085000 ;
+      RECT 1.835000  2.085000 2.925000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 3.345000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 1.915000 ;
+      RECT 2.675000  1.795000 2.925000 2.085000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.295000 ;
+      RECT 3.095000  2.295000 5.025000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 3.935000  2.135000 4.185000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 4.775000  2.135000 5.025000 2.295000 ;
+      RECT 5.195000  2.125000 5.445000 2.465000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.125000 2.615000 2.295000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.125000 5.375000 2.295000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 2.065000 2.680000 2.140000 ;
+      RECT 2.385000 2.140000 5.440000 2.280000 ;
+      RECT 2.385000 2.280000 2.680000 2.335000 ;
+      RECT 5.145000 2.065000 5.440000 2.140000 ;
+      RECT 5.145000 2.280000 5.440000 2.335000 ;
+  END
+END sky130_fd_sc_hd__nor3_4
+MACRO sky130_fd_sc_hd__o311a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.450000 0.995000 1.790000 1.325000 ;
+        RECT 1.520000 1.325000 1.790000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.270000 1.325000 ;
+        RECT 1.980000 1.325000 2.215000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.840000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.355000 1.070000 ;
+        RECT 0.085000 1.070000 0.435000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.525000  0.085000 1.195000 0.825000 ;
+      RECT 0.605000  0.995000 0.775000 1.495000 ;
+      RECT 0.605000  1.495000 1.350000 1.665000 ;
+      RECT 0.605000  1.835000 1.010000 2.635000 ;
+      RECT 1.180000  1.665000 1.350000 2.295000 ;
+      RECT 1.180000  2.295000 2.715000 2.465000 ;
+      RECT 1.365000  0.310000 1.660000 0.655000 ;
+      RECT 1.365000  0.655000 2.760000 0.825000 ;
+      RECT 1.840000  0.085000 2.215000 0.485000 ;
+      RECT 2.385000  1.495000 3.595000 1.665000 ;
+      RECT 2.385000  1.665000 2.715000 2.295000 ;
+      RECT 2.430000  0.310000 2.760000 0.655000 ;
+      RECT 2.900000  1.835000 3.135000 2.635000 ;
+      RECT 3.010000  0.255000 3.595000 0.825000 ;
+      RECT 3.010000  0.825000 3.180000 1.495000 ;
+      RECT 3.305000  1.665000 3.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_1
+MACRO sky130_fd_sc_hd__o311a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.750000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.920000 0.995000 2.250000 1.325000 ;
+        RECT 1.980000 1.325000 2.250000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.730000 1.325000 ;
+        RECT 2.440000 1.325000 2.675000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.995000 3.300000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.810000 0.995000 4.055000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.905000 1.315000 ;
+        RECT 0.550000 0.255000 0.825000 0.995000 ;
+        RECT 0.550000 0.995000 0.905000 1.055000 ;
+        RECT 0.550000 1.315000 0.905000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.885000 ;
+      RECT 0.085000  1.485000 0.380000 2.635000 ;
+      RECT 0.995000  0.085000 1.665000 0.825000 ;
+      RECT 1.075000  0.995000 1.245000 1.495000 ;
+      RECT 1.075000  1.495000 1.810000 1.665000 ;
+      RECT 1.075000  1.835000 1.470000 2.635000 ;
+      RECT 1.640000  1.665000 1.810000 2.295000 ;
+      RECT 1.640000  2.295000 3.175000 2.465000 ;
+      RECT 1.835000  0.310000 2.120000 0.655000 ;
+      RECT 1.835000  0.655000 3.220000 0.825000 ;
+      RECT 2.300000  0.085000 2.675000 0.485000 ;
+      RECT 2.845000  1.495000 4.055000 1.665000 ;
+      RECT 2.845000  1.665000 3.175000 2.295000 ;
+      RECT 2.890000  0.310000 3.220000 0.655000 ;
+      RECT 3.360000  1.835000 3.595000 2.635000 ;
+      RECT 3.470000  0.255000 4.055000 0.825000 ;
+      RECT 3.470000  0.825000 3.640000 1.495000 ;
+      RECT 3.765000  1.665000 4.055000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_2
+MACRO sky130_fd_sc_hd__o311a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.950000 1.055000 7.735000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.020000 1.055000 6.770000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.655000 1.055000 5.850000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.055000 4.475000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.115000 1.055000 3.080000 1.315000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.765000 1.315000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 0.715000 1.605000 0.885000 ;
+        RECT 0.595000 0.885000 0.765000 1.055000 ;
+        RECT 0.595000 1.315000 0.765000 1.485000 ;
+        RECT 0.595000 1.485000 1.605000 1.725000 ;
+        RECT 0.595000 1.725000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.715000 ;
+        RECT 1.435000 1.725000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.885000 ;
+      RECT 0.085000  1.485000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.545000 ;
+      RECT 0.935000  1.055000 1.945000 1.315000 ;
+      RECT 0.935000  1.895000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.025000 0.545000 ;
+      RECT 1.775000  0.715000 3.045000 0.885000 ;
+      RECT 1.775000  0.885000 1.945000 1.055000 ;
+      RECT 1.775000  1.315000 1.945000 1.485000 ;
+      RECT 1.775000  1.485000 5.005000 1.725000 ;
+      RECT 1.775000  1.895000 2.445000 2.635000 ;
+      RECT 2.195000  0.255000 4.305000 0.505000 ;
+      RECT 2.195000  0.675000 3.045000 0.715000 ;
+      RECT 2.615000  1.725000 2.785000 2.465000 ;
+      RECT 2.955000  1.895000 3.285000 2.635000 ;
+      RECT 3.215000  0.505000 3.385000 0.885000 ;
+      RECT 3.455000  1.725000 3.625000 2.465000 ;
+      RECT 3.555000  0.675000 7.735000 0.885000 ;
+      RECT 3.855000  1.895000 4.045000 2.635000 ;
+      RECT 4.335000  1.895000 4.665000 2.295000 ;
+      RECT 4.335000  2.295000 6.445000 2.465000 ;
+      RECT 4.485000  0.255000 4.755000 0.675000 ;
+      RECT 4.835000  1.725000 5.005000 2.125000 ;
+      RECT 4.925000  0.085000 5.605000 0.505000 ;
+      RECT 5.255000  1.485000 5.525000 2.295000 ;
+      RECT 5.695000  1.485000 7.735000 1.725000 ;
+      RECT 5.695000  1.725000 5.945000 2.125000 ;
+      RECT 5.775000  0.255000 5.945000 0.675000 ;
+      RECT 6.115000  0.085000 6.445000 0.505000 ;
+      RECT 6.115000  1.895000 6.445000 2.295000 ;
+      RECT 6.615000  0.255000 6.785000 0.675000 ;
+      RECT 6.615000  1.725000 6.785000 2.125000 ;
+      RECT 6.955000  0.085000 7.285000 0.505000 ;
+      RECT 6.955000  1.895000 7.285000 2.635000 ;
+      RECT 7.455000  0.255000 7.735000 0.675000 ;
+      RECT 7.455000  1.725000 7.735000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_4
+MACRO sky130_fd_sc_hd__bufbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.230000 0.260000 3.560000 0.735000 ;
+        RECT 3.230000 0.735000 6.815000 0.905000 ;
+        RECT 3.230000 1.445000 6.815000 1.615000 ;
+        RECT 3.230000 1.615000 3.560000 2.465000 ;
+        RECT 4.070000 0.260000 4.400000 0.735000 ;
+        RECT 4.070000 1.615000 4.400000 2.465000 ;
+        RECT 4.910000 0.260000 5.240000 0.735000 ;
+        RECT 4.910000 1.615000 5.240000 2.465000 ;
+        RECT 5.750000 0.260000 6.080000 0.735000 ;
+        RECT 5.750000 1.615000 6.080000 2.465000 ;
+        RECT 6.435000 0.905000 6.815000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.095000  0.260000 0.425000 0.735000 ;
+      RECT 0.095000  0.735000 0.780000 0.905000 ;
+      RECT 0.095000  1.445000 0.780000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.160000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.785000 0.765000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 0.995000 ;
+      RECT 0.610000  0.995000 1.040000 1.325000 ;
+      RECT 0.610000  1.325000 0.780000 1.445000 ;
+      RECT 1.000000  0.260000 1.380000 0.825000 ;
+      RECT 1.000000  1.545000 1.380000 2.465000 ;
+      RECT 1.210000  0.825000 1.380000 1.075000 ;
+      RECT 1.210000  1.075000 2.720000 1.275000 ;
+      RECT 1.210000  1.275000 1.380000 1.545000 ;
+      RECT 1.550000  0.260000 1.880000 0.735000 ;
+      RECT 1.550000  0.735000 3.060000 0.905000 ;
+      RECT 1.550000  1.445000 3.060000 1.615000 ;
+      RECT 1.550000  1.615000 1.880000 2.465000 ;
+      RECT 2.050000  0.085000 2.220000 0.565000 ;
+      RECT 2.050000  1.785000 2.220000 2.635000 ;
+      RECT 2.390000  0.260000 2.720000 0.735000 ;
+      RECT 2.390000  1.615000 2.720000 2.465000 ;
+      RECT 2.890000  0.085000 3.060000 0.565000 ;
+      RECT 2.890000  0.905000 3.060000 1.075000 ;
+      RECT 2.890000  1.075000 5.360000 1.275000 ;
+      RECT 2.890000  1.275000 3.060000 1.445000 ;
+      RECT 2.890000  1.785000 3.060000 2.635000 ;
+      RECT 3.730000  0.085000 3.900000 0.565000 ;
+      RECT 3.730000  1.835000 3.900000 2.635000 ;
+      RECT 4.570000  0.085000 4.740000 0.565000 ;
+      RECT 4.570000  1.835000 4.740000 2.635000 ;
+      RECT 5.410000  0.085000 5.580000 0.565000 ;
+      RECT 5.410000  1.835000 5.580000 2.635000 ;
+      RECT 6.250000  0.085000 6.420000 0.565000 ;
+      RECT 6.250000  1.835000 6.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_8
+MACRO sky130_fd_sc_hd__bufbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  5.235000 0.255000  5.485000 0.260000 ;
+        RECT  5.235000 0.260000  5.565000 0.735000 ;
+        RECT  5.235000 0.735000 11.875000 0.905000 ;
+        RECT  5.235000 1.445000 11.875000 1.615000 ;
+        RECT  5.235000 1.615000  5.565000 2.465000 ;
+        RECT  6.075000 0.260000  6.405000 0.735000 ;
+        RECT  6.075000 1.615000  6.405000 2.465000 ;
+        RECT  6.155000 0.255000  6.325000 0.260000 ;
+        RECT  6.915000 0.260000  7.245000 0.735000 ;
+        RECT  6.915000 1.615000  7.245000 2.465000 ;
+        RECT  6.995000 0.255000  7.165000 0.260000 ;
+        RECT  7.755000 0.260000  8.085000 0.735000 ;
+        RECT  7.755000 1.615000  8.085000 2.465000 ;
+        RECT  8.595000 0.260000  8.925000 0.735000 ;
+        RECT  8.595000 1.615000  8.925000 2.465000 ;
+        RECT  9.435000 0.260000  9.765000 0.735000 ;
+        RECT  9.435000 1.615000  9.765000 2.465000 ;
+        RECT 10.275000 0.260000 10.605000 0.735000 ;
+        RECT 10.275000 1.615000 10.605000 2.465000 ;
+        RECT 11.115000 0.260000 11.445000 0.735000 ;
+        RECT 11.115000 1.615000 11.445000 2.465000 ;
+        RECT 11.620000 0.905000 11.875000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.085000  0.345000 0.905000 ;
+      RECT  0.175000  1.445000  0.345000 2.635000 ;
+      RECT  0.515000  0.260000  0.845000 0.905000 ;
+      RECT  0.515000  1.445000  0.845000 2.465000 ;
+      RECT  0.610000  0.905000  0.845000 1.075000 ;
+      RECT  0.610000  1.075000  2.205000 1.275000 ;
+      RECT  0.610000  1.275000  0.845000 1.445000 ;
+      RECT  1.035000  0.260000  1.365000 0.735000 ;
+      RECT  1.035000  0.735000  2.545000 0.905000 ;
+      RECT  1.035000  1.445000  2.545000 1.615000 ;
+      RECT  1.035000  1.615000  1.365000 2.465000 ;
+      RECT  1.535000  0.085000  1.705000 0.565000 ;
+      RECT  1.535000  1.785000  1.705000 2.635000 ;
+      RECT  1.875000  0.260000  2.205000 0.735000 ;
+      RECT  1.875000  1.615000  2.205000 2.465000 ;
+      RECT  2.375000  0.085000  2.545000 0.565000 ;
+      RECT  2.375000  0.905000  2.545000 1.075000 ;
+      RECT  2.375000  1.075000  4.685000 1.275000 ;
+      RECT  2.375000  1.275000  2.545000 1.445000 ;
+      RECT  2.375000  1.785000  2.545000 2.635000 ;
+      RECT  2.715000  0.260000  3.045000 0.735000 ;
+      RECT  2.715000  0.735000  5.065000 0.905000 ;
+      RECT  2.715000  1.445000  5.065000 1.615000 ;
+      RECT  2.715000  1.615000  3.045000 2.465000 ;
+      RECT  3.215000  0.085000  3.385000 0.565000 ;
+      RECT  3.215000  1.835000  3.385000 2.635000 ;
+      RECT  3.555000  0.260000  3.885000 0.735000 ;
+      RECT  3.555000  1.615000  3.885000 2.465000 ;
+      RECT  4.055000  0.085000  4.225000 0.565000 ;
+      RECT  4.055000  1.835000  4.225000 2.635000 ;
+      RECT  4.395000  0.260000  4.725000 0.735000 ;
+      RECT  4.395000  1.615000  4.725000 2.465000 ;
+      RECT  4.890000  0.905000  5.065000 1.075000 ;
+      RECT  4.890000  1.075000 11.450000 1.275000 ;
+      RECT  4.890000  1.275000  5.065000 1.445000 ;
+      RECT  4.895000  0.085000  5.065000 0.565000 ;
+      RECT  4.895000  1.835000  5.065000 2.635000 ;
+      RECT  5.735000  0.085000  5.905000 0.565000 ;
+      RECT  5.735000  1.835000  5.905000 2.635000 ;
+      RECT  6.575000  0.085000  6.745000 0.565000 ;
+      RECT  6.575000  1.835000  6.745000 2.635000 ;
+      RECT  7.415000  0.085000  7.585000 0.565000 ;
+      RECT  7.415000  1.835000  7.585000 2.635000 ;
+      RECT  8.255000  0.085000  8.425000 0.565000 ;
+      RECT  8.255000  1.835000  8.425000 2.635000 ;
+      RECT  9.095000  0.085000  9.265000 0.565000 ;
+      RECT  9.095000  1.835000  9.265000 2.635000 ;
+      RECT  9.935000  0.085000 10.105000 0.565000 ;
+      RECT  9.935000  1.835000 10.105000 2.635000 ;
+      RECT 10.775000  0.085000 10.945000 0.565000 ;
+      RECT 10.775000  1.835000 10.945000 2.635000 ;
+      RECT 11.615000  0.085000 11.785000 0.565000 ;
+      RECT 11.615000  1.835000 11.785000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_16
+MACRO sky130_fd_sc_hd__sedfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.765000 0.305000 13.095000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.530000 2.910000 ;
+        RECT  7.200000 1.305000 13.530000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.595000 0.900000 ;
+      RECT 12.350000  1.465000 12.595000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_1
+MACRO sky130_fd_sc_hd__sedfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.72000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 1.070000 ;
+        RECT 12.755000 1.070000 13.925000 1.295000 ;
+        RECT 12.755000 1.295000 13.085000 2.420000 ;
+        RECT 13.595000 0.305000 13.925000 1.070000 ;
+        RECT 13.595000 1.295000 13.925000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.720000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.910000 2.910000 ;
+        RECT  7.200000 1.305000 14.910000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.720000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.720000 0.085000 ;
+      RECT  0.000000  2.635000 14.720000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.425000 0.900000 ;
+      RECT 13.255000  1.465000 13.425000 2.635000 ;
+      RECT 14.095000  0.085000 14.355000 1.280000 ;
+      RECT 14.095000  1.465000 14.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_4
+MACRO sky130_fd_sc_hd__sedfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.990000 2.910000 ;
+        RECT  7.200000 1.305000 13.990000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.515000 0.900000 ;
+      RECT 13.255000  1.465000 13.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_2
+MACRO sky130_fd_sc_hd__nand4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.710000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 7.305000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.110000 0.655000 7.305000 0.905000 ;
+        RECT 6.110000 0.905000 6.290000 1.445000 ;
+        RECT 6.135000 1.665000 6.465000 2.465000 ;
+        RECT 6.975000 1.665000 7.305000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 2.025000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.855000  0.255000 3.785000 0.485000 ;
+      RECT 1.855000  0.485000 2.025000 0.655000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.655000 5.565000 0.905000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 3.975000  0.255000 7.730000 0.485000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.770000  0.485000 5.940000 0.905000 ;
+      RECT 5.770000  1.835000 5.940000 2.635000 ;
+      RECT 6.635000  1.835000 6.805000 2.635000 ;
+      RECT 7.475000  0.485000 7.730000 0.905000 ;
+      RECT 7.475000  1.445000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_4
+MACRO sky130_fd_sc_hd__nand4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.495000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.235000 1.075000 3.080000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.845000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 3.925000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.355000 1.665000 2.685000 2.465000 ;
+        RECT 3.370000 1.055000 3.925000 1.445000 ;
+        RECT 3.595000 0.635000 3.925000 1.055000 ;
+        RECT 3.595000 1.665000 3.925000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 1.185000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.125000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.085000 0.905000 ;
+      RECT 1.855000  1.835000 2.185000 2.635000 ;
+      RECT 2.315000  0.255000 4.425000 0.465000 ;
+      RECT 2.995000  1.835000 3.325000 2.635000 ;
+      RECT 3.255000  0.465000 3.425000 0.885000 ;
+      RECT 4.095000  0.465000 4.425000 0.905000 ;
+      RECT 4.095000  1.445000 4.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_2
+MACRO sky130_fd_sc_hd__nand4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.995000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.300000 1.350000 0.825000 ;
+        RECT 1.145000 0.825000 1.350000 0.995000 ;
+        RECT 1.145000 0.995000 1.455000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.300000 0.810000 0.995000 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.995000 0.395000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.795000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 1.795000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.385000 1.665000 1.715000 2.465000 ;
+        RECT 1.520000 0.255000 2.215000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.090000  0.085000 0.425000 0.825000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_1
+MACRO sky130_fd_sc_hd__a21boi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.605000 0.995000 3.215000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 2.425000 1.245000 ;
+        RECT 2.100000 1.245000 2.425000 1.495000 ;
+        RECT 2.100000 1.495000 3.675000 1.675000 ;
+        RECT 3.385000 1.035000 3.795000 1.295000 ;
+        RECT 3.385000 1.295000 3.675000 1.495000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.765000 0.425000 1.805000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.255000 1.720000 0.615000 ;
+        RECT 1.520000 0.615000 3.060000 0.785000 ;
+        RECT 1.520000 0.785000 1.715000 2.115000 ;
+        RECT 2.730000 0.255000 3.060000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  2.080000 0.425000 2.635000 ;
+      RECT 0.265000  0.360000 0.795000 0.530000 ;
+      RECT 0.595000  0.530000 0.795000 1.070000 ;
+      RECT 0.595000  1.070000 1.325000 1.285000 ;
+      RECT 0.595000  1.285000 0.855000 2.265000 ;
+      RECT 0.985000  0.085000 1.225000 0.885000 ;
+      RECT 1.045000  1.795000 1.350000 2.285000 ;
+      RECT 1.045000  2.285000 2.215000 2.465000 ;
+      RECT 1.885000  1.855000 3.920000 2.025000 ;
+      RECT 1.885000  2.025000 2.215000 2.285000 ;
+      RECT 1.940000  0.085000 2.270000 0.445000 ;
+      RECT 2.385000  2.195000 2.555000 2.635000 ;
+      RECT 2.810000  2.025000 3.920000 2.105000 ;
+      RECT 2.810000  2.105000 2.980000 2.465000 ;
+      RECT 3.160000  2.275000 3.490000 2.635000 ;
+      RECT 3.635000  0.085000 3.930000 0.865000 ;
+      RECT 3.660000  2.105000 3.920000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_2
+MACRO sky130_fd_sc_hd__a21boi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.995000 2.155000 1.345000 ;
+        RECT 1.945000 0.375000 2.155000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.995000 2.640000 1.345000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.975000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.045000 1.580000 1.345000 ;
+        RECT 1.045000 1.345000 1.375000 2.455000 ;
+        RECT 1.335000 0.265000 1.765000 0.795000 ;
+        RECT 1.335000 0.795000 1.580000 1.045000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.845000 0.855000 2.045000 ;
+      RECT 0.095000  2.045000 0.355000 2.435000 ;
+      RECT 0.365000  0.265000 0.745000 0.715000 ;
+      RECT 0.515000  0.715000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.525000  2.225000 0.855000 2.635000 ;
+      RECT 0.925000  0.085000 1.155000 0.865000 ;
+      RECT 1.545000  1.525000 2.585000 1.725000 ;
+      RECT 1.545000  1.725000 1.735000 2.455000 ;
+      RECT 1.905000  1.905000 2.235000 2.635000 ;
+      RECT 2.325000  0.085000 2.655000 0.815000 ;
+      RECT 2.415000  1.725000 2.585000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_1
+MACRO sky130_fd_sc_hd__a21boi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.765000 2.170000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.340000 0.765000 2.615000 1.435000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.470000 1.200000 0.895000 1.955000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.392200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.200000 1.610000 1.655000 ;
+        RECT 1.065000 1.655000 1.305000 2.465000 ;
+        RECT 1.315000 0.255000 1.610000 1.200000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.280000 0.380000 0.780000 ;
+      RECT 0.095000  0.780000 1.145000 1.030000 ;
+      RECT 0.095000  1.030000 0.300000 2.085000 ;
+      RECT 0.095000  2.085000 0.355000 2.465000 ;
+      RECT 0.525000  2.175000 0.855000 2.635000 ;
+      RECT 0.550000  0.085000 1.145000 0.610000 ;
+      RECT 1.475000  1.825000 2.665000 2.005000 ;
+      RECT 1.475000  2.005000 1.805000 2.465000 ;
+      RECT 1.975000  2.175000 2.165000 2.635000 ;
+      RECT 2.335000  0.085000 2.665000 0.595000 ;
+      RECT 2.335000  2.005000 2.665000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_0
+MACRO sky130_fd_sc_hd__a21boi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.065000 4.970000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.030000 1.065000 3.375000 1.480000 ;
+        RECT 3.030000 1.480000 6.450000 1.705000 ;
+        RECT 5.205000 1.075000 6.450000 1.480000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.650000 1.615000 ;
+        RECT 0.480000 0.995000 0.650000 1.075000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 0.370000 1.465000 0.615000 ;
+        RECT 1.275000 0.615000 2.325000 0.695000 ;
+        RECT 1.275000 0.695000 4.885000 0.865000 ;
+        RECT 1.560000 1.585000 2.860000 1.705000 ;
+        RECT 1.560000 1.705000 2.725000 2.035000 ;
+        RECT 2.135000 0.255000 2.325000 0.615000 ;
+        RECT 2.570000 0.865000 4.885000 0.895000 ;
+        RECT 2.570000 0.895000 2.860000 1.585000 ;
+        RECT 3.255000 0.675000 4.885000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.615000 ;
+      RECT 0.090000  0.615000 1.105000 0.795000 ;
+      RECT 0.125000  1.785000 0.990000 2.005000 ;
+      RECT 0.125000  2.005000 0.455000 2.465000 ;
+      RECT 0.625000  2.175000 0.885000 2.635000 ;
+      RECT 0.720000  0.085000 1.105000 0.445000 ;
+      RECT 0.820000  0.795000 1.105000 1.035000 ;
+      RECT 0.820000  1.035000 2.400000 1.345000 ;
+      RECT 0.820000  1.345000 0.990000 1.785000 ;
+      RECT 1.160000  1.795000 1.355000 2.215000 ;
+      RECT 1.160000  2.215000 3.095000 2.465000 ;
+      RECT 1.635000  0.085000 1.965000 0.445000 ;
+      RECT 1.935000  2.205000 3.095000 2.215000 ;
+      RECT 2.495000  0.085000 3.085000 0.525000 ;
+      RECT 2.895000  1.875000 6.605000 2.105000 ;
+      RECT 2.895000  2.105000 3.095000 2.205000 ;
+      RECT 3.265000  0.255000 5.315000 0.505000 ;
+      RECT 3.265000  2.275000 3.595000 2.635000 ;
+      RECT 4.125000  2.275000 4.455000 2.635000 ;
+      RECT 4.625000  2.105000 4.815000 2.465000 ;
+      RECT 4.985000  2.275000 5.315000 2.635000 ;
+      RECT 5.055000  0.505000 5.315000 0.735000 ;
+      RECT 5.055000  0.735000 6.175000 0.905000 ;
+      RECT 5.485000  0.085000 5.675000 0.565000 ;
+      RECT 5.485000  2.105000 5.665000 2.465000 ;
+      RECT 5.845000  0.255000 6.175000 0.735000 ;
+      RECT 5.845000  2.275000 6.175000 2.635000 ;
+      RECT 6.345000  0.085000 6.605000 0.885000 ;
+      RECT 6.345000  2.105000 6.605000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_4
+MACRO sky130_fd_sc_hd__sdfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.040000 0.275000 12.370000 0.825000 ;
+        RECT 12.040000 1.495000 12.370000 2.450000 ;
+        RECT 12.145000 0.825000 12.370000 1.055000 ;
+        RECT 12.145000 1.055000 13.210000 1.325000 ;
+        RECT 12.145000 1.325000 12.370000 1.495000 ;
+        RECT 12.880000 0.255000 13.210000 1.055000 ;
+        RECT 12.880000 1.325000 13.210000 2.465000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.990000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.870000 0.825000 ;
+      RECT 11.515000  1.495000 11.870000 2.635000 ;
+      RECT 12.540000  0.085000 12.710000 0.885000 ;
+      RECT 12.540000  1.495000 12.710000 2.635000 ;
+      RECT 13.380000  0.085000 13.715000 0.885000 ;
+      RECT 13.380000  1.495000 13.715000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_4
+MACRO sky130_fd_sc_hd__sdfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.275000 12.335000 0.825000 ;
+        RECT 11.995000 1.495000 12.335000 2.450000 ;
+        RECT 12.145000 0.825000 12.335000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.825000 0.825000 ;
+      RECT 11.515000  1.790000 11.825000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_1
+MACRO sky130_fd_sc_hd__sdfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.519750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.035000 0.255000 12.365000 0.825000 ;
+        RECT 12.035000 1.495000 12.365000 2.450000 ;
+        RECT 12.145000 0.825000 12.365000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.570000  0.085000 11.865000 0.825000 ;
+      RECT 11.570000  1.790000 11.820000 2.635000 ;
+      RECT 12.535000  0.085000 12.795000 0.885000 ;
+      RECT 12.535000  1.495000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_2
+MACRO sky130_fd_sc_hd__dfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.865000 0.255000 10.125000 0.825000 ;
+        RECT 9.865000 1.445000 10.125000 2.465000 ;
+        RECT 9.910000 0.825000 10.125000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.840000 0.805000 ;
+      RECT 0.175000  1.795000  0.840000 1.965000 ;
+      RECT 0.175000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.240000 2.465000 ;
+      RECT 1.430000  0.635000  2.125000 0.825000 ;
+      RECT 1.430000  0.825000  1.600000 1.795000 ;
+      RECT 1.430000  1.795000  2.125000 1.965000 ;
+      RECT 1.455000  0.085000  1.785000 0.465000 ;
+      RECT 1.455000  2.135000  1.785000 2.635000 ;
+      RECT 1.955000  0.305000  2.125000 0.635000 ;
+      RECT 1.955000  1.965000  2.125000 2.465000 ;
+      RECT 2.350000  0.705000  2.570000 1.575000 ;
+      RECT 2.350000  1.575000  2.850000 1.955000 ;
+      RECT 2.360000  2.250000  3.190000 2.420000 ;
+      RECT 2.425000  0.265000  3.440000 0.465000 ;
+      RECT 2.750000  0.645000  3.100000 1.015000 ;
+      RECT 3.020000  1.195000  3.440000 1.235000 ;
+      RECT 3.020000  1.235000  4.370000 1.405000 ;
+      RECT 3.020000  1.405000  3.190000 2.250000 ;
+      RECT 3.270000  0.465000  3.440000 1.195000 ;
+      RECT 3.360000  1.575000  3.610000 1.835000 ;
+      RECT 3.360000  1.835000  4.710000 2.085000 ;
+      RECT 3.430000  2.255000  3.810000 2.635000 ;
+      RECT 3.610000  0.085000  4.020000 0.525000 ;
+      RECT 3.990000  2.085000  4.160000 2.375000 ;
+      RECT 4.120000  1.405000  4.370000 1.565000 ;
+      RECT 4.310000  0.295000  4.560000 0.725000 ;
+      RECT 4.310000  0.725000  4.710000 1.065000 ;
+      RECT 4.330000  2.255000  4.660000 2.635000 ;
+      RECT 4.540000  1.065000  4.710000 1.835000 ;
+      RECT 4.740000  0.085000  5.080000 0.545000 ;
+      RECT 4.900000  0.725000  6.150000 0.895000 ;
+      RECT 4.900000  0.895000  5.070000 1.655000 ;
+      RECT 4.900000  1.655000  5.400000 1.965000 ;
+      RECT 5.110000  2.165000  5.760000 2.415000 ;
+      RECT 5.240000  1.065000  5.420000 1.475000 ;
+      RECT 5.590000  1.235000  7.470000 1.405000 ;
+      RECT 5.590000  1.405000  5.760000 1.915000 ;
+      RECT 5.590000  1.915000  6.780000 2.085000 ;
+      RECT 5.590000  2.085000  5.760000 2.165000 ;
+      RECT 5.640000  0.305000  6.490000 0.475000 ;
+      RECT 5.820000  0.895000  6.150000 1.015000 ;
+      RECT 5.930000  1.575000  7.830000 1.745000 ;
+      RECT 5.930000  2.255000  6.340000 2.635000 ;
+      RECT 6.320000  0.475000  6.490000 1.235000 ;
+      RECT 6.540000  2.085000  6.780000 2.375000 ;
+      RECT 6.670000  0.085000  7.330000 0.565000 ;
+      RECT 7.010000  1.945000  7.340000 2.635000 ;
+      RECT 7.140000  1.175000  7.470000 1.235000 ;
+      RECT 7.510000  0.350000  7.830000 0.680000 ;
+      RECT 7.510000  1.745000  7.830000 1.765000 ;
+      RECT 7.510000  1.765000  7.680000 2.375000 ;
+      RECT 7.640000  0.680000  7.830000 1.575000 ;
+      RECT 8.020000  0.085000  8.200000 0.905000 ;
+      RECT 8.020000  1.480000  8.200000 2.635000 ;
+      RECT 8.890000  0.255000  9.220000 0.995000 ;
+      RECT 8.890000  0.995000  9.740000 1.325000 ;
+      RECT 8.890000  1.325000  9.220000 2.465000 ;
+      RECT 9.445000  0.085000  9.615000 0.585000 ;
+      RECT 9.445000  1.825000  9.615000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_1
+MACRO sky130_fd_sc_hd__dfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.150000 1.495000 10.915000 1.665000 ;
+        RECT 10.150000 1.665000 10.480000 2.465000 ;
+        RECT 10.230000 0.255000 10.480000 0.720000 ;
+        RECT 10.230000 0.720000 10.915000 0.825000 ;
+        RECT 10.345000 0.825000 10.915000 0.845000 ;
+        RECT 10.360000 0.845000 10.915000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.900000  0.725000  6.150000 0.895000 ;
+      RECT  4.900000  0.895000  5.070000 1.655000 ;
+      RECT  4.900000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.760000 2.415000 ;
+      RECT  5.240000  1.065000  5.420000 1.475000 ;
+      RECT  5.590000  1.235000  7.470000 1.405000 ;
+      RECT  5.590000  1.405000  5.760000 1.915000 ;
+      RECT  5.590000  1.915000  6.780000 2.085000 ;
+      RECT  5.590000  2.085000  5.760000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.930000  1.575000  7.830000 1.745000 ;
+      RECT  5.930000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.830000 0.680000 ;
+      RECT  7.510000  1.745000  7.830000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.830000 1.575000 ;
+      RECT  8.020000  0.085000  8.200000 0.905000 ;
+      RECT  8.020000  1.480000  8.200000 2.635000 ;
+      RECT  8.870000  0.085000  9.120000 0.905000 ;
+      RECT  8.870000  1.480000  9.120000 2.635000 ;
+      RECT  9.310000  0.255000  9.560000 0.995000 ;
+      RECT  9.310000  0.995000 10.190000 1.325000 ;
+      RECT  9.310000  1.325000  9.640000 2.465000 ;
+      RECT  9.730000  0.085000 10.060000 0.825000 ;
+      RECT  9.810000  1.495000  9.980000 2.635000 ;
+      RECT 10.650000  0.085000 10.915000 0.550000 ;
+      RECT 10.650000  1.835000 10.915000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_2
+MACRO sky130_fd_sc_hd__buf_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.280000 1.075000 1.185000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.255000 1.865000 0.735000 ;
+        RECT 1.695000 0.735000 3.545000 0.905000 ;
+        RECT 1.695000 1.445000 3.545000 1.615000 ;
+        RECT 1.695000 1.615000 1.865000 2.465000 ;
+        RECT 2.210000 0.905000 3.545000 1.445000 ;
+        RECT 2.535000 0.255000 2.705000 0.735000 ;
+        RECT 2.535000 1.615000 2.705000 2.465000 ;
+        RECT 3.375000 0.255000 3.545000 0.735000 ;
+        RECT 3.375000 1.615000 3.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.435000  0.085000 0.605000 0.565000 ;
+      RECT 0.435000  1.485000 0.605000 2.635000 ;
+      RECT 0.775000  0.255000 1.105000 0.735000 ;
+      RECT 0.775000  0.735000 1.525000 0.905000 ;
+      RECT 0.775000  1.485000 1.525000 1.655000 ;
+      RECT 0.775000  1.655000 1.105000 2.465000 ;
+      RECT 1.275000  0.085000 1.445000 0.565000 ;
+      RECT 1.275000  1.835000 1.515000 2.635000 ;
+      RECT 1.355000  0.905000 1.525000 1.075000 ;
+      RECT 1.355000  1.075000 1.825000 1.245000 ;
+      RECT 1.355000  1.245000 1.525000 1.485000 ;
+      RECT 2.035000  0.085000 2.365000 0.565000 ;
+      RECT 2.035000  1.835000 2.365000 2.635000 ;
+      RECT 2.875000  0.085000 3.205000 0.565000 ;
+      RECT 2.875000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.885000 ;
+      RECT 3.715000  1.485000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_6
+MACRO sky130_fd_sc_hd__buf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.985000 0.445000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.560000 1.295000 2.465000 ;
+        RECT 1.035000 0.255000 1.295000 0.760000 ;
+        RECT 1.115000 0.760000 1.295000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.165000  1.535000 0.840000 1.705000 ;
+      RECT 0.165000  1.705000 0.345000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.670000  0.805000 0.840000 1.060000 ;
+      RECT 0.670000  1.060000 0.945000 1.390000 ;
+      RECT 0.670000  1.390000 0.840000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_1
+MACRO sky130_fd_sc_hd__buf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 2.485000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 0.255000  3.285000 0.260000 ;
+        RECT 3.035000 0.260000  3.365000 0.735000 ;
+        RECT 3.035000 0.735000 10.035000 0.905000 ;
+        RECT 3.035000 1.445000 10.035000 1.615000 ;
+        RECT 3.035000 1.615000  3.365000 2.465000 ;
+        RECT 3.875000 0.260000  4.205000 0.735000 ;
+        RECT 3.875000 1.615000  4.205000 2.465000 ;
+        RECT 3.955000 0.255000  4.125000 0.260000 ;
+        RECT 4.715000 0.260000  5.045000 0.735000 ;
+        RECT 4.715000 1.615000  5.045000 2.465000 ;
+        RECT 4.795000 0.255000  4.965000 0.260000 ;
+        RECT 5.555000 0.260000  5.885000 0.735000 ;
+        RECT 5.555000 1.615000  5.885000 2.465000 ;
+        RECT 6.395000 0.260000  6.725000 0.735000 ;
+        RECT 6.395000 1.615000  6.725000 2.465000 ;
+        RECT 7.235000 0.260000  7.565000 0.735000 ;
+        RECT 7.235000 1.615000  7.565000 2.465000 ;
+        RECT 8.075000 0.260000  8.405000 0.735000 ;
+        RECT 8.075000 1.615000  8.405000 2.465000 ;
+        RECT 8.915000 0.260000  9.245000 0.735000 ;
+        RECT 8.915000 1.615000  9.245000 2.465000 ;
+        RECT 9.655000 0.905000 10.035000 1.445000 ;
+        RECT 9.760000 0.365000 10.035000 0.735000 ;
+        RECT 9.760000 1.615000 10.035000 2.360000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.905000 ;
+      RECT 0.175000  1.445000  0.345000 2.635000 ;
+      RECT 0.515000  0.260000  0.845000 0.735000 ;
+      RECT 0.515000  0.735000  2.865000 0.905000 ;
+      RECT 0.515000  1.445000  2.865000 1.615000 ;
+      RECT 0.515000  1.615000  0.845000 2.465000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.355000  0.260000  1.685000 0.735000 ;
+      RECT 1.355000  1.615000  1.685000 2.465000 ;
+      RECT 1.855000  0.085000  2.025000 0.565000 ;
+      RECT 1.855000  1.835000  2.025000 2.635000 ;
+      RECT 2.195000  0.260000  2.525000 0.735000 ;
+      RECT 2.195000  1.615000  2.525000 2.465000 ;
+      RECT 2.690000  0.905000  2.865000 1.075000 ;
+      RECT 2.690000  1.075000  9.410000 1.275000 ;
+      RECT 2.690000  1.275000  2.865000 1.445000 ;
+      RECT 2.695000  0.085000  2.865000 0.565000 ;
+      RECT 2.695000  1.835000  2.865000 2.635000 ;
+      RECT 3.535000  0.085000  3.705000 0.565000 ;
+      RECT 3.535000  1.835000  3.705000 2.635000 ;
+      RECT 4.375000  0.085000  4.545000 0.565000 ;
+      RECT 4.375000  1.835000  4.545000 2.635000 ;
+      RECT 5.215000  0.085000  5.385000 0.565000 ;
+      RECT 5.215000  1.835000  5.385000 2.635000 ;
+      RECT 6.055000  0.085000  6.225000 0.565000 ;
+      RECT 6.055000  1.835000  6.225000 2.635000 ;
+      RECT 6.895000  0.085000  7.065000 0.565000 ;
+      RECT 6.895000  1.835000  7.065000 2.635000 ;
+      RECT 7.735000  0.085000  7.905000 0.565000 ;
+      RECT 7.735000  1.835000  7.905000 2.635000 ;
+      RECT 8.575000  0.085000  8.745000 0.565000 ;
+      RECT 8.575000  1.835000  8.745000 2.635000 ;
+      RECT 9.415000  0.085000  9.585000 0.565000 ;
+      RECT 9.415000  1.835000  9.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_16
+MACRO sky130_fd_sc_hd__buf_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 1.660000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.275000 0.255000 2.445000 0.735000 ;
+        RECT 2.275000 0.735000 6.645000 0.905000 ;
+        RECT 2.275000 1.445000 6.645000 1.615000 ;
+        RECT 2.275000 1.615000 2.445000 2.465000 ;
+        RECT 3.115000 0.255000 3.285000 0.735000 ;
+        RECT 3.115000 1.615000 3.285000 2.465000 ;
+        RECT 3.955000 0.255000 4.125000 0.735000 ;
+        RECT 3.955000 1.615000 4.125000 2.465000 ;
+        RECT 4.710000 0.905000 6.645000 1.445000 ;
+        RECT 4.795000 0.255000 4.965000 0.735000 ;
+        RECT 4.795000 1.615000 4.965000 2.465000 ;
+        RECT 5.635000 0.255000 5.805000 0.735000 ;
+        RECT 5.635000 1.615000 5.805000 2.465000 ;
+        RECT 6.475000 0.255000 6.645000 0.735000 ;
+        RECT 6.475000 1.615000 6.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.570000 -0.085000 0.740000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.565000 ;
+      RECT 0.175000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.445000 2.015000 1.615000 ;
+      RECT 0.515000  1.615000 0.845000 2.465000 ;
+      RECT 0.595000  0.255000 0.765000 0.735000 ;
+      RECT 0.595000  0.735000 2.015000 0.905000 ;
+      RECT 0.935000  0.085000 1.265000 0.565000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  1.615000 1.685000 2.465000 ;
+      RECT 1.435000  0.260000 1.605000 0.735000 ;
+      RECT 1.775000  0.085000 2.105000 0.565000 ;
+      RECT 1.840000  0.905000 2.015000 1.075000 ;
+      RECT 1.840000  1.075000 4.465000 1.245000 ;
+      RECT 1.840000  1.245000 2.015000 1.445000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.565000 ;
+      RECT 2.615000  1.835000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.565000 ;
+      RECT 3.455000  1.835000 3.785000 2.635000 ;
+      RECT 4.295000  0.085000 4.625000 0.565000 ;
+      RECT 4.295000  1.835000 4.625000 2.635000 ;
+      RECT 5.135000  0.085000 5.465000 0.565000 ;
+      RECT 5.135000  1.835000 5.465000 2.635000 ;
+      RECT 5.975000  0.085000 6.305000 0.565000 ;
+      RECT 5.975000  1.835000 6.305000 2.635000 ;
+      RECT 6.815000  0.085000 7.145000 0.885000 ;
+      RECT 6.815000  1.485000 7.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_12
+MACRO sky130_fd_sc_hd__buf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.440000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 0.255000 1.315000 0.830000 ;
+        RECT 1.060000 1.560000 1.315000 2.465000 ;
+        RECT 1.145000 0.830000 1.315000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.890000 0.805000 ;
+      RECT 0.175000  1.535000 0.890000 1.705000 ;
+      RECT 0.175000  1.705000 0.345000 2.465000 ;
+      RECT 0.560000  0.085000 0.890000 0.465000 ;
+      RECT 0.560000  1.875000 0.890000 2.635000 ;
+      RECT 0.720000  0.805000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 0.975000 1.325000 ;
+      RECT 0.720000  1.325000 0.890000 1.535000 ;
+      RECT 1.490000  0.085000 1.750000 0.925000 ;
+      RECT 1.490000  1.485000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_2
+MACRO sky130_fd_sc_hd__buf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 0.735000 4.545000 0.905000 ;
+        RECT 1.855000 1.445000 4.545000 1.615000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+        RECT 2.695000 0.255000 2.865000 0.735000 ;
+        RECT 2.695000 1.615000 2.865000 2.465000 ;
+        RECT 3.535000 0.255000 3.705000 0.735000 ;
+        RECT 3.535000 1.615000 3.705000 2.465000 ;
+        RECT 4.290000 0.905000 4.545000 1.445000 ;
+        RECT 4.375000 0.255000 4.545000 0.735000 ;
+        RECT 4.375000 1.615000 4.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_8
+MACRO sky130_fd_sc_hd__buf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.470000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 0.255000 1.185000 0.735000 ;
+        RECT 1.015000 0.735000 2.025000 0.905000 ;
+        RECT 1.015000 1.445000 2.025000 1.615000 ;
+        RECT 1.015000 1.615000 1.185000 2.465000 ;
+        RECT 1.530000 0.905000 2.025000 1.445000 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.485000 0.810000 1.655000 ;
+      RECT 0.095000  1.655000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 0.810000 0.905000 ;
+      RECT 0.525000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.835000 0.835000 2.635000 ;
+      RECT 0.640000  0.905000 0.810000 1.075000 ;
+      RECT 0.640000  1.075000 1.140000 1.245000 ;
+      RECT 0.640000  1.245000 0.810000 1.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.355000  1.835000 1.685000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.885000 ;
+      RECT 2.195000  1.485000 2.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_4
+MACRO sky130_fd_sc_hd__a211oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.035000 3.080000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.035000 4.500000 1.285000 ;
+        RECT 4.175000 1.285000 4.500000 1.655000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.035000 1.785000 1.285000 ;
+        RECT 1.035000 1.285000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.405000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.826000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.575000 0.255000 0.835000 0.655000 ;
+        RECT 0.575000 0.655000 3.145000 0.855000 ;
+        RECT 0.575000 0.855000 0.855000 1.785000 ;
+        RECT 0.575000 1.785000 0.905000 2.105000 ;
+        RECT 1.505000 0.285000 1.695000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.145000  0.085000 0.395000 0.815000 ;
+      RECT 0.145000  1.785000 0.405000 2.285000 ;
+      RECT 0.145000  2.285000 2.215000 2.455000 ;
+      RECT 1.005000  0.085000 1.335000 0.475000 ;
+      RECT 1.075000  1.785000 1.265000 2.255000 ;
+      RECT 1.075000  2.255000 2.215000 2.285000 ;
+      RECT 1.435000  1.455000 3.975000 1.655000 ;
+      RECT 1.435000  1.655000 1.765000 2.075000 ;
+      RECT 1.865000  0.085000 2.195000 0.475000 ;
+      RECT 1.935000  1.835000 2.215000 2.255000 ;
+      RECT 2.385000  0.265000 3.495000 0.475000 ;
+      RECT 2.435000  1.835000 2.665000 2.635000 ;
+      RECT 2.845000  1.655000 3.115000 2.465000 ;
+      RECT 3.295000  1.835000 3.525000 2.635000 ;
+      RECT 3.325000  0.475000 3.495000 0.635000 ;
+      RECT 3.325000  0.635000 4.435000 0.855000 ;
+      RECT 3.675000  0.085000 4.005000 0.455000 ;
+      RECT 3.705000  1.655000 3.975000 2.465000 ;
+      RECT 4.155000  1.835000 4.385000 2.635000 ;
+      RECT 4.185000  0.265000 4.435000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_2
+MACRO sky130_fd_sc_hd__a211oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.265000 0.855000 0.995000 ;
+        RECT 0.605000 0.995000 1.245000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.765000 0.435000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+        RECT 1.525000 1.325000 1.755000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 2.235000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.619250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.180000 0.265000 1.365000 0.625000 ;
+        RECT 1.180000 0.625000 2.660000 0.815000 ;
+        RECT 1.935000 1.785000 2.660000 2.455000 ;
+        RECT 2.055000 0.265000 2.280000 0.625000 ;
+        RECT 2.445000 0.815000 2.660000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.595000 ;
+      RECT 0.250000  1.525000 1.355000 1.725000 ;
+      RECT 0.250000  1.725000 0.500000 2.455000 ;
+      RECT 0.670000  1.905000 1.000000 2.635000 ;
+      RECT 1.170000  1.725000 1.355000 2.455000 ;
+      RECT 1.545000  0.085000 1.875000 0.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_1
+MACRO sky130_fd_sc_hd__a211oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.075000 3.005000 1.245000 ;
+        RECT 1.660000 1.035000 3.005000 1.075000 ;
+        RECT 1.660000 1.245000 3.005000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.035000 1.385000 1.445000 ;
+        RECT 0.100000 1.445000 3.575000 1.625000 ;
+        RECT 3.245000 1.035000 3.575000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.745000 1.035000 4.755000 1.275000 ;
+        RECT 3.745000 1.275000 4.460000 1.615000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.445000 4.000000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.590000 0.995000 6.935000 1.325000 ;
+        RECT 6.590000 1.325000 6.760000 1.615000 ;
+      LAYER mcon ;
+        RECT 6.590000 1.445000 6.760000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 1.415000 4.060000 1.460000 ;
+        RECT 3.770000 1.460000 6.820000 1.600000 ;
+        RECT 3.770000 1.600000 4.060000 1.645000 ;
+        RECT 6.530000 1.415000 6.820000 1.460000 ;
+        RECT 6.530000 1.600000 6.820000 1.645000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.000000 1.035000 6.350000 1.275000 ;
+        RECT 6.130000 1.275000 6.350000 1.695000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.685000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.675000 3.330000 0.695000 ;
+        RECT 1.775000 0.695000 7.275000 0.825000 ;
+        RECT 1.775000 0.825000 6.355000 0.865000 ;
+        RECT 3.875000 0.255000 4.195000 0.615000 ;
+        RECT 3.875000 0.615000 5.045000 0.625000 ;
+        RECT 3.875000 0.625000 7.275000 0.695000 ;
+        RECT 4.875000 0.255000 5.045000 0.615000 ;
+        RECT 5.170000 1.865000 7.275000 2.085000 ;
+        RECT 5.715000 0.255000 5.885000 0.615000 ;
+        RECT 5.715000 0.615000 7.275000 0.625000 ;
+        RECT 6.930000 1.495000 7.275000 1.865000 ;
+        RECT 7.105000 0.825000 7.275000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.395000 0.585000 ;
+      RECT 0.095000  1.795000 3.705000 2.085000 ;
+      RECT 0.095000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.565000  0.530000 0.775000 0.695000 ;
+      RECT 0.565000  0.695000 1.605000 0.865000 ;
+      RECT 0.950000  0.085000 1.185000 0.525000 ;
+      RECT 1.015000  2.085000 3.705000 2.105000 ;
+      RECT 1.015000  2.105000 1.185000 2.465000 ;
+      RECT 1.355000  0.255000 3.365000 0.505000 ;
+      RECT 1.355000  0.505000 1.605000 0.695000 ;
+      RECT 1.355000  2.275000 1.685000 2.635000 ;
+      RECT 1.855000  2.105000 2.025000 2.465000 ;
+      RECT 2.195000  2.275000 2.525000 2.635000 ;
+      RECT 2.695000  2.105000 2.865000 2.465000 ;
+      RECT 3.035000  2.275000 3.365000 2.635000 ;
+      RECT 3.535000  0.085000 3.705000 0.525000 ;
+      RECT 3.535000  2.105000 3.705000 2.255000 ;
+      RECT 3.535000  2.255000 7.270000 2.465000 ;
+      RECT 3.875000  1.785000 4.910000 2.085000 ;
+      RECT 4.365000  0.085000 4.695000 0.445000 ;
+      RECT 4.630000  1.445000 5.960000 1.695000 ;
+      RECT 4.630000  1.695000 4.910000 1.785000 ;
+      RECT 5.215000  0.085000 5.545000 0.445000 ;
+      RECT 6.055000  0.085000 6.385000 0.445000 ;
+      RECT 6.915000  0.085000 7.270000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_4
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.480000 1.285000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.390500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185000 0.270000 3.625000 0.640000 ;
+        RECT 3.185000 1.530000 3.625000 2.465000 ;
+        RECT 3.345000 0.640000 3.625000 1.530000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.270000 0.905000 ;
+      RECT 0.085000  1.455000 1.270000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.765000  1.075000 1.435000 1.245000 ;
+      RECT 0.850000  0.905000 1.270000 1.075000 ;
+      RECT 0.850000  1.245000 1.270000 1.455000 ;
+      RECT 1.390000  1.785000 1.795000 2.465000 ;
+      RECT 1.440000  0.270000 1.795000 0.900000 ;
+      RECT 1.625000  0.900000 1.795000 1.075000 ;
+      RECT 1.625000  1.075000 2.305000 1.245000 ;
+      RECT 1.625000  1.245000 1.795000 1.785000 ;
+      RECT 1.985000  0.270000 2.235000 0.735000 ;
+      RECT 1.985000  0.735000 2.645000 0.905000 ;
+      RECT 1.985000  1.460000 2.645000 1.630000 ;
+      RECT 1.985000  1.630000 2.235000 2.465000 ;
+      RECT 2.475000  0.905000 2.645000 0.995000 ;
+      RECT 2.475000  0.995000 3.175000 1.325000 ;
+      RECT 2.475000  1.325000 2.645000 1.460000 ;
+      RECT 2.685000  0.085000 3.015000 0.565000 ;
+      RECT 2.685000  1.800000 3.015000 2.635000 ;
+      RECT 3.795000  0.085000 4.055000 0.635000 ;
+      RECT 3.795000  1.800000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.535000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.504100 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.255000 3.595000 0.640000 ;
+        RECT 3.190000 1.690000 3.595000 2.465000 ;
+        RECT 3.345000 0.640000 3.595000 1.690000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.705000  0.905000 1.055000 1.025000 ;
+      RECT 0.705000  1.025000 1.135000 1.315000 ;
+      RECT 0.705000  1.315000 1.055000 1.460000 ;
+      RECT 1.380000  0.255000 1.730000 1.070000 ;
+      RECT 1.380000  1.070000 2.240000 1.320000 ;
+      RECT 1.380000  1.320000 1.730000 2.465000 ;
+      RECT 1.990000  0.255000 2.240000 0.730000 ;
+      RECT 1.990000  0.730000 2.580000 0.900000 ;
+      RECT 1.990000  1.495000 2.580000 1.665000 ;
+      RECT 1.990000  1.665000 2.240000 2.465000 ;
+      RECT 2.410000  0.900000 2.580000 0.995000 ;
+      RECT 2.410000  0.995000 3.175000 1.325000 ;
+      RECT 2.410000  1.325000 2.580000 1.495000 ;
+      RECT 2.690000  0.085000 3.020000 0.600000 ;
+      RECT 2.690000  1.835000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_1
+MACRO sky130_fd_sc_hd__a2111o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.495000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 1.075000 5.625000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.975000 3.255000 1.285000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.975000 2.280000 1.285000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.370000 1.625000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.165000 0.255000 6.355000 0.635000 ;
+        RECT 6.165000 0.635000 7.735000 0.805000 ;
+        RECT 6.165000 1.465000 7.735000 1.635000 ;
+        RECT 6.165000 1.635000 7.215000 1.715000 ;
+        RECT 6.165000 1.715000 6.355000 2.465000 ;
+        RECT 7.025000 0.255000 7.215000 0.635000 ;
+        RECT 7.025000 1.715000 7.215000 2.465000 ;
+        RECT 7.490000 0.805000 7.735000 1.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.110000  1.795000 0.370000 2.295000 ;
+      RECT 0.110000  2.295000 2.160000 2.465000 ;
+      RECT 0.180000  0.255000 0.440000 0.635000 ;
+      RECT 0.180000  0.635000 3.655000 0.805000 ;
+      RECT 0.540000  0.805000 0.870000 2.125000 ;
+      RECT 0.610000  0.085000 0.940000 0.465000 ;
+      RECT 1.040000  1.455000 1.230000 2.295000 ;
+      RECT 1.110000  0.255000 1.340000 0.615000 ;
+      RECT 1.110000  0.615000 3.655000 0.635000 ;
+      RECT 1.400000  1.455000 3.100000 1.625000 ;
+      RECT 1.400000  1.625000 1.730000 2.125000 ;
+      RECT 1.510000  0.085000 1.840000 0.445000 ;
+      RECT 1.900000  1.795000 2.160000 2.295000 ;
+      RECT 2.015000  0.255000 2.240000 0.615000 ;
+      RECT 2.340000  1.795000 2.675000 2.295000 ;
+      RECT 2.340000  2.295000 3.650000 2.465000 ;
+      RECT 2.420000  0.085000 3.295000 0.445000 ;
+      RECT 2.845000  1.625000 3.100000 2.125000 ;
+      RECT 3.320000  1.795000 5.495000 1.995000 ;
+      RECT 3.320000  1.995000 3.650000 2.295000 ;
+      RECT 3.465000  0.255000 4.585000 0.445000 ;
+      RECT 3.465000  0.445000 3.655000 0.615000 ;
+      RECT 3.465000  0.805000 3.655000 1.445000 ;
+      RECT 3.465000  1.445000 5.975000 1.625000 ;
+      RECT 3.825000  0.615000 5.495000 0.785000 ;
+      RECT 3.865000  2.165000 4.195000 2.635000 ;
+      RECT 4.365000  1.995000 4.625000 2.415000 ;
+      RECT 4.805000  0.085000 5.140000 0.445000 ;
+      RECT 4.805000  2.255000 5.140000 2.635000 ;
+      RECT 5.310000  0.255000 5.495000 0.615000 ;
+      RECT 5.310000  1.995000 5.495000 2.465000 ;
+      RECT 5.665000  0.085000 5.995000 0.515000 ;
+      RECT 5.665000  1.800000 5.995000 2.635000 ;
+      RECT 5.795000  1.075000 7.320000 1.245000 ;
+      RECT 5.795000  1.245000 5.975000 1.445000 ;
+      RECT 6.525000  0.085000 6.855000 0.445000 ;
+      RECT 6.525000  1.885000 6.855000 2.635000 ;
+      RECT 7.385000  0.085000 7.715000 0.465000 ;
+      RECT 7.385000  1.805000 7.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_4
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.565000  1.815000 0.895000 2.635000 ;
+      RECT 0.635000  0.085000 1.310000 0.470000 ;
+      RECT 0.695000  0.650000 1.915000 0.655000 ;
+      RECT 0.695000  0.655000 2.805000 0.825000 ;
+      RECT 0.695000  0.825000 0.915000 1.465000 ;
+      RECT 0.695000  1.465000 1.345000 1.645000 ;
+      RECT 1.135000  1.645000 1.345000 2.460000 ;
+      RECT 1.585000  0.260000 1.915000 0.650000 ;
+      RECT 2.085000  0.085000 2.430000 0.485000 ;
+      RECT 2.600000  0.260000 2.805000 0.655000 ;
+      RECT 2.860000  1.495000 3.990000 1.665000 ;
+      RECT 2.860000  1.665000 3.145000 2.460000 ;
+      RECT 3.325000  1.835000 3.540000 2.635000 ;
+      RECT 3.715000  0.085000 3.955000 0.760000 ;
+      RECT 3.720000  1.665000 3.990000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.435000 0.885000 ;
+      RECT 0.085000  1.635000 0.435000 2.635000 ;
+      RECT 1.065000  0.085000 2.010000 0.445000 ;
+      RECT 1.065000  0.445000 1.325000 0.865000 ;
+      RECT 1.065000  1.075000 1.705000 1.325000 ;
+      RECT 1.065000  1.495000 1.315000 2.635000 ;
+      RECT 1.495000  0.615000 3.335000 0.785000 ;
+      RECT 1.495000  0.785000 1.705000 1.075000 ;
+      RECT 1.495000  1.325000 1.705000 1.495000 ;
+      RECT 1.495000  1.495000 1.785000 2.465000 ;
+      RECT 2.180000  0.255000 2.420000 0.615000 ;
+      RECT 2.590000  0.085000 2.920000 0.445000 ;
+      RECT 3.070000  1.915000 4.515000 2.085000 ;
+      RECT 3.070000  2.085000 3.400000 2.465000 ;
+      RECT 3.090000  0.255000 3.335000 0.615000 ;
+      RECT 3.590000  2.255000 3.920000 2.635000 ;
+      RECT 4.090000  2.085000 4.515000 2.465000 ;
+      RECT 4.165000  0.085000 4.515000 0.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
+MACRO sky130_fd_sc_hd__o221a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.635000 1.075000 3.075000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.075000 2.465000 1.285000 ;
+        RECT 1.980000 1.285000 2.285000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 1.075000 1.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.790000 1.275000 ;
+        RECT 1.500000 1.275000 1.790000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.345000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.295000 0.265000 3.625000 0.735000 ;
+        RECT 3.295000 0.735000 4.055000 0.905000 ;
+        RECT 3.295000 1.875000 4.055000 2.045000 ;
+        RECT 3.295000 2.045000 3.545000 2.465000 ;
+        RECT 3.745000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.170000  0.255000 0.500000 0.635000 ;
+      RECT 0.170000  0.635000 0.715000 0.805000 ;
+      RECT 0.250000  1.495000 1.330000 1.670000 ;
+      RECT 0.250000  1.670000 0.580000 2.465000 ;
+      RECT 0.545000  0.805000 0.715000 1.445000 ;
+      RECT 0.545000  1.445000 1.330000 1.495000 ;
+      RECT 0.670000  0.295000 1.855000 0.465000 ;
+      RECT 0.750000  1.850000 0.990000 2.635000 ;
+      RECT 1.085000  0.645000 1.470000 0.735000 ;
+      RECT 1.085000  0.735000 2.785000 0.905000 ;
+      RECT 1.160000  1.670000 1.330000 1.875000 ;
+      RECT 1.160000  1.875000 2.625000 2.045000 ;
+      RECT 1.550000  2.045000 2.305000 2.465000 ;
+      RECT 2.115000  0.085000 2.285000 0.555000 ;
+      RECT 2.455000  0.270000 2.785000 0.735000 ;
+      RECT 2.455000  1.455000 3.415000 1.625000 ;
+      RECT 2.455000  1.625000 2.625000 1.875000 ;
+      RECT 2.795000  1.795000 3.125000 2.635000 ;
+      RECT 2.955000  0.085000 3.125000 0.905000 ;
+      RECT 3.245000  1.075000 3.575000 1.285000 ;
+      RECT 3.245000  1.285000 3.415000 1.455000 ;
+      RECT 3.715000  2.215000 4.055000 2.635000 ;
+      RECT 3.795000  0.085000 3.965000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_2
+MACRO sky130_fd_sc_hd__o221a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.130000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 1.075000 2.490000 1.285000 ;
+        RECT 2.005000 1.285000 2.380000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.925000 1.075000 1.255000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.815000 1.325000 ;
+        RECT 1.495000 1.325000 1.815000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.415000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 0.265000 4.055000 0.905000 ;
+        RECT 3.390000 1.875000 4.055000 2.465000 ;
+        RECT 3.805000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.240000  1.455000 1.325000 1.625000 ;
+      RECT 0.240000  1.625000 0.540000 2.465000 ;
+      RECT 0.245000  0.255000 0.575000 0.645000 ;
+      RECT 0.245000  0.645000 0.755000 0.825000 ;
+      RECT 0.585000  0.825000 0.755000 1.455000 ;
+      RECT 0.735000  1.795000 0.985000 2.635000 ;
+      RECT 0.745000  0.305000 1.930000 0.475000 ;
+      RECT 1.155000  1.625000 1.325000 1.875000 ;
+      RECT 1.155000  1.875000 2.720000 2.045000 ;
+      RECT 1.160000  0.645000 1.545000 0.735000 ;
+      RECT 1.160000  0.735000 2.860000 0.905000 ;
+      RECT 1.575000  2.045000 2.380000 2.465000 ;
+      RECT 2.190000  0.085000 2.360000 0.555000 ;
+      RECT 2.530000  0.270000 2.860000 0.735000 ;
+      RECT 2.550000  1.455000 3.470000 1.625000 ;
+      RECT 2.550000  1.625000 2.720000 1.875000 ;
+      RECT 2.890000  1.795000 3.220000 2.635000 ;
+      RECT 3.030000  0.085000 3.200000 0.905000 ;
+      RECT 3.300000  1.075000 3.635000 1.285000 ;
+      RECT 3.300000  1.285000 3.470000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_1
+MACRO sky130_fd_sc_hd__o221a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.005000 1.075000 3.605000 1.445000 ;
+        RECT 3.005000 1.445000 4.775000 1.615000 ;
+        RECT 4.525000 1.075000 5.035000 1.275000 ;
+        RECT 4.525000 1.275000 4.775000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 4.355000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.075000 1.520000 1.445000 ;
+        RECT 1.025000 1.445000 2.745000 1.615000 ;
+        RECT 2.415000 1.075000 2.745000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.690000 1.075000 2.245000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.405000 0.735000 ;
+        RECT 5.235000 0.735000 6.920000 0.905000 ;
+        RECT 5.315000 1.785000 5.900000 1.955000 ;
+        RECT 5.315000 1.955000 5.525000 2.465000 ;
+        RECT 5.730000 1.445000 6.920000 1.615000 ;
+        RECT 5.730000 1.615000 5.900000 1.785000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 1.615000 6.365000 2.465000 ;
+        RECT 6.575000 0.905000 6.920000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 2.955000 0.475000 ;
+      RECT 0.085000  0.475000 0.345000 0.895000 ;
+      RECT 0.145000  1.455000 0.395000 2.635000 ;
+      RECT 0.515000  0.645000 0.845000 0.865000 ;
+      RECT 0.565000  1.445000 0.845000 1.785000 ;
+      RECT 0.565000  1.785000 5.145000 1.955000 ;
+      RECT 0.565000  1.955000 0.815000 2.465000 ;
+      RECT 0.610000  0.865000 0.845000 1.445000 ;
+      RECT 0.985000  2.125000 1.235000 2.635000 ;
+      RECT 1.015000  0.475000 1.185000 0.905000 ;
+      RECT 1.355000  0.645000 2.535000 0.715000 ;
+      RECT 1.355000  0.715000 3.885000 0.725000 ;
+      RECT 1.355000  0.725000 4.725000 0.905000 ;
+      RECT 1.405000  2.125000 1.655000 2.295000 ;
+      RECT 1.405000  2.295000 2.495000 2.465000 ;
+      RECT 1.825000  1.955000 2.075000 2.125000 ;
+      RECT 2.245000  2.125000 2.495000 2.295000 ;
+      RECT 2.665000  2.125000 3.425000 2.635000 ;
+      RECT 3.145000  0.085000 3.385000 0.545000 ;
+      RECT 3.555000  0.255000 3.885000 0.715000 ;
+      RECT 3.595000  2.125000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.955000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  2.125000 4.685000 2.295000 ;
+      RECT 4.855000  2.125000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.905000 ;
+      RECT 4.975000  1.445000 5.375000 1.615000 ;
+      RECT 4.975000  1.615000 5.145000 1.785000 ;
+      RECT 5.205000  1.075000 6.405000 1.275000 ;
+      RECT 5.205000  1.275000 5.375000 1.445000 ;
+      RECT 5.695000  2.125000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.830000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_4
+MACRO sky130_fd_sc_hd__clkinvlp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.330000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.425000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.714000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 1.215000 0.680000 ;
+        RECT 0.595000 0.680000 0.955000 1.015000 ;
+        RECT 0.595000 1.015000 2.015000 1.295000 ;
+        RECT 0.595000 1.295000 0.955000 2.465000 ;
+        RECT 1.685000 1.295000 2.015000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.575000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 1.155000  1.465000 1.485000 2.635000 ;
+      RECT 1.675000  0.085000 2.005000 0.775000 ;
+      RECT 2.215000  1.465000 2.545000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_4
+MACRO sky130_fd_sc_hd__clkinvlp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.665000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.600000 1.665000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.436750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.810000 0.315000 1.445000 0.750000 ;
+        RECT 0.810000 0.750000 1.235000 2.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.225000  1.835000 0.555000 2.625000 ;
+      RECT 0.225000  2.625000 1.740000 2.635000 ;
+      RECT 0.295000  0.085000 0.625000 0.745000 ;
+      RECT 1.440000  1.455000 1.740000 2.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_2
+MACRO sky130_fd_sc_hd__nor3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.640000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.030000 1.075000 4.515000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.105000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 2.815000 0.905000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.480000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.375000  1.455000 2.645000 2.295000 ;
+      RECT 3.235000  1.075000 3.860000 1.285000 ;
+      RECT 3.235000  1.455000 3.480000 2.295000 ;
+      RECT 3.275000  0.085000 3.480000 0.895000 ;
+      RECT 3.690000  0.380000 4.045000 0.905000 ;
+      RECT 3.690000  0.905000 3.860000 1.075000 ;
+      RECT 3.690000  1.285000 3.860000 1.455000 ;
+      RECT 3.690000  1.455000 4.045000 1.870000 ;
+      RECT 4.215000  0.085000 4.505000 0.825000 ;
+      RECT 4.215000  1.540000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_2
+MACRO sky130_fd_sc_hd__nor3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.475000 0.995000 1.815000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.995000 1.305000 1.615000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.335000 1.615000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.716500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 0.655000 ;
+        RECT 0.085000 0.655000 1.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.255000 1.445000 ;
+        RECT 0.085000 1.445000 0.545000 2.455000 ;
+        RECT 1.275000 0.310000 1.445000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.425000  1.075000 0.885000 1.245000 ;
+      RECT 0.715000  1.245000 0.885000 1.785000 ;
+      RECT 0.715000  1.785000 2.675000 1.955000 ;
+      RECT 0.775000  0.085000 1.105000 0.485000 ;
+      RECT 1.615000  0.085000 1.945000 0.825000 ;
+      RECT 1.615000  2.125000 1.945000 2.635000 ;
+      RECT 2.180000  0.405000 2.350000 0.655000 ;
+      RECT 2.180000  0.655000 2.675000 0.825000 ;
+      RECT 2.505000  0.825000 2.675000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_1
+MACRO sky130_fd_sc_hd__nor3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.075000 2.690000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 1.075000 4.300000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.285000 0.725000 ;
+        RECT 0.955000 0.725000 6.760000 0.905000 ;
+        RECT 1.795000 0.255000 2.125000 0.725000 ;
+        RECT 3.155000 0.255000 3.485000 0.725000 ;
+        RECT 3.995000 0.255000 4.325000 0.725000 ;
+        RECT 4.835000 0.255000 5.165000 0.725000 ;
+        RECT 4.875000 1.455000 6.760000 1.625000 ;
+        RECT 4.875000 1.625000 5.125000 2.125000 ;
+        RECT 5.675000 0.255000 6.005000 0.725000 ;
+        RECT 5.715000 1.625000 5.965000 2.125000 ;
+        RECT 6.420000 0.905000 6.760000 1.455000 ;
+        RECT 6.515000 0.315000 6.760000 0.725000 ;
+        RECT 6.555000 1.625000 6.760000 2.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.110000  0.255000 0.445000 0.735000 ;
+      RECT 0.110000  0.735000 0.785000 0.905000 ;
+      RECT 0.110000  1.455000 4.705000 1.625000 ;
+      RECT 0.110000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.905000 0.785000 1.455000 ;
+      RECT 0.995000  1.795000 4.285000 1.965000 ;
+      RECT 0.995000  1.965000 1.245000 2.465000 ;
+      RECT 1.415000  2.135000 1.665000 2.635000 ;
+      RECT 1.455000  0.085000 1.625000 0.555000 ;
+      RECT 1.835000  1.965000 2.085000 2.465000 ;
+      RECT 2.255000  2.135000 2.505000 2.635000 ;
+      RECT 2.295000  0.085000 2.985000 0.555000 ;
+      RECT 2.775000  2.135000 3.025000 2.295000 ;
+      RECT 2.775000  2.295000 6.385000 2.465000 ;
+      RECT 3.195000  1.965000 3.445000 2.125000 ;
+      RECT 3.615000  2.135000 3.865000 2.295000 ;
+      RECT 3.655000  0.085000 3.825000 0.555000 ;
+      RECT 4.035000  1.965000 4.285000 2.125000 ;
+      RECT 4.455000  1.795000 4.705000 2.295000 ;
+      RECT 4.495000  0.085000 4.665000 0.555000 ;
+      RECT 4.535000  1.075000 6.125000 1.285000 ;
+      RECT 4.535000  1.285000 4.705000 1.455000 ;
+      RECT 5.295000  1.795000 5.545000 2.295000 ;
+      RECT 5.335000  0.085000 5.505000 0.555000 ;
+      RECT 6.135000  1.795000 6.385000 2.295000 ;
+      RECT 6.175000  0.085000 6.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_4
+MACRO sky130_fd_sc_hd__and3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.470000 1.245000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.895000 2.125000 1.370000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.305000 1.295000 0.750000 ;
+        RECT 1.065000 0.750000 1.475000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.795000 2.245000 2.465000 ;
+        RECT 1.980000 0.255000 2.230000 0.715000 ;
+        RECT 2.060000 0.715000 2.230000 0.925000 ;
+        RECT 2.060000 0.925000 2.675000 1.445000 ;
+        RECT 2.075000 1.445000 2.245000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  2.130000 0.715000 2.635000 ;
+      RECT 0.100000  1.425000 1.890000 1.595000 ;
+      RECT 0.100000  1.595000 0.355000 1.960000 ;
+      RECT 0.105000  0.305000 0.895000 0.570000 ;
+      RECT 0.525000  1.765000 0.855000 1.955000 ;
+      RECT 0.525000  1.955000 0.715000 2.130000 ;
+      RECT 0.640000  0.570000 0.895000 1.425000 ;
+      RECT 1.080000  1.595000 1.330000 1.890000 ;
+      RECT 1.475000  0.085000 1.805000 0.580000 ;
+      RECT 1.555000  1.790000 1.770000 2.635000 ;
+      RECT 1.660000  0.995000 1.890000 1.425000 ;
+      RECT 2.400000  0.085000 2.675000 0.745000 ;
+      RECT 2.415000  1.625000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_2
+MACRO sky130_fd_sc_hd__and3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.995000 0.875000 1.340000 ;
+        RECT 0.115000 1.340000 0.365000 2.335000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.745000 1.355000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.900000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.515000 2.640000 0.615000 ;
+        RECT 2.450000 0.615000 4.055000 0.845000 ;
+        RECT 2.450000 1.535000 4.055000 1.760000 ;
+        RECT 2.450000 1.760000 2.640000 2.465000 ;
+        RECT 3.310000 0.255000 3.500000 0.615000 ;
+        RECT 3.310000 1.760000 4.055000 1.765000 ;
+        RECT 3.310000 1.765000 3.500000 2.465000 ;
+        RECT 3.775000 0.845000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.465000  0.255000 0.800000 0.375000 ;
+      RECT 0.465000  0.375000 1.725000 0.565000 ;
+      RECT 0.465000  0.565000 0.800000 0.805000 ;
+      RECT 0.545000  1.580000 2.280000 1.750000 ;
+      RECT 0.545000  1.750000 0.725000 2.465000 ;
+      RECT 0.895000  1.935000 1.345000 2.635000 ;
+      RECT 1.520000  1.750000 1.700000 2.465000 ;
+      RECT 1.535000  0.565000 1.725000 0.615000 ;
+      RECT 1.535000  0.615000 2.280000 0.805000 ;
+      RECT 1.905000  0.085000 2.235000 0.445000 ;
+      RECT 1.910000  1.935000 2.240000 2.635000 ;
+      RECT 2.070000  0.805000 2.280000 1.020000 ;
+      RECT 2.070000  1.020000 3.605000 1.355000 ;
+      RECT 2.070000  1.355000 2.280000 1.580000 ;
+      RECT 2.810000  0.085000 3.140000 0.445000 ;
+      RECT 2.810000  1.935000 3.140000 2.635000 ;
+      RECT 3.670000  0.085000 4.000000 0.445000 ;
+      RECT 3.670000  1.935000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_4
+MACRO sky130_fd_sc_hd__and3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 0.635000 1.020000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 2.125000 1.345000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.145000 0.305000 1.365000 0.790000 ;
+        RECT 1.145000 0.790000 1.475000 1.215000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.765000 2.215000 2.465000 ;
+        RECT 1.955000 0.255000 2.215000 0.735000 ;
+        RECT 2.045000 0.735000 2.215000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 0.975000 0.465000 ;
+      RECT 0.085000  1.190000 0.975000 1.260000 ;
+      RECT 0.085000  1.260000 0.980000 1.285000 ;
+      RECT 0.085000  1.285000 0.990000 1.300000 ;
+      RECT 0.085000  1.300000 0.995000 1.315000 ;
+      RECT 0.085000  1.315000 1.005000 1.320000 ;
+      RECT 0.085000  1.320000 1.010000 1.330000 ;
+      RECT 0.085000  1.330000 1.015000 1.340000 ;
+      RECT 0.085000  1.340000 1.025000 1.345000 ;
+      RECT 0.085000  1.345000 1.035000 1.355000 ;
+      RECT 0.085000  1.355000 1.045000 1.360000 ;
+      RECT 0.085000  1.360000 0.345000 1.810000 ;
+      RECT 0.085000  1.980000 0.700000 2.080000 ;
+      RECT 0.085000  2.080000 0.690000 2.635000 ;
+      RECT 0.515000  1.710000 0.845000 1.955000 ;
+      RECT 0.515000  1.955000 0.700000 1.980000 ;
+      RECT 0.710000  1.360000 1.045000 1.365000 ;
+      RECT 0.710000  1.365000 1.060000 1.370000 ;
+      RECT 0.710000  1.370000 1.075000 1.380000 ;
+      RECT 0.710000  1.380000 1.100000 1.385000 ;
+      RECT 0.710000  1.385000 1.875000 1.390000 ;
+      RECT 0.740000  1.390000 1.875000 1.425000 ;
+      RECT 0.775000  1.425000 1.875000 1.450000 ;
+      RECT 0.805000  0.465000 0.975000 1.190000 ;
+      RECT 0.805000  1.450000 1.875000 1.480000 ;
+      RECT 0.825000  1.480000 1.875000 1.510000 ;
+      RECT 0.845000  1.510000 1.875000 1.540000 ;
+      RECT 0.915000  1.540000 1.875000 1.550000 ;
+      RECT 0.940000  1.550000 1.875000 1.560000 ;
+      RECT 0.960000  1.560000 1.875000 1.575000 ;
+      RECT 0.980000  1.575000 1.875000 1.590000 ;
+      RECT 0.985000  1.590000 1.770000 1.600000 ;
+      RECT 1.000000  1.600000 1.770000 1.635000 ;
+      RECT 1.015000  1.635000 1.770000 1.885000 ;
+      RECT 1.515000  2.090000 1.770000 2.635000 ;
+      RECT 1.535000  0.085000 1.785000 0.625000 ;
+      RECT 1.645000  0.990000 1.875000 1.385000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_1
+MACRO sky130_fd_sc_hd__clkinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.575000 1.455000 10.480000 1.665000 ;
+        RECT  0.575000 1.665000  0.830000 2.465000 ;
+        RECT  1.435000 1.665000  1.690000 2.450000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.325000 1.665000  2.550000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.155000 1.665000  3.410000 2.450000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.015000 1.665000  4.255000 2.450000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  4.905000 1.665000  5.280000 2.450000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.925000 1.665000  6.175000 2.450000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.785000 1.665000  7.035000 2.450000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.645000 1.665000  7.895000 2.450000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.505000 1.665000  8.755000 2.450000 ;
+        RECT  9.365000 1.665000  9.605000 2.450000 ;
+        RECT 10.225000 1.665000 10.480000 2.450000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.140000  1.495000  0.405000 2.635000 ;
+      RECT  1.000000  1.835000  1.260000 2.635000 ;
+      RECT  1.855000  0.085000  2.125000 0.610000 ;
+      RECT  1.865000  1.835000  2.120000 2.635000 ;
+      RECT  2.720000  0.085000  2.985000 0.610000 ;
+      RECT  2.720000  1.835000  2.980000 2.635000 ;
+      RECT  3.580000  0.085000  3.845000 0.610000 ;
+      RECT  3.585000  1.835000  3.840000 2.635000 ;
+      RECT  4.465000  0.085000  4.730000 0.610000 ;
+      RECT  4.465000  1.835000  4.720000 2.635000 ;
+      RECT  5.490000  0.085000  5.755000 0.610000 ;
+      RECT  5.490000  1.835000  5.745000 2.120000 ;
+      RECT  5.490000  2.120000  5.750000 2.635000 ;
+      RECT  6.350000  0.085000  6.575000 0.610000 ;
+      RECT  6.355000  1.835000  6.610000 2.635000 ;
+      RECT  7.210000  0.085000  7.475000 0.610000 ;
+      RECT  7.215000  1.835000  7.470000 2.635000 ;
+      RECT  8.070000  0.085000  8.335000 0.610000 ;
+      RECT  8.075000  1.835000  8.330000 2.635000 ;
+      RECT  8.930000  0.085000  9.195000 0.610000 ;
+      RECT  8.935000  1.835000  9.190000 2.635000 ;
+      RECT  9.795000  1.835000 10.050000 2.635000 ;
+      RECT 10.650000  1.835000 10.910000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_16
+MACRO sky130_fd_sc_hd__clkinv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.605000 1.630000 0.860000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.465000 1.630000 1.720000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.320000 1.630000 2.580000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.800000 0.430000 2.635000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.030000  1.800000 1.290000 2.635000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 1.890000  1.800000 2.150000 2.635000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+      RECT 2.750000  1.800000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_4
+MACRO sky130_fd_sc_hd__clkinv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.840000 0.760000 ;
+        RECT 0.515000 0.760000 1.295000 1.290000 ;
+        RECT 0.515000 1.290000 0.845000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  1.665000 0.345000 2.635000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+      RECT 1.015000  1.665000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_1
+MACRO sky130_fd_sc_hd__clkinv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.410000 2.435000 ;
+        RECT 1.010000 1.630000 1.270000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 0.580000  1.800000 0.840000 2.635000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+      RECT 1.440000  1.800000 1.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_2
+MACRO sky130_fd_sc_hd__clkinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.565000 1.630000 0.805000 2.435000 ;
+        RECT 1.405000 1.630000 1.645000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.245000 1.630000 2.495000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.080000 1.630000 3.325000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.920000 1.630000 4.175000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.765000 1.630000 5.005000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.135000  1.800000 0.395000 2.635000 ;
+      RECT 0.975000  1.800000 1.235000 2.635000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.815000  1.800000 2.075000 2.635000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.665000  1.800000 2.910000 2.635000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.495000  1.800000 3.750000 2.635000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.345000  1.800000 4.595000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+      RECT 5.175000  1.800000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_8
+MACRO sky130_fd_sc_hd__mux4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.540000 0.375000 6.850000 0.995000 ;
+        RECT 6.540000 0.995000 6.950000 1.075000 ;
+        RECT 6.640000 1.075000 6.950000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 0.715000 5.120000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.765000 1.240000 1.095000 ;
+        RECT 1.025000 0.395000 1.240000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.715000 2.620000 1.015000 ;
+        RECT 2.415000 1.015000 2.620000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.975000 0.330000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.445000 0.320000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 1.445000 1.395000 1.615000 ;
+        RECT 1.225000 1.285000 1.395000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.445000 1.240000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.130000 1.245000 6.470000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.130000 1.445000 6.300000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.380000 1.460000 ;
+        RECT 0.085000 1.460000 6.360000 1.600000 ;
+        RECT 0.085000 1.600000 0.380000 1.645000 ;
+        RECT 1.010000 1.415000 1.300000 1.460000 ;
+        RECT 1.010000 1.600000 1.300000 1.645000 ;
+        RECT 6.070000 1.415000 6.360000 1.460000 ;
+        RECT 6.070000 1.600000 6.360000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 0.715000 3.080000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.360000 1.835000 7.770000 2.455000 ;
+        RECT 7.440000 0.265000 7.770000 0.725000 ;
+        RECT 7.460000 1.495000 7.770000 1.835000 ;
+        RECT 7.600000 0.725000 7.770000 1.065000 ;
+        RECT 7.600000 1.065000 8.685000 1.305000 ;
+        RECT 7.600000 1.305000 7.770000 1.495000 ;
+        RECT 8.360000 0.265000 8.685000 1.065000 ;
+        RECT 8.360000 1.305000 8.685000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.345000 0.345000 0.635000 ;
+      RECT 0.135000  0.635000 0.670000 0.805000 ;
+      RECT 0.135000  1.915000 1.905000 1.955000 ;
+      RECT 0.135000  1.955000 0.670000 2.085000 ;
+      RECT 0.135000  2.085000 0.345000 2.375000 ;
+      RECT 0.500000  0.805000 0.670000 1.785000 ;
+      RECT 0.500000  1.785000 1.905000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.705000 1.735000 1.035000 ;
+      RECT 1.415000  2.125000 2.245000 2.295000 ;
+      RECT 1.475000  0.365000 2.075000 0.535000 ;
+      RECT 1.565000  1.035000 1.735000 1.575000 ;
+      RECT 1.565000  1.575000 1.905000 1.785000 ;
+      RECT 1.905000  0.535000 2.075000 1.235000 ;
+      RECT 1.905000  1.235000 2.245000 1.405000 ;
+      RECT 2.075000  1.405000 2.245000 2.125000 ;
+      RECT 2.455000  0.085000 2.785000 0.545000 ;
+      RECT 2.600000  2.055000 2.830000 2.635000 ;
+      RECT 2.975000  1.785000 3.320000 1.955000 ;
+      RECT 2.990000  0.295000 3.420000 0.465000 ;
+      RECT 3.150000  1.490000 3.420000 1.660000 ;
+      RECT 3.150000  1.660000 3.320000 1.785000 ;
+      RECT 3.250000  0.465000 3.420000 1.060000 ;
+      RECT 3.250000  1.060000 3.485000 1.390000 ;
+      RECT 3.250000  1.390000 3.420000 1.490000 ;
+      RECT 3.310000  2.125000 3.825000 2.295000 ;
+      RECT 3.575000  1.810000 3.825000 2.125000 ;
+      RECT 3.590000  0.345000 3.825000 0.675000 ;
+      RECT 3.655000  0.675000 3.825000 1.810000 ;
+      RECT 3.995000  0.345000 4.185000 2.125000 ;
+      RECT 3.995000  2.125000 4.520000 2.295000 ;
+      RECT 4.400000  0.255000 4.605000 0.585000 ;
+      RECT 4.400000  0.585000 4.570000 1.565000 ;
+      RECT 4.400000  1.565000 5.500000 1.735000 ;
+      RECT 4.400000  1.735000 4.590000 1.895000 ;
+      RECT 4.760000  2.005000 5.105000 2.635000 ;
+      RECT 4.800000  0.085000 5.130000 0.545000 ;
+      RECT 5.330000  0.295000 6.225000 0.465000 ;
+      RECT 5.330000  0.465000 5.500000 1.565000 ;
+      RECT 5.330000  1.735000 5.500000 2.155000 ;
+      RECT 5.330000  2.155000 6.280000 2.325000 ;
+      RECT 5.670000  0.705000 6.290000 1.035000 ;
+      RECT 5.670000  1.035000 5.960000 1.985000 ;
+      RECT 6.530000  2.125000 6.850000 2.295000 ;
+      RECT 6.680000  1.495000 7.290000 1.665000 ;
+      RECT 6.680000  1.665000 6.850000 2.125000 ;
+      RECT 7.020000  0.085000 7.270000 0.815000 ;
+      RECT 7.020000  1.835000 7.190000 2.635000 ;
+      RECT 7.120000  0.995000 7.430000 1.325000 ;
+      RECT 7.120000  1.325000 7.290000 1.495000 ;
+      RECT 7.940000  0.085000 8.190000 0.885000 ;
+      RECT 7.940000  1.495000 8.190000 2.635000 ;
+      RECT 8.855000  0.085000 9.105000 0.885000 ;
+      RECT 8.855000  1.495000 9.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.785000 1.700000 1.955000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  2.125000 2.160000 2.295000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.370000  2.125000 3.540000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  2.125000 4.460000 2.295000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.670000  1.785000 5.840000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  2.125000 6.760000 2.295000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.755000 1.760000 1.800000 ;
+      RECT 1.470000 1.800000 5.900000 1.940000 ;
+      RECT 1.470000 1.940000 1.760000 1.985000 ;
+      RECT 1.930000 2.095000 2.220000 2.140000 ;
+      RECT 1.930000 2.140000 3.600000 2.280000 ;
+      RECT 1.930000 2.280000 2.220000 2.325000 ;
+      RECT 3.310000 2.095000 3.600000 2.140000 ;
+      RECT 3.310000 2.280000 3.600000 2.325000 ;
+      RECT 4.230000 2.095000 4.520000 2.140000 ;
+      RECT 4.230000 2.140000 6.820000 2.280000 ;
+      RECT 4.230000 2.280000 4.520000 2.325000 ;
+      RECT 5.610000 1.755000 5.900000 1.800000 ;
+      RECT 5.610000 1.940000 5.900000 1.985000 ;
+      RECT 6.530000 2.095000 6.820000 2.140000 ;
+      RECT 6.530000 2.280000 6.820000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_4
+MACRO sky130_fd_sc_hd__mux4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 0.995000 1.240000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.495000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.250000 1.055000 5.580000 1.675000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.800000 1.055000 5.045000 1.675000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.265000 0.995000 3.565000 1.995000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.995000 6.345000 1.675000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 0.255000 9.575000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 1.185000 0.805000 ;
+      RECT 0.175000  1.795000 1.705000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 2.090000 0.425000 ;
+      RECT 1.015000  0.425000 1.185000 0.635000 ;
+      RECT 1.015000  2.135000 1.185000 2.295000 ;
+      RECT 1.015000  2.295000 2.545000 2.465000 ;
+      RECT 1.410000  0.595000 1.750000 0.765000 ;
+      RECT 1.410000  0.765000 1.700000 0.935000 ;
+      RECT 1.410000  0.935000 1.580000 1.455000 ;
+      RECT 1.410000  1.455000 2.045000 1.625000 ;
+      RECT 1.535000  1.965000 1.705000 2.125000 ;
+      RECT 1.875000  1.625000 2.045000 1.955000 ;
+      RECT 1.875000  1.955000 2.205000 2.125000 ;
+      RECT 1.920000  0.425000 2.090000 0.760000 ;
+      RECT 2.080000  1.105000 2.620000 1.285000 ;
+      RECT 2.260000  0.430000 2.620000 1.105000 ;
+      RECT 2.260000  1.285000 2.620000 1.395000 ;
+      RECT 2.260000  1.395000 3.065000 1.625000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.715000  1.625000 3.065000 2.465000 ;
+      RECT 2.800000  0.085000 3.090000 0.805000 ;
+      RECT 3.235000  2.255000 3.565000 2.635000 ;
+      RECT 3.380000  0.255000 4.980000 0.425000 ;
+      RECT 3.380000  0.425000 3.550000 0.795000 ;
+      RECT 3.720000  0.595000 4.050000 0.845000 ;
+      RECT 3.735000  0.845000 4.050000 0.920000 ;
+      RECT 3.735000  0.920000 3.905000 1.445000 ;
+      RECT 3.735000  1.445000 4.495000 1.615000 ;
+      RECT 3.825000  1.785000 3.995000 2.295000 ;
+      RECT 3.825000  2.295000 4.835000 2.465000 ;
+      RECT 4.075000  1.095000 4.405000 1.105000 ;
+      RECT 4.075000  1.105000 4.460000 1.265000 ;
+      RECT 4.165000  1.615000 4.495000 2.125000 ;
+      RECT 4.220000  0.595000 4.390000 0.715000 ;
+      RECT 4.220000  0.715000 5.740000 0.885000 ;
+      RECT 4.220000  0.885000 4.390000 0.925000 ;
+      RECT 4.290000  1.265000 4.460000 1.275000 ;
+      RECT 4.625000  0.425000 4.980000 0.465000 ;
+      RECT 4.665000  1.915000 5.730000 2.085000 ;
+      RECT 4.665000  2.085000 4.835000 2.295000 ;
+      RECT 5.060000  2.255000 5.390000 2.635000 ;
+      RECT 5.150000  0.085000 5.320000 0.545000 ;
+      RECT 5.495000  0.295000 5.740000 0.715000 ;
+      RECT 5.560000  2.085000 5.730000 2.465000 ;
+      RECT 5.980000  2.255000 6.330000 2.635000 ;
+      RECT 6.010000  0.085000 6.340000 0.465000 ;
+      RECT 6.500000  2.135000 6.685000 2.465000 ;
+      RECT 6.510000  0.325000 6.685000 0.655000 ;
+      RECT 6.515000  0.655000 6.685000 1.105000 ;
+      RECT 6.515000  1.105000 6.805000 1.275000 ;
+      RECT 6.515000  1.275000 6.685000 2.135000 ;
+      RECT 6.980000  0.765000 7.220000 0.935000 ;
+      RECT 6.980000  0.935000 7.150000 2.135000 ;
+      RECT 6.980000  2.135000 7.190000 2.465000 ;
+      RECT 7.030000  0.255000 7.200000 0.415000 ;
+      RECT 7.030000  0.415000 7.560000 0.585000 ;
+      RECT 7.360000  2.255000 7.690000 2.295000 ;
+      RECT 7.360000  2.295000 8.645000 2.465000 ;
+      RECT 7.390000  0.585000 7.560000 1.755000 ;
+      RECT 7.390000  1.755000 8.175000 1.985000 ;
+      RECT 7.730000  0.255000 8.725000 0.425000 ;
+      RECT 7.730000  0.425000 7.900000 0.585000 ;
+      RECT 7.845000  1.985000 8.175000 2.125000 ;
+      RECT 7.970000  0.765000 8.385000 0.925000 ;
+      RECT 7.970000  0.925000 8.380000 0.935000 ;
+      RECT 8.190000  1.105000 8.645000 1.275000 ;
+      RECT 8.210000  0.595000 8.385000 0.765000 ;
+      RECT 8.475000  1.665000 9.125000 1.835000 ;
+      RECT 8.475000  1.835000 8.645000 2.295000 ;
+      RECT 8.555000  0.425000 8.725000 0.715000 ;
+      RECT 8.555000  0.715000 9.125000 0.885000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 8.895000  0.085000 9.065000 0.545000 ;
+      RECT 8.955000  0.885000 9.125000 1.665000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.105000 2.620000 1.275000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.105000 4.460000 1.275000 ;
+      RECT 4.325000  1.785000 4.495000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.635000  1.105000 6.805000 1.275000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.050000  0.765000 7.220000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.555000  1.785000 7.725000 1.955000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.475000  1.105000 8.645000 1.275000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 8.200000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 2.390000 1.075000 2.680000 1.120000 ;
+      RECT 2.390000 1.120000 4.520000 1.260000 ;
+      RECT 2.390000 1.260000 2.680000 1.305000 ;
+      RECT 4.230000 1.075000 4.520000 1.120000 ;
+      RECT 4.230000 1.260000 4.520000 1.305000 ;
+      RECT 4.265000 1.755000 4.555000 1.800000 ;
+      RECT 4.265000 1.800000 7.785000 1.940000 ;
+      RECT 4.265000 1.940000 4.555000 1.985000 ;
+      RECT 6.575000 1.075000 6.865000 1.120000 ;
+      RECT 6.575000 1.120000 8.705000 1.260000 ;
+      RECT 6.575000 1.260000 6.865000 1.305000 ;
+      RECT 6.990000 0.735000 7.280000 0.780000 ;
+      RECT 6.990000 0.920000 7.280000 0.965000 ;
+      RECT 7.495000 1.755000 7.785000 1.800000 ;
+      RECT 7.495000 1.940000 7.785000 1.985000 ;
+      RECT 7.910000 0.735000 8.200000 0.780000 ;
+      RECT 7.910000 0.920000 8.200000 0.965000 ;
+      RECT 8.415000 1.075000 8.705000 1.120000 ;
+      RECT 8.415000 1.260000 8.705000 1.305000 ;
+  END
+END sky130_fd_sc_hd__mux4_1
+MACRO sky130_fd_sc_hd__mux4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.535000 0.375000 6.845000 0.995000 ;
+        RECT 6.535000 0.995000 6.945000 1.075000 ;
+        RECT 6.635000 1.075000 6.945000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.715000 5.115000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.765000 1.235000 1.095000 ;
+        RECT 1.020000 0.395000 1.235000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.715000 2.615000 1.015000 ;
+        RECT 2.410000 1.015000 2.615000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.975000 0.325000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.445000 0.315000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.445000 1.390000 1.615000 ;
+        RECT 1.220000 1.285000 1.390000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.445000 1.235000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.125000 1.245000 6.465000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.125000 1.445000 6.295000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.375000 1.460000 ;
+        RECT 0.085000 1.460000 6.355000 1.600000 ;
+        RECT 0.085000 1.600000 0.375000 1.645000 ;
+        RECT 1.005000 1.415000 1.295000 1.460000 ;
+        RECT 1.005000 1.600000 1.295000 1.645000 ;
+        RECT 6.065000 1.415000 6.355000 1.460000 ;
+        RECT 6.065000 1.600000 6.355000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 0.715000 3.075000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.355000 1.835000 7.765000 2.455000 ;
+        RECT 7.435000 0.265000 7.765000 0.725000 ;
+        RECT 7.455000 1.495000 7.765000 1.835000 ;
+        RECT 7.595000 0.725000 7.765000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.665000 0.805000 ;
+      RECT 0.175000  1.915000 1.900000 1.955000 ;
+      RECT 0.175000  1.955000 0.665000 2.085000 ;
+      RECT 0.175000  2.085000 0.345000 2.375000 ;
+      RECT 0.495000  0.805000 0.665000 1.785000 ;
+      RECT 0.495000  1.785000 1.900000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.405000  0.705000 1.730000 1.035000 ;
+      RECT 1.410000  2.125000 2.240000 2.295000 ;
+      RECT 1.470000  0.365000 2.070000 0.535000 ;
+      RECT 1.560000  1.035000 1.730000 1.575000 ;
+      RECT 1.560000  1.575000 1.900000 1.785000 ;
+      RECT 1.900000  0.535000 2.070000 1.235000 ;
+      RECT 1.900000  1.235000 2.240000 1.405000 ;
+      RECT 2.070000  1.405000 2.240000 2.125000 ;
+      RECT 2.450000  0.085000 2.780000 0.545000 ;
+      RECT 2.595000  2.055000 2.825000 2.635000 ;
+      RECT 2.970000  1.785000 3.315000 1.955000 ;
+      RECT 2.985000  0.295000 3.415000 0.465000 ;
+      RECT 3.145000  1.490000 3.415000 1.660000 ;
+      RECT 3.145000  1.660000 3.315000 1.785000 ;
+      RECT 3.245000  0.465000 3.415000 1.060000 ;
+      RECT 3.245000  1.060000 3.480000 1.390000 ;
+      RECT 3.245000  1.390000 3.415000 1.490000 ;
+      RECT 3.305000  2.125000 3.820000 2.295000 ;
+      RECT 3.565000  1.810000 3.820000 2.125000 ;
+      RECT 3.585000  0.345000 3.820000 0.675000 ;
+      RECT 3.650000  0.675000 3.820000 1.810000 ;
+      RECT 3.990000  0.345000 4.180000 2.125000 ;
+      RECT 3.990000  2.125000 4.515000 2.295000 ;
+      RECT 4.395000  0.255000 4.600000 0.585000 ;
+      RECT 4.395000  0.585000 4.565000 1.565000 ;
+      RECT 4.395000  1.565000 5.495000 1.735000 ;
+      RECT 4.395000  1.735000 4.585000 1.895000 ;
+      RECT 4.755000  2.005000 5.100000 2.635000 ;
+      RECT 4.795000  0.085000 5.125000 0.545000 ;
+      RECT 5.325000  0.295000 6.220000 0.465000 ;
+      RECT 5.325000  0.465000 5.495000 1.565000 ;
+      RECT 5.325000  1.735000 5.495000 2.155000 ;
+      RECT 5.325000  2.155000 6.275000 2.325000 ;
+      RECT 5.665000  0.705000 6.285000 1.035000 ;
+      RECT 5.665000  1.035000 5.955000 1.985000 ;
+      RECT 6.525000  2.125000 6.845000 2.295000 ;
+      RECT 6.675000  1.495000 7.285000 1.665000 ;
+      RECT 6.675000  1.665000 6.845000 2.125000 ;
+      RECT 7.015000  0.085000 7.265000 0.815000 ;
+      RECT 7.015000  1.835000 7.185000 2.635000 ;
+      RECT 7.115000  0.995000 7.425000 1.325000 ;
+      RECT 7.115000  1.325000 7.285000 1.495000 ;
+      RECT 7.935000  0.085000 8.190000 0.885000 ;
+      RECT 7.935000  1.495000 8.185000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.785000 1.695000 1.955000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.125000 2.155000 2.295000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.125000 3.535000 2.295000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  1.785000 5.835000 1.955000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.125000 6.755000 2.295000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.800000 5.895000 1.940000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+      RECT 1.925000 2.095000 2.215000 2.140000 ;
+      RECT 1.925000 2.140000 3.595000 2.280000 ;
+      RECT 1.925000 2.280000 2.215000 2.325000 ;
+      RECT 3.305000 2.095000 3.595000 2.140000 ;
+      RECT 3.305000 2.280000 3.595000 2.325000 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.815000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 5.605000 1.755000 5.895000 1.800000 ;
+      RECT 5.605000 1.940000 5.895000 1.985000 ;
+      RECT 6.525000 2.095000 6.815000 2.140000 ;
+      RECT 6.525000 2.280000 6.815000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_2
+MACRO sky130_fd_sc_hd__a221oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.675000 2.200000 1.075000 ;
+        RECT 1.945000 1.075000 2.275000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 0.995000 2.755000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.695000 1.285000 ;
+        RECT 1.415000 0.675000 1.695000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.615000 1.075000 1.055000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.285000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.767000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.170000 0.255000 0.345000 0.735000 ;
+        RECT 0.170000 0.735000 1.235000 0.905000 ;
+        RECT 0.175000 1.455000 2.300000 1.495000 ;
+        RECT 0.175000 1.495000 3.135000 1.625000 ;
+        RECT 0.175000 1.625000 0.345000 2.465000 ;
+        RECT 1.065000 0.255000 2.580000 0.505000 ;
+        RECT 1.065000 0.505000 1.235000 0.735000 ;
+        RECT 2.150000 1.625000 3.135000 1.665000 ;
+        RECT 2.380000 0.505000 2.580000 0.655000 ;
+        RECT 2.380000 0.655000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.025000 1.835000 ;
+      RECT 1.015000  1.835000 2.625000 2.045000 ;
+      RECT 1.015000  2.045000 1.240000 2.125000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.205000 2.635000 ;
+      RECT 2.375000  2.045000 2.625000 2.465000 ;
+      RECT 2.750000  0.085000 3.080000 0.485000 ;
+      RECT 2.795000  1.875000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_1
+MACRO sky130_fd_sc_hd__a221oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 4.480000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.435000 1.075000 3.765000 1.445000 ;
+        RECT 3.435000 1.445000 4.820000 1.615000 ;
+        RECT 4.650000 1.075000 5.435000 1.275000 ;
+        RECT 4.650000 1.275000 4.820000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.765000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 2.040000 1.445000 ;
+        RECT 1.505000 1.445000 3.265000 1.615000 ;
+        RECT 2.935000 1.075000 3.265000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.420000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.305000 0.855000 0.725000 ;
+        RECT 0.525000 0.725000 4.395000 0.865000 ;
+        RECT 0.605000 0.865000 4.395000 0.905000 ;
+        RECT 0.605000 0.905000 0.855000 2.125000 ;
+        RECT 2.285000 0.645000 2.635000 0.725000 ;
+        RECT 4.065000 0.645000 4.395000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  1.795000 0.435000 2.295000 ;
+      RECT 0.090000  2.295000 1.275000 2.465000 ;
+      RECT 0.105000  0.085000 0.355000 0.895000 ;
+      RECT 1.025000  0.085000 1.715000 0.555000 ;
+      RECT 1.025000  1.495000 1.275000 1.785000 ;
+      RECT 1.025000  1.785000 3.015000 1.955000 ;
+      RECT 1.025000  1.955000 1.275000 2.295000 ;
+      RECT 1.505000  2.125000 1.755000 2.295000 ;
+      RECT 1.505000  2.295000 3.475000 2.465000 ;
+      RECT 1.885000  0.255000 3.055000 0.475000 ;
+      RECT 1.925000  1.955000 2.175000 2.125000 ;
+      RECT 2.345000  2.125000 2.595000 2.295000 ;
+      RECT 2.765000  1.955000 3.015000 2.125000 ;
+      RECT 3.225000  1.785000 5.195000 1.955000 ;
+      RECT 3.225000  1.955000 3.475000 2.295000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.645000  0.255000 4.815000 0.475000 ;
+      RECT 3.685000  2.125000 3.935000 2.635000 ;
+      RECT 4.105000  1.955000 4.355000 2.465000 ;
+      RECT 4.525000  2.125000 4.775000 2.635000 ;
+      RECT 4.565000  0.475000 4.815000 0.905000 ;
+      RECT 4.985000  0.085000 5.155000 0.905000 ;
+      RECT 4.990000  1.455000 5.195000 1.785000 ;
+      RECT 4.990000  1.955000 5.195000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_2
+MACRO sky130_fd_sc_hd__a221oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.265000 1.615000 ;
+        RECT 8.095000 1.075000 9.575000 1.275000 ;
+        RECT 8.095000 1.275000 8.265000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.935000 0.995000 5.285000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.415000 0.995000 3.765000 1.325000 ;
+        RECT 3.595000 1.325000 3.765000 1.445000 ;
+        RECT 3.595000 1.445000 5.795000 1.615000 ;
+        RECT 5.465000 1.075000 5.795000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.335000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.905000 ;
+        RECT 0.575000 1.445000 1.705000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.125000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 1.615000 1.665000 2.125000 ;
+        RECT 1.505000 0.905000 1.705000 1.095000 ;
+        RECT 1.505000 1.095000 3.245000 1.275000 ;
+        RECT 1.505000 1.275000 1.705000 1.445000 ;
+        RECT 3.075000 0.645000 5.680000 0.735000 ;
+        RECT 3.075000 0.735000 7.765000 0.820000 ;
+        RECT 3.075000 0.820000 3.245000 1.095000 ;
+        RECT 5.510000 0.820000 6.460000 0.905000 ;
+        RECT 6.290000 0.645000 7.765000 0.735000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  1.445000 0.405000 2.295000 ;
+      RECT 0.090000  2.295000 2.125000 2.465000 ;
+      RECT 0.115000  0.085000 0.365000 0.895000 ;
+      RECT 0.995000  1.785000 1.245000 2.295000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.875000  0.085000 2.045000 0.645000 ;
+      RECT 1.875000  0.645000 2.905000 0.925000 ;
+      RECT 1.875000  1.445000 3.030000 1.615000 ;
+      RECT 1.875000  1.615000 2.125000 2.295000 ;
+      RECT 2.235000  0.255000 5.585000 0.425000 ;
+      RECT 2.235000  0.425000 2.610000 0.475000 ;
+      RECT 2.315000  1.795000 2.565000 2.215000 ;
+      RECT 2.315000  2.215000 6.005000 2.465000 ;
+      RECT 2.735000  0.595000 2.905000 0.645000 ;
+      RECT 2.735000  1.615000 3.030000 1.835000 ;
+      RECT 2.735000  1.835000 5.585000 2.045000 ;
+      RECT 3.035000  0.425000 5.585000 0.475000 ;
+      RECT 5.755000  1.785000 8.605000 2.045000 ;
+      RECT 5.755000  2.045000 6.005000 2.215000 ;
+      RECT 5.835000  0.085000 6.005000 0.555000 ;
+      RECT 6.175000  0.255000 8.185000 0.475000 ;
+      RECT 6.175000  2.215000 8.185000 2.635000 ;
+      RECT 7.935000  0.475000 8.185000 0.725000 ;
+      RECT 7.935000  0.725000 9.025000 0.905000 ;
+      RECT 8.355000  0.085000 8.525000 0.555000 ;
+      RECT 8.355000  2.045000 8.525000 2.465000 ;
+      RECT 8.435000  1.445000 9.405000 1.615000 ;
+      RECT 8.435000  1.615000 8.605000 1.785000 ;
+      RECT 8.695000  0.255000 9.025000 0.725000 ;
+      RECT 8.775000  1.795000 8.945000 2.635000 ;
+      RECT 9.155000  1.615000 9.405000 2.465000 ;
+      RECT 9.195000  0.085000 9.365000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_4
+MACRO sky130_fd_sc_hd__dfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.675000 0.255000  9.005000 0.735000 ;
+        RECT  8.675000 0.735000 10.440000 0.905000 ;
+        RECT  8.715000 1.455000 10.440000 1.625000 ;
+        RECT  8.715000 1.625000  9.005000 2.465000 ;
+        RECT  9.515000 0.255000  9.845000 0.735000 ;
+        RECT  9.555000 1.625000  9.805000 2.465000 ;
+        RECT 10.030000 0.905000 10.440000 1.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 10.580000 0.085000 ;
+      RECT  0.000000  2.635000 10.580000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  8.135000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.040000 2.635000 ;
+      RECT  7.815000  0.465000  8.135000 0.820000 ;
+      RECT  7.815000  0.820000  8.140000 1.075000 ;
+      RECT  7.815000  1.075000  9.845000 1.285000 ;
+      RECT  7.815000  1.285000  8.160000 1.295000 ;
+      RECT  7.990000  1.295000  8.160000 1.915000 ;
+      RECT  8.335000  0.085000  8.505000 0.895000 ;
+      RECT  8.335000  1.575000  8.505000 2.635000 ;
+      RECT  9.175000  0.085000  9.345000 0.555000 ;
+      RECT  9.175000  1.795000  9.345000 2.635000 ;
+      RECT 10.015000  0.085000 10.185000 0.555000 ;
+      RECT 10.015000  1.795000 10.185000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_4
+MACRO sky130_fd_sc_hd__dfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.105000 0.795000 ;
+        RECT 8.855000 1.445000 9.105000 2.325000 ;
+        RECT 8.900000 0.795000 9.105000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+      RECT 9.275000  0.085000 9.525000 0.840000 ;
+      RECT 9.275000  1.495000 9.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_2
+MACRO sky130_fd_sc_hd__dfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_1
+MACRO sky130_fd_sc_hd__fahcin_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.665000 1.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.240000 0.645000 4.490000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.285000 0.765000 4.455000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.515000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.225000 0.735000 4.515000 0.780000 ;
+        RECT 4.225000 0.920000 4.515000 0.965000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.520000 1.075000 10.965000 1.275000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.600000 0.755000 6.925000 0.925000 ;
+        RECT 6.600000 0.925000 6.870000 1.675000 ;
+        RECT 6.700000 1.675000 6.870000 1.785000 ;
+        RECT 6.755000 0.595000 6.925000 0.755000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.000000 1.785000 12.335000 2.465000 ;
+        RECT 12.125000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  1.970000 2.295000 ;
+      RECT  0.940000  2.295000  3.515000 2.385000 ;
+      RECT  0.995000  0.255000  3.390000 0.425000 ;
+      RECT  0.995000  0.425000  2.100000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.785000 ;
+      RECT  1.280000  1.785000  2.050000 1.955000 ;
+      RECT  1.280000  1.955000  1.450000 2.045000 ;
+      RECT  1.715000  2.385000  3.515000 2.465000 ;
+      RECT  1.985000  0.675000  2.390000 1.350000 ;
+      RECT  2.220000  0.595000  2.390000 0.675000 ;
+      RECT  2.220000  1.350000  2.390000 1.785000 ;
+      RECT  2.515000  0.425000  3.390000 0.465000 ;
+      RECT  2.565000  1.785000  2.895000 2.045000 ;
+      RECT  2.620000  0.655000  3.025000 0.735000 ;
+      RECT  2.620000  0.735000  3.135000 0.755000 ;
+      RECT  2.620000  0.755000  3.730000 0.905000 ;
+      RECT  2.640000  1.075000  2.970000 1.095000 ;
+      RECT  2.640000  1.095000  3.120000 1.245000 ;
+      RECT  2.800000  1.245000  3.120000 1.265000 ;
+      RECT  2.950000  1.265000  3.120000 1.615000 ;
+      RECT  3.055000  0.905000  3.730000 0.925000 ;
+      RECT  3.215000  0.465000  3.390000 0.585000 ;
+      RECT  3.245000  2.110000  3.460000 2.295000 ;
+      RECT  3.290000  0.925000  3.460000 2.110000 ;
+      RECT  3.560000  0.255000  4.570000 0.425000 ;
+      RECT  3.560000  0.425000  3.730000 0.755000 ;
+      RECT  3.710000  1.150000  4.070000 1.320000 ;
+      RECT  3.710000  1.320000  3.880000 2.290000 ;
+      RECT  3.710000  2.290000  5.065000 2.460000 ;
+      RECT  3.900000  0.595000  4.070000 1.150000 ;
+      RECT  4.080000  1.695000  4.445000 2.120000 ;
+      RECT  4.240000  0.425000  4.570000 0.475000 ;
+      RECT  4.690000  1.385000  5.170000 1.725000 ;
+      RECT  4.815000  1.895000  5.995000 2.065000 ;
+      RECT  4.815000  2.065000  5.065000 2.290000 ;
+      RECT  4.830000  0.510000  5.000000 0.995000 ;
+      RECT  4.830000  0.995000  5.630000 1.325000 ;
+      RECT  4.830000  1.325000  5.170000 1.385000 ;
+      RECT  5.180000  0.085000  5.510000 0.805000 ;
+      RECT  5.260000  2.235000  5.590000 2.635000 ;
+      RECT  5.635000  1.555000  6.370000 1.725000 ;
+      RECT  5.680000  0.380000  5.970000 0.815000 ;
+      RECT  5.800000  0.815000  5.970000 1.555000 ;
+      RECT  5.825000  2.065000  5.995000 2.295000 ;
+      RECT  5.825000  2.295000  7.950000 2.465000 ;
+      RECT  6.140000  0.740000  6.425000 1.325000 ;
+      RECT  6.200000  1.725000  6.370000 1.895000 ;
+      RECT  6.200000  1.895000  6.530000 1.955000 ;
+      RECT  6.200000  1.955000  7.210000 2.125000 ;
+      RECT  6.255000  0.255000  7.695000 0.425000 ;
+      RECT  6.255000  0.425000  6.585000 0.570000 ;
+      RECT  7.040000  1.060000  7.270000 1.230000 ;
+      RECT  7.040000  1.230000  7.210000 1.955000 ;
+      RECT  7.100000  0.595000  7.350000 0.925000 ;
+      RECT  7.100000  0.925000  7.270000 1.060000 ;
+      RECT  7.380000  1.360000  7.610000 1.530000 ;
+      RECT  7.380000  1.530000  7.550000 2.125000 ;
+      RECT  7.440000  1.105000  7.695000 1.290000 ;
+      RECT  7.440000  1.290000  7.610000 1.360000 ;
+      RECT  7.520000  0.425000  7.695000 1.105000 ;
+      RECT  7.780000  1.550000  8.035000 1.720000 ;
+      RECT  7.780000  1.720000  7.950000 2.295000 ;
+      RECT  7.865000  0.255000  9.980000 0.425000 ;
+      RECT  7.865000  0.425000  8.035000 0.740000 ;
+      RECT  7.865000  0.995000  8.035000 1.550000 ;
+      RECT  8.220000  1.955000  8.390000 2.295000 ;
+      RECT  8.220000  2.295000  9.410000 2.465000 ;
+      RECT  8.305000  0.595000  8.555000 0.925000 ;
+      RECT  8.375000  0.925000  8.555000 1.445000 ;
+      RECT  8.375000  1.445000  8.670000 1.530000 ;
+      RECT  8.375000  1.530000  8.890000 1.785000 ;
+      RECT  8.560000  1.785000  8.890000 2.125000 ;
+      RECT  8.725000  0.595000  9.410000 0.765000 ;
+      RECT  8.835000  0.995000  9.070000 1.325000 ;
+      RECT  9.240000  0.765000  9.410000 1.875000 ;
+      RECT  9.240000  1.875000 10.885000 2.025000 ;
+      RECT  9.240000  2.025000 10.145000 2.030000 ;
+      RECT  9.240000  2.030000 10.130000 2.035000 ;
+      RECT  9.240000  2.035000 10.120000 2.040000 ;
+      RECT  9.240000  2.040000 10.105000 2.045000 ;
+      RECT  9.240000  2.045000  9.410000 2.295000 ;
+      RECT  9.640000  0.425000  9.980000 0.825000 ;
+      RECT  9.640000  0.825000  9.810000 1.535000 ;
+      RECT  9.640000  1.535000 10.010000 1.705000 ;
+      RECT  9.980000  0.995000 10.350000 1.325000 ;
+      RECT 10.055000  1.870000 10.885000 1.875000 ;
+      RECT 10.070000  1.865000 10.885000 1.870000 ;
+      RECT 10.085000  1.860000 10.885000 1.865000 ;
+      RECT 10.100000  1.855000 10.885000 1.860000 ;
+      RECT 10.180000  0.085000 10.350000 0.565000 ;
+      RECT 10.180000  0.735000 10.910000 0.905000 ;
+      RECT 10.180000  0.905000 10.350000 0.995000 ;
+      RECT 10.180000  1.325000 10.350000 1.445000 ;
+      RECT 10.180000  1.445000 10.885000 1.855000 ;
+      RECT 10.190000  2.195000 10.360000 2.635000 ;
+      RECT 10.530000  0.285000 10.910000 0.735000 ;
+      RECT 10.535000  2.025000 10.885000 2.465000 ;
+      RECT 11.075000  1.455000 11.405000 2.465000 ;
+      RECT 11.155000  0.270000 11.325000 0.680000 ;
+      RECT 11.155000  0.680000 11.405000 1.455000 ;
+      RECT 11.495000  0.085000 11.825000 0.510000 ;
+      RECT 11.575000  1.785000 11.830000 2.635000 ;
+      RECT 11.645000  0.995000 11.955000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.880000  1.785000  2.050000 1.955000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.570000  1.785000  2.740000 1.955000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.950000  1.445000  3.120000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.140000  1.785000  4.310000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.760000  1.445000  4.930000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.520000  0.765000  7.690000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.440000  1.445000  8.610000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.105000  9.070000 1.275000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  0.765000 11.390000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.820000 1.755000  2.110000 1.800000 ;
+      RECT  1.820000 1.800000  4.370000 1.940000 ;
+      RECT  1.820000 1.940000  2.110000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.130000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.510000 1.755000  2.800000 1.800000 ;
+      RECT  2.510000 1.940000  2.800000 1.985000 ;
+      RECT  2.890000 1.415000  3.180000 1.460000 ;
+      RECT  2.890000 1.460000  4.990000 1.600000 ;
+      RECT  2.890000 1.600000  3.180000 1.645000 ;
+      RECT  4.080000 1.755000  4.370000 1.800000 ;
+      RECT  4.080000 1.940000  4.370000 1.985000 ;
+      RECT  4.700000 1.415000  4.990000 1.460000 ;
+      RECT  4.700000 1.600000  4.990000 1.645000 ;
+      RECT  6.080000 1.075000  6.370000 1.120000 ;
+      RECT  6.080000 1.260000  6.370000 1.305000 ;
+      RECT  7.460000 0.735000  7.750000 0.780000 ;
+      RECT  7.460000 0.780000 11.450000 0.920000 ;
+      RECT  7.460000 0.920000  7.750000 0.965000 ;
+      RECT  8.380000 1.415000  8.670000 1.460000 ;
+      RECT  8.380000 1.460000 11.910000 1.600000 ;
+      RECT  8.380000 1.600000  8.670000 1.645000 ;
+      RECT  8.840000 1.075000  9.130000 1.120000 ;
+      RECT  8.840000 1.260000  9.130000 1.305000 ;
+      RECT 11.160000 0.735000 11.450000 0.780000 ;
+      RECT 11.160000 0.920000 11.450000 0.965000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcin_1
+MACRO sky130_fd_sc_hd__a222oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.000000 2.925000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.000000 3.435000 1.330000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.000000 2.445000 1.330000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.000000 1.965000 1.330000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.000000 0.545000 1.315000 ;
+    END
+  END C1
+  PIN C2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.715000 1.000000 1.085000 1.315000 ;
+    END
+  END C2
+  PIN Y
+    ANTENNADIFFAREA  0.897600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.425000 0.645000 ;
+        RECT 0.095000 0.645000 2.645000 0.815000 ;
+        RECT 0.095000 1.485000 0.425000 1.500000 ;
+        RECT 0.095000 1.500000 1.425000 1.670000 ;
+        RECT 0.095000 1.670000 0.425000 1.680000 ;
+        RECT 0.095000 1.680000 0.345000 2.255000 ;
+        RECT 0.095000 2.255000 0.425000 2.465000 ;
+        RECT 1.015000 1.670000 1.185000 1.830000 ;
+        RECT 1.255000 0.815000 1.480000 1.330000 ;
+        RECT 1.255000 1.330000 1.425000 1.500000 ;
+        RECT 2.315000 0.295000 2.645000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.000000 0.000000 3.680000 0.240000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  1.875000 0.845000 2.075000 ;
+      RECT 0.595000  2.075000 0.765000 2.295000 ;
+      RECT 0.595000  2.295000 2.185000 2.465000 ;
+      RECT 0.875000  0.085000 1.605000 0.465000 ;
+      RECT 1.515000  1.825000 2.015000 1.965000 ;
+      RECT 1.515000  1.965000 1.970000 1.970000 ;
+      RECT 1.515000  1.970000 1.935000 1.980000 ;
+      RECT 1.515000  1.980000 1.915000 1.995000 ;
+      RECT 1.845000  1.655000 3.595000 1.670000 ;
+      RECT 1.845000  1.670000 2.685000 1.735000 ;
+      RECT 1.845000  1.735000 2.605000 1.825000 ;
+      RECT 2.015000  2.135000 2.185000 2.295000 ;
+      RECT 2.355000  1.500000 3.595000 1.655000 ;
+      RECT 2.355000  1.825000 2.605000 2.255000 ;
+      RECT 2.355000  2.255000 2.685000 2.465000 ;
+      RECT 2.775000  1.905000 3.105000 2.075000 ;
+      RECT 2.855000  2.075000 3.025000 2.635000 ;
+      RECT 3.220000  1.670000 3.595000 1.735000 ;
+      RECT 3.255000  0.085000 3.585000 0.815000 ;
+      RECT 3.255000  2.255000 3.595000 2.465000 ;
+      RECT 3.335000  1.735000 3.595000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a222oi_1
+MACRO sky130_fd_sc_hd__sdfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.260000 0.305000 9.605000 0.820000 ;
+        RECT 9.260000 1.505000 9.605000 2.395000 ;
+        RECT 9.435000 0.820000 9.605000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.035000 4.020000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.250000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.080000 0.785000 3.250000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.810000 0.805000 ;
+      RECT 0.180000  1.795000  0.845000 1.965000 ;
+      RECT 0.180000  1.965000  0.350000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.520000  2.135000  0.850000 2.635000 ;
+      RECT 0.615000  0.805000  0.810000 0.970000 ;
+      RECT 0.615000  0.970000  0.845000 1.795000 ;
+      RECT 1.015000  0.345000  1.245000 0.715000 ;
+      RECT 1.020000  0.715000  1.245000 2.465000 ;
+      RECT 1.435000  0.275000  1.805000 0.445000 ;
+      RECT 1.435000  0.445000  1.605000 1.860000 ;
+      RECT 1.435000  1.860000  3.245000 2.075000 ;
+      RECT 1.435000  2.075000  1.710000 2.445000 ;
+      RECT 1.880000  2.245000  2.210000 2.635000 ;
+      RECT 1.975000  0.085000  2.305000 0.445000 ;
+      RECT 2.120000  0.955000  2.460000 1.125000 ;
+      RECT 2.120000  1.125000  2.290000 1.860000 ;
+      RECT 2.690000  2.245000  3.585000 2.415000 ;
+      RECT 2.820000  0.275000  3.590000 0.445000 ;
+      RECT 3.075000  1.355000  3.270000 1.685000 ;
+      RECT 3.075000  1.685000  3.245000 1.860000 ;
+      RECT 3.415000  1.825000  4.380000 1.995000 ;
+      RECT 3.415000  1.995000  3.585000 2.245000 ;
+      RECT 3.420000  0.445000  3.590000 0.695000 ;
+      RECT 3.420000  0.695000  4.380000 0.865000 ;
+      RECT 3.755000  2.165000  3.925000 2.635000 ;
+      RECT 3.760000  0.085000  3.960000 0.525000 ;
+      RECT 4.210000  0.365000  4.560000 0.535000 ;
+      RECT 4.210000  0.535000  4.380000 0.695000 ;
+      RECT 4.210000  0.865000  4.380000 1.825000 ;
+      RECT 4.210000  1.995000  4.380000 2.065000 ;
+      RECT 4.210000  2.065000  4.445000 2.440000 ;
+      RECT 4.550000  0.705000  5.130000 1.035000 ;
+      RECT 4.550000  1.035000  4.790000 1.905000 ;
+      RECT 4.690000  2.190000  5.760000 2.360000 ;
+      RECT 4.730000  0.365000  5.470000 0.535000 ;
+      RECT 4.980000  1.655000  5.420000 2.010000 ;
+      RECT 5.300000  0.535000  5.470000 1.315000 ;
+      RECT 5.300000  1.315000  6.100000 1.485000 ;
+      RECT 5.590000  1.485000  6.100000 1.575000 ;
+      RECT 5.590000  1.575000  5.760000 2.190000 ;
+      RECT 5.640000  0.765000  6.440000 1.065000 ;
+      RECT 5.640000  1.065000  5.810000 1.095000 ;
+      RECT 5.720000  0.085000  6.090000 0.585000 ;
+      RECT 5.930000  1.245000  6.100000 1.315000 ;
+      RECT 5.930000  1.835000  6.100000 2.635000 ;
+      RECT 6.270000  0.365000  6.730000 0.535000 ;
+      RECT 6.270000  0.535000  6.440000 0.765000 ;
+      RECT 6.270000  1.065000  6.440000 2.135000 ;
+      RECT 6.270000  2.135000  6.520000 2.465000 ;
+      RECT 6.610000  0.705000  7.160000 1.035000 ;
+      RECT 6.610000  1.245000  6.800000 1.965000 ;
+      RECT 6.745000  2.165000  7.630000 2.335000 ;
+      RECT 6.960000  0.365000  7.500000 0.535000 ;
+      RECT 6.970000  1.035000  7.160000 1.575000 ;
+      RECT 6.970000  1.575000  7.290000 1.905000 ;
+      RECT 7.330000  0.535000  7.500000 0.995000 ;
+      RECT 7.330000  0.995000  8.395000 1.325000 ;
+      RECT 7.330000  1.325000  7.630000 1.405000 ;
+      RECT 7.460000  1.405000  7.630000 2.165000 ;
+      RECT 7.745000  0.085000  8.115000 0.615000 ;
+      RECT 7.800000  1.575000  8.735000 1.905000 ;
+      RECT 7.810000  2.135000  8.115000 2.635000 ;
+      RECT 8.385000  0.300000  8.735000 0.825000 ;
+      RECT 8.465000  1.905000  8.735000 2.455000 ;
+      RECT 8.565000  0.825000  8.735000 0.995000 ;
+      RECT 8.565000  0.995000  9.265000 1.325000 ;
+      RECT 8.565000  1.325000  8.735000 1.575000 ;
+      RECT 8.905000  0.085000  9.075000 0.695000 ;
+      RECT 8.905000  1.625000  9.080000 2.635000 ;
+      RECT 9.775000  0.085000  9.945000 0.930000 ;
+      RECT 9.775000  1.405000  9.945000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.050000  0.765000 1.220000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.620000  1.785000 6.790000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.850000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.860000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.560000 1.755000 6.850000 1.800000 ;
+      RECT 6.560000 1.940000 6.850000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_2
+MACRO sky130_fd_sc_hd__sdfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  9.285000 0.305000  9.615000 0.735000 ;
+        RECT  9.285000 0.735000 10.955000 0.905000 ;
+        RECT  9.285000 1.505000 10.955000 1.675000 ;
+        RECT  9.285000 1.675000  9.615000 2.395000 ;
+        RECT 10.135000 0.305000 10.465000 0.735000 ;
+        RECT 10.135000 1.675000 10.465000 2.395000 ;
+        RECT 10.655000 0.905000 10.955000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.025000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.385000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.385000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.215000  0.365000  4.565000 0.535000 ;
+      RECT  4.215000  0.535000  4.385000 0.695000 ;
+      RECT  4.215000  0.865000  4.385000 1.825000 ;
+      RECT  4.215000  1.995000  4.385000 2.065000 ;
+      RECT  4.215000  2.065000  4.450000 2.440000 ;
+      RECT  4.555000  0.705000  5.135000 1.035000 ;
+      RECT  4.555000  1.035000  4.795000 1.905000 ;
+      RECT  4.695000  2.190000  5.765000 2.360000 ;
+      RECT  4.735000  0.365000  5.475000 0.535000 ;
+      RECT  4.985000  1.655000  5.425000 2.010000 ;
+      RECT  5.305000  0.535000  5.475000 1.315000 ;
+      RECT  5.305000  1.315000  6.105000 1.485000 ;
+      RECT  5.595000  1.485000  6.105000 1.575000 ;
+      RECT  5.595000  1.575000  5.765000 2.190000 ;
+      RECT  5.645000  0.765000  6.445000 1.065000 ;
+      RECT  5.645000  1.065000  5.815000 1.095000 ;
+      RECT  5.725000  0.085000  6.095000 0.585000 ;
+      RECT  5.935000  1.245000  6.105000 1.315000 ;
+      RECT  5.935000  1.835000  6.105000 2.635000 ;
+      RECT  6.275000  0.365000  6.735000 0.535000 ;
+      RECT  6.275000  0.535000  6.445000 0.765000 ;
+      RECT  6.275000  1.065000  6.445000 2.135000 ;
+      RECT  6.275000  2.135000  6.525000 2.465000 ;
+      RECT  6.615000  0.705000  7.165000 1.035000 ;
+      RECT  6.615000  1.245000  6.805000 1.965000 ;
+      RECT  6.750000  2.165000  7.635000 2.335000 ;
+      RECT  6.965000  0.365000  7.505000 0.535000 ;
+      RECT  6.975000  1.035000  7.165000 1.575000 ;
+      RECT  6.975000  1.575000  7.295000 1.905000 ;
+      RECT  7.335000  0.535000  7.505000 0.995000 ;
+      RECT  7.335000  0.995000  8.400000 1.325000 ;
+      RECT  7.335000  1.325000  7.635000 1.405000 ;
+      RECT  7.465000  1.405000  7.635000 2.165000 ;
+      RECT  7.750000  0.085000  8.120000 0.615000 ;
+      RECT  7.805000  1.575000  8.755000 1.905000 ;
+      RECT  7.815000  2.135000  8.120000 2.635000 ;
+      RECT  8.390000  0.300000  8.750000 0.825000 ;
+      RECT  8.470000  1.905000  8.755000 2.455000 ;
+      RECT  8.570000  0.825000  8.750000 1.075000 ;
+      RECT  8.570000  1.075000 10.485000 1.325000 ;
+      RECT  8.570000  1.325000  8.755000 1.575000 ;
+      RECT  8.925000  0.085000  9.095000 0.695000 ;
+      RECT  8.925000  1.625000  9.105000 2.635000 ;
+      RECT  9.795000  0.085000  9.965000 0.565000 ;
+      RECT  9.795000  1.845000  9.965000 2.635000 ;
+      RECT 10.635000  0.085000 10.805000 0.565000 ;
+      RECT 10.635000  1.845000 10.805000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.055000  0.765000  1.225000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.755000  0.765000  4.925000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.215000  1.785000  5.385000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  0.765000  6.795000 0.935000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 6.855000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 0.995000 0.735000 1.285000 0.780000 ;
+      RECT 0.995000 0.780000 6.855000 0.920000 ;
+      RECT 0.995000 0.920000 1.285000 0.965000 ;
+      RECT 4.695000 0.735000 4.985000 0.780000 ;
+      RECT 4.695000 0.920000 4.985000 0.965000 ;
+      RECT 5.155000 1.755000 5.445000 1.800000 ;
+      RECT 5.155000 1.940000 5.445000 1.985000 ;
+      RECT 6.565000 0.735000 6.855000 0.780000 ;
+      RECT 6.565000 0.920000 6.855000 0.965000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_4
+MACRO sky130_fd_sc_hd__sdfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.230000 0.305000 9.575000 0.820000 ;
+        RECT 9.230000 1.505000 9.575000 2.395000 ;
+        RECT 9.405000 0.820000 9.575000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.055000 3.990000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.635000 3.250000 0.785000 ;
+        RECT 1.760000 0.785000 1.990000 0.835000 ;
+        RECT 1.760000 0.835000 1.930000 1.685000 ;
+        RECT 1.870000 0.615000 3.250000 0.635000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.065000 0.785000 3.250000 1.095000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.810000 0.805000 ;
+      RECT 0.180000  1.795000 0.845000 1.965000 ;
+      RECT 0.180000  1.965000 0.350000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.520000  2.135000 0.850000 2.635000 ;
+      RECT 0.615000  0.805000 0.810000 0.970000 ;
+      RECT 0.615000  0.970000 0.845000 1.795000 ;
+      RECT 1.015000  0.345000 1.230000 0.715000 ;
+      RECT 1.020000  0.715000 1.230000 2.465000 ;
+      RECT 1.420000  0.260000 1.790000 0.465000 ;
+      RECT 1.420000  0.465000 1.590000 1.860000 ;
+      RECT 1.420000  1.860000 3.220000 2.075000 ;
+      RECT 1.420000  2.075000 1.710000 2.445000 ;
+      RECT 1.880000  2.245000 2.210000 2.635000 ;
+      RECT 1.960000  0.085000 2.305000 0.445000 ;
+      RECT 2.115000  0.960000 2.460000 1.130000 ;
+      RECT 2.115000  1.130000 2.290000 1.860000 ;
+      RECT 2.690000  2.245000 3.560000 2.415000 ;
+      RECT 2.820000  0.275000 3.590000 0.445000 ;
+      RECT 3.050000  1.305000 3.270000 1.635000 ;
+      RECT 3.050000  1.635000 3.220000 1.860000 ;
+      RECT 3.390000  1.825000 4.350000 1.995000 ;
+      RECT 3.390000  1.995000 3.560000 2.245000 ;
+      RECT 3.420000  0.445000 3.590000 0.715000 ;
+      RECT 3.420000  0.715000 4.350000 0.885000 ;
+      RECT 3.730000  2.165000 3.925000 2.635000 ;
+      RECT 3.760000  0.085000 3.960000 0.545000 ;
+      RECT 4.180000  0.285000 4.460000 0.615000 ;
+      RECT 4.180000  0.615000 4.350000 0.715000 ;
+      RECT 4.180000  0.885000 4.350000 1.825000 ;
+      RECT 4.180000  1.995000 4.350000 2.065000 ;
+      RECT 4.180000  2.065000 4.420000 2.440000 ;
+      RECT 4.520000  0.780000 5.100000 1.035000 ;
+      RECT 4.520000  1.035000 4.760000 1.905000 ;
+      RECT 4.630000  0.705000 5.100000 0.780000 ;
+      RECT 4.660000  2.190000 5.730000 2.360000 ;
+      RECT 4.700000  0.365000 5.440000 0.535000 ;
+      RECT 4.950000  1.655000 5.390000 2.010000 ;
+      RECT 5.270000  0.535000 5.440000 1.315000 ;
+      RECT 5.270000  1.315000 6.070000 1.485000 ;
+      RECT 5.560000  1.485000 6.070000 1.575000 ;
+      RECT 5.560000  1.575000 5.730000 2.190000 ;
+      RECT 5.610000  0.765000 6.410000 1.065000 ;
+      RECT 5.610000  1.065000 5.780000 1.095000 ;
+      RECT 5.690000  0.085000 6.060000 0.585000 ;
+      RECT 5.900000  1.245000 6.070000 1.315000 ;
+      RECT 5.900000  1.835000 6.070000 2.635000 ;
+      RECT 6.240000  0.365000 6.700000 0.535000 ;
+      RECT 6.240000  0.535000 6.410000 0.765000 ;
+      RECT 6.240000  1.065000 6.410000 2.135000 ;
+      RECT 6.240000  2.135000 6.490000 2.465000 ;
+      RECT 6.580000  0.705000 7.130000 1.035000 ;
+      RECT 6.580000  1.245000 6.770000 1.965000 ;
+      RECT 6.715000  2.165000 7.600000 2.335000 ;
+      RECT 6.930000  0.365000 7.470000 0.535000 ;
+      RECT 6.940000  1.035000 7.130000 1.575000 ;
+      RECT 6.940000  1.575000 7.260000 1.905000 ;
+      RECT 7.300000  0.535000 7.470000 0.995000 ;
+      RECT 7.300000  0.995000 8.365000 1.325000 ;
+      RECT 7.300000  1.325000 7.600000 1.405000 ;
+      RECT 7.430000  1.405000 7.600000 2.165000 ;
+      RECT 7.715000  0.085000 8.085000 0.615000 ;
+      RECT 7.770000  1.575000 8.705000 1.905000 ;
+      RECT 7.790000  2.135000 8.095000 2.635000 ;
+      RECT 8.355000  0.300000 8.705000 0.825000 ;
+      RECT 8.435000  1.905000 8.705000 2.455000 ;
+      RECT 8.535000  0.825000 8.705000 0.995000 ;
+      RECT 8.535000  0.995000 9.235000 1.325000 ;
+      RECT 8.535000  1.325000 8.705000 1.575000 ;
+      RECT 8.875000  0.085000 9.045000 0.695000 ;
+      RECT 8.875000  1.625000 9.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.040000  0.765000 1.210000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  1.785000 6.760000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.820000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.980000 0.735000 1.270000 0.780000 ;
+      RECT 0.980000 0.780000 6.860000 0.920000 ;
+      RECT 0.980000 0.920000 1.270000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.530000 1.755000 6.820000 1.800000 ;
+      RECT 6.530000 1.940000 6.820000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_1
+MACRO sky130_fd_sc_hd__a21o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.990000 1.010000 4.515000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.425000 1.010000 3.820000 1.275000 ;
+        RECT 3.645000 1.275000 3.820000 1.510000 ;
+        RECT 3.645000 1.510000 4.935000 1.680000 ;
+        RECT 4.685000 1.055000 5.100000 1.290000 ;
+        RECT 4.685000 1.290000 4.935000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.395000 0.995000 2.705000 1.525000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 1.735000 0.785000 ;
+        RECT 0.145000 0.785000 0.630000 1.585000 ;
+        RECT 0.145000 1.585000 1.735000 1.755000 ;
+        RECT 0.625000 1.755000 0.795000 2.185000 ;
+        RECT 1.485000 1.755000 1.735000 2.185000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.105000  0.085000 0.445000 0.445000 ;
+      RECT 0.115000  1.935000 0.445000 2.635000 ;
+      RECT 0.800000  0.995000 2.205000 1.325000 ;
+      RECT 0.975000  0.085000 1.305000 0.445000 ;
+      RECT 0.975000  1.935000 1.305000 2.635000 ;
+      RECT 1.910000  0.085000 2.685000 0.445000 ;
+      RECT 1.915000  1.515000 2.165000 2.635000 ;
+      RECT 2.035000  0.615000 3.045000 0.670000 ;
+      RECT 2.035000  0.670000 4.365000 0.785000 ;
+      RECT 2.035000  0.785000 2.205000 0.995000 ;
+      RECT 2.455000  1.695000 2.625000 2.295000 ;
+      RECT 2.455000  2.295000 3.465000 2.465000 ;
+      RECT 2.875000  0.255000 3.045000 0.615000 ;
+      RECT 2.875000  0.785000 4.365000 0.840000 ;
+      RECT 2.875000  0.840000 3.045000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.285000  1.445000 3.465000 1.850000 ;
+      RECT 3.285000  1.850000 5.360000 2.020000 ;
+      RECT 3.285000  2.020000 3.465000 2.295000 ;
+      RECT 3.635000  2.275000 3.965000 2.635000 ;
+      RECT 4.085000  0.405000 4.365000 0.670000 ;
+      RECT 4.135000  2.020000 4.305000 2.465000 ;
+      RECT 4.475000  2.275000 4.805000 2.635000 ;
+      RECT 4.945000  0.085000 5.225000 0.885000 ;
+      RECT 5.030000  2.020000 5.360000 2.395000 ;
+      RECT 5.105000  1.460000 5.360000 1.850000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_4
+MACRO sky130_fd_sc_hd__a21o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.660000 1.015000 2.185000 1.325000 ;
+        RECT 1.955000 0.375000 2.185000 1.015000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 0.995000 2.665000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.015000 1.480000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.265000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.525000  1.905000 0.865000 2.635000 ;
+      RECT 0.545000  0.635000 1.775000 0.835000 ;
+      RECT 0.545000  0.835000 0.835000 1.505000 ;
+      RECT 0.545000  1.505000 1.315000 1.725000 ;
+      RECT 0.615000  0.085000 1.285000 0.455000 ;
+      RECT 1.045000  1.725000 1.315000 2.455000 ;
+      RECT 1.465000  0.265000 1.775000 0.635000 ;
+      RECT 1.495000  1.505000 2.655000 1.745000 ;
+      RECT 1.495000  1.745000 1.725000 2.455000 ;
+      RECT 1.895000  1.925000 2.225000 2.635000 ;
+      RECT 2.365000  0.085000 2.655000 0.815000 ;
+      RECT 2.395000  1.745000 2.655000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_1
+MACRO sky130_fd_sc_hd__a21o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.365000 2.620000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.810000 0.750000 3.125000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.790000 1.410000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.635000 0.955000 0.825000 ;
+        RECT 0.555000 0.825000 0.785000 2.465000 ;
+        RECT 0.765000 0.255000 0.955000 0.635000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  1.665000 0.385000 2.635000 ;
+      RECT 0.265000  0.085000 0.595000 0.465000 ;
+      RECT 0.955000  0.995000 1.295000 1.690000 ;
+      RECT 0.955000  1.690000 1.790000 1.920000 ;
+      RECT 0.955000  2.220000 1.285000 2.635000 ;
+      RECT 1.125000  0.085000 1.455000 0.445000 ;
+      RECT 1.125000  0.655000 1.865000 0.825000 ;
+      RECT 1.125000  0.825000 1.295000 0.995000 ;
+      RECT 1.475000  1.920000 1.790000 2.465000 ;
+      RECT 1.675000  0.255000 1.865000 0.655000 ;
+      RECT 1.960000  1.670000 3.075000 1.935000 ;
+      RECT 1.960000  1.935000 2.185000 2.465000 ;
+      RECT 2.355000  2.125000 2.685000 2.635000 ;
+      RECT 2.805000  0.085000 3.135000 0.565000 ;
+      RECT 2.855000  1.935000 3.075000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_2
+MACRO sky130_fd_sc_hd__ha_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 1.075000 4.380000 1.245000 ;
+        RECT 4.210000 1.245000 4.380000 1.505000 ;
+        RECT 4.210000 1.505000 6.810000 1.675000 ;
+        RECT 5.625000 0.995000 5.795000 1.505000 ;
+        RECT 6.580000 0.995000 7.055000 1.325000 ;
+        RECT 6.580000 1.325000 6.810000 1.505000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.550000 0.995000 5.455000 1.165000 ;
+        RECT 4.550000 1.165000 4.720000 1.325000 ;
+        RECT 5.285000 0.730000 6.315000 0.825000 ;
+        RECT 5.285000 0.825000 5.535000 0.845000 ;
+        RECT 5.285000 0.845000 5.495000 0.875000 ;
+        RECT 5.285000 0.875000 5.455000 0.995000 ;
+        RECT 5.295000 0.720000 6.315000 0.730000 ;
+        RECT 5.310000 0.710000 6.315000 0.720000 ;
+        RECT 5.320000 0.695000 6.315000 0.710000 ;
+        RECT 5.335000 0.675000 6.315000 0.695000 ;
+        RECT 5.345000 0.655000 6.315000 0.675000 ;
+        RECT 6.085000 0.825000 6.315000 1.325000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 0.315000 7.845000 0.735000 ;
+        RECT 7.595000 0.735000 8.685000 0.905000 ;
+        RECT 7.595000 1.415000 8.685000 1.585000 ;
+        RECT 7.595000 1.585000 7.765000 2.415000 ;
+        RECT 8.405000 0.315000 8.685000 0.735000 ;
+        RECT 8.405000 0.905000 8.685000 1.415000 ;
+        RECT 8.405000 1.585000 8.685000 2.415000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.315000 0.845000 1.065000 ;
+        RECT 0.515000 1.065000 1.550000 1.335000 ;
+        RECT 0.515000 1.335000 0.845000 2.415000 ;
+        RECT 1.355000 0.315000 1.685000 0.825000 ;
+        RECT 1.355000 0.825000 1.550000 1.065000 ;
+        RECT 1.355000 1.335000 1.550000 1.565000 ;
+        RECT 1.355000 1.565000 1.685000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.885000 ;
+      RECT 1.015000  1.515000 1.185000 2.635000 ;
+      RECT 1.720000  1.075000 2.750000 1.245000 ;
+      RECT 1.855000  0.085000 2.095000 0.885000 ;
+      RECT 1.855000  1.495000 2.365000 2.635000 ;
+      RECT 2.270000  0.305000 3.385000 0.475000 ;
+      RECT 2.580000  0.645000 3.045000 0.815000 ;
+      RECT 2.580000  0.815000 2.750000 1.075000 ;
+      RECT 2.580000  1.245000 2.750000 1.765000 ;
+      RECT 2.580000  1.765000 3.700000 1.935000 ;
+      RECT 2.770000  1.935000 2.940000 2.355000 ;
+      RECT 2.920000  0.995000 3.090000 1.425000 ;
+      RECT 2.920000  1.425000 4.040000 1.595000 ;
+      RECT 3.190000  2.105000 3.360000 2.635000 ;
+      RECT 3.215000  0.475000 3.385000 0.645000 ;
+      RECT 3.215000  0.645000 5.115000 0.815000 ;
+      RECT 3.530000  1.935000 3.700000 2.205000 ;
+      RECT 3.530000  2.205000 4.330000 2.375000 ;
+      RECT 3.555000  0.085000 3.910000 0.465000 ;
+      RECT 3.870000  1.595000 4.040000 1.855000 ;
+      RECT 3.870000  1.855000 7.395000 2.025000 ;
+      RECT 4.080000  0.345000 4.250000 0.645000 ;
+      RECT 4.420000  0.085000 4.750000 0.465000 ;
+      RECT 4.920000  0.255000 5.190000 0.585000 ;
+      RECT 4.920000  0.585000 5.115000 0.645000 ;
+      RECT 5.240000  2.205000 5.570000 2.635000 ;
+      RECT 5.385000  0.085000 5.715000 0.465000 ;
+      RECT 5.835000  2.025000 6.005000 2.355000 ;
+      RECT 6.175000  0.295000 6.875000 0.465000 ;
+      RECT 6.175000  2.205000 6.505000 2.635000 ;
+      RECT 6.675000  2.025000 6.845000 2.355000 ;
+      RECT 6.705000  0.465000 6.875000 0.645000 ;
+      RECT 6.705000  0.645000 7.395000 0.815000 ;
+      RECT 7.055000  0.085000 7.385000 0.465000 ;
+      RECT 7.055000  2.205000 7.385000 2.635000 ;
+      RECT 7.225000  0.815000 7.395000 1.075000 ;
+      RECT 7.225000  1.075000 8.225000 1.245000 ;
+      RECT 7.225000  1.245000 7.395000 1.855000 ;
+      RECT 7.935000  1.755000 8.225000 2.635000 ;
+      RECT 8.015000  0.085000 8.225000 0.565000 ;
+      RECT 8.855000  0.085000 9.065000 0.885000 ;
+      RECT 8.855000  1.495000 9.065000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_4
+MACRO sky130_fd_sc_hd__ha_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 1.055000 4.045000 1.225000 ;
+        RECT 3.820000 1.225000 4.045000 1.675000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.310000 1.005000 2.615000 1.395000 ;
+        RECT 2.310000 1.395000 3.595000 1.675000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 0.315000 4.965000 0.825000 ;
+        RECT 4.715000 1.545000 4.965000 2.415000 ;
+        RECT 4.790000 0.825000 4.965000 1.545000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.315000 0.885000 0.825000 ;
+        RECT 0.555000 0.825000 0.780000 1.565000 ;
+        RECT 0.555000 1.565000 0.885000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.135000  0.085000 0.375000 0.885000 ;
+      RECT 0.135000  1.495000 0.375000 2.635000 ;
+      RECT 0.950000  1.075000 1.590000 1.245000 ;
+      RECT 1.055000  0.085000 1.250000 0.885000 ;
+      RECT 1.055000  1.515000 1.250000 2.635000 ;
+      RECT 1.420000  0.345000 1.745000 0.675000 ;
+      RECT 1.420000  0.675000 1.590000 1.075000 ;
+      RECT 1.420000  1.245000 1.590000 2.205000 ;
+      RECT 1.420000  2.205000 2.220000 2.375000 ;
+      RECT 1.760000  0.995000 1.930000 1.855000 ;
+      RECT 1.760000  1.855000 4.465000 2.025000 ;
+      RECT 1.995000  0.345000 2.165000 0.635000 ;
+      RECT 1.995000  0.635000 3.005000 0.805000 ;
+      RECT 2.335000  0.085000 2.665000 0.465000 ;
+      RECT 2.835000  0.345000 3.005000 0.635000 ;
+      RECT 2.850000  2.205000 3.640000 2.635000 ;
+      RECT 3.460000  0.345000 3.630000 0.715000 ;
+      RECT 3.460000  0.715000 4.465000 0.885000 ;
+      RECT 3.810000  2.025000 3.980000 2.355000 ;
+      RECT 4.215000  0.085000 4.465000 0.545000 ;
+      RECT 4.215000  2.205000 4.545000 2.635000 ;
+      RECT 4.295000  0.885000 4.465000 0.995000 ;
+      RECT 4.295000  0.995000 4.620000 1.325000 ;
+      RECT 4.295000  1.325000 4.465000 1.855000 ;
+      RECT 5.145000  0.085000 5.385000 0.885000 ;
+      RECT 5.145000  1.495000 5.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_2
+MACRO sky130_fd_sc_hd__ha_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.315000 3.585000 1.485000 ;
+        RECT 3.360000 1.055000 3.585000 1.315000 ;
+        RECT 3.360000 1.485000 3.585000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.345000 2.155000 1.655000 ;
+        RECT 1.850000 1.655000 3.165000 1.825000 ;
+        RECT 1.850000 1.825000 2.155000 2.375000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.315000 4.515000 0.825000 ;
+        RECT 4.175000 1.565000 4.515000 2.415000 ;
+        RECT 4.330000 0.825000 4.515000 1.565000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.315000 0.425000 0.825000 ;
+        RECT 0.090000 0.825000 0.320000 1.565000 ;
+        RECT 0.090000 1.565000 0.425000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.490000  1.075000 1.130000 1.245000 ;
+      RECT 0.595000  0.085000 0.790000 0.885000 ;
+      RECT 0.595000  1.515000 0.790000 2.275000 ;
+      RECT 0.595000  2.275000 1.260000 2.635000 ;
+      RECT 0.960000  0.345000 1.285000 0.675000 ;
+      RECT 0.960000  0.675000 1.130000 1.075000 ;
+      RECT 0.960000  1.245000 1.130000 1.935000 ;
+      RECT 0.960000  1.935000 1.680000 2.105000 ;
+      RECT 1.300000  0.975000 3.170000 1.145000 ;
+      RECT 1.300000  1.145000 1.470000 1.325000 ;
+      RECT 1.510000  2.105000 1.680000 2.355000 ;
+      RECT 1.535000  0.345000 1.705000 0.635000 ;
+      RECT 1.535000  0.635000 2.545000 0.805000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 2.375000  0.345000 2.545000 0.635000 ;
+      RECT 2.450000  2.275000 3.120000 2.635000 ;
+      RECT 3.000000  0.345000 3.170000 0.715000 ;
+      RECT 3.000000  0.715000 4.005000 0.885000 ;
+      RECT 3.000000  0.885000 3.170000 0.975000 ;
+      RECT 3.350000  1.785000 4.005000 1.955000 ;
+      RECT 3.350000  1.955000 3.520000 2.355000 ;
+      RECT 3.755000  0.085000 4.005000 0.545000 ;
+      RECT 3.755000  2.125000 4.005000 2.635000 ;
+      RECT 3.835000  0.885000 4.005000 0.995000 ;
+      RECT 3.835000  0.995000 4.160000 1.325000 ;
+      RECT 3.835000  1.325000 4.005000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.560000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.270000 3.150000 0.640000 ;
+        RECT 2.715000 1.420000 3.180000 1.525000 ;
+        RECT 2.715000 1.525000 3.150000 2.465000 ;
+        RECT 2.965000 0.640000 3.150000 0.780000 ;
+        RECT 2.965000 0.780000 3.180000 0.945000 ;
+        RECT 3.010000 0.945000 3.180000 1.420000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.730000  0.905000 1.055000 1.460000 ;
+      RECT 1.110000  1.800000 1.440000 2.465000 ;
+      RECT 1.160000  0.270000 1.440000 0.600000 ;
+      RECT 1.270000  0.600000 1.440000 1.075000 ;
+      RECT 1.270000  1.075000 2.205000 1.255000 ;
+      RECT 1.270000  1.255000 1.440000 1.800000 ;
+      RECT 1.630000  0.270000 1.960000 0.735000 ;
+      RECT 1.630000  0.735000 2.545000 0.905000 ;
+      RECT 1.630000  1.460000 2.545000 1.630000 ;
+      RECT 1.630000  1.630000 1.960000 2.465000 ;
+      RECT 2.130000  1.800000 2.545000 2.635000 ;
+      RECT 2.165000  0.085000 2.535000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 2.840000 1.245000 ;
+      RECT 2.375000  1.245000 2.545000 1.460000 ;
+      RECT 3.320000  0.085000 3.595000 0.645000 ;
+      RECT 3.320000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.055000 0.550000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.590000 0.545000 ;
+        RECT 3.220000 1.760000 3.590000 2.465000 ;
+        RECT 3.365000 0.545000 3.590000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.715000 ;
+      RECT 0.095000  0.715000 1.215000 0.885000 ;
+      RECT 0.095000  1.495000 1.215000 1.665000 ;
+      RECT 0.095000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.720000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.260000 2.465000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.710000  1.760000 3.040000 2.635000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_1
+MACRO sky130_fd_sc_hd__dfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_1
+MACRO sky130_fd_sc_hd__dfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+      RECT 7.385000  1.720000 7.555000 2.635000 ;
+      RECT 7.395000  0.085000 7.565000 0.600000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_2
+MACRO sky130_fd_sc_hd__dfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.065000 1.720000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.985000 0.305000 7.320000 0.730000 ;
+        RECT 6.985000 0.730000 8.655000 0.900000 ;
+        RECT 6.985000 1.465000 8.655000 1.635000 ;
+        RECT 6.985000 1.635000 7.320000 2.395000 ;
+        RECT 7.840000 0.305000 8.175000 0.730000 ;
+        RECT 7.840000 1.635000 8.170000 2.395000 ;
+        RECT 8.410000 0.900000 8.655000 1.465000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.890000  0.365000 2.220000 0.535000 ;
+      RECT 1.890000  0.535000 2.060000 2.065000 ;
+      RECT 1.890000  2.065000 2.125000 2.440000 ;
+      RECT 2.230000  0.705000 2.810000 1.035000 ;
+      RECT 2.230000  1.035000 2.470000 1.905000 ;
+      RECT 2.370000  2.190000 3.440000 2.360000 ;
+      RECT 2.400000  0.365000 3.150000 0.535000 ;
+      RECT 2.660000  1.655000 3.100000 2.010000 ;
+      RECT 2.980000  0.535000 3.150000 1.315000 ;
+      RECT 2.980000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.320000  0.765000 4.120000 1.065000 ;
+      RECT 3.320000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.410000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  0.705000 4.840000 1.035000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.640000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.840000 1.575000 ;
+      RECT 4.650000  1.575000 4.970000 1.905000 ;
+      RECT 5.140000  0.535000 5.310000 1.075000 ;
+      RECT 5.140000  1.075000 6.230000 1.245000 ;
+      RECT 5.140000  1.245000 5.310000 2.165000 ;
+      RECT 5.480000  1.500000 6.590000 1.670000 ;
+      RECT 5.480000  1.670000 6.340000 1.830000 ;
+      RECT 5.490000  2.135000 5.705000 2.635000 ;
+      RECT 5.625000  0.085000 5.795000 0.615000 ;
+      RECT 6.090000  0.295000 6.450000 0.735000 ;
+      RECT 6.090000  0.735000 6.590000 0.905000 ;
+      RECT 6.170000  1.830000 6.340000 2.455000 ;
+      RECT 6.420000  0.905000 6.590000 1.075000 ;
+      RECT 6.420000  1.075000 8.240000 1.245000 ;
+      RECT 6.420000  1.245000 6.590000 1.500000 ;
+      RECT 6.625000  0.085000 6.795000 0.565000 ;
+      RECT 6.625000  1.855000 6.805000 2.635000 ;
+      RECT 7.495000  0.085000 7.665000 0.560000 ;
+      RECT 7.500000  1.805000 7.670000 2.635000 ;
+      RECT 8.340000  1.805000 8.510000 2.635000 ;
+      RECT 8.345000  0.085000 8.515000 0.560000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  0.765000 2.640000 0.935000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  0.765000 4.480000 0.935000 ;
+      RECT 4.310000  1.785000 4.480000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 4.540000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.540000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 0.735000 2.700000 0.780000 ;
+      RECT 2.410000 0.920000 2.700000 0.965000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+      RECT 4.250000 0.735000 4.540000 0.780000 ;
+      RECT 4.250000 0.920000 4.540000 0.965000 ;
+      RECT 4.250000 1.755000 4.540000 1.800000 ;
+      RECT 4.250000 1.940000 4.540000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_4
+MACRO sky130_fd_sc_hd__sedfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.935000 0.255000 14.265000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.300000 ;
+        RECT 11.700000 1.300000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 15.370000 2.910000 ;
+        RECT  7.200000 1.305000 15.370000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.465000 12.450000 2.635000 ;
+      RECT 12.315000  0.085000 12.565000 0.900000 ;
+      RECT 12.620000  1.575000 12.850000 2.010000 ;
+      RECT 12.735000  0.890000 13.360000 1.220000 ;
+      RECT 13.020000  0.255000 13.360000 0.890000 ;
+      RECT 13.020000  1.220000 13.360000 2.465000 ;
+      RECT 13.530000  0.085000 13.765000 0.900000 ;
+      RECT 13.530000  1.465000 13.765000 2.635000 ;
+      RECT 14.435000  0.085000 14.695000 0.900000 ;
+      RECT 14.435000  1.465000 14.695000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.650000  1.785000 12.820000 1.955000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.110000  0.765000 13.280000 0.935000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 13.340000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.880000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.590000 1.755000 12.880000 1.800000 ;
+      RECT 12.590000 1.940000 12.880000 1.985000 ;
+      RECT 13.050000 0.735000 13.340000 0.780000 ;
+      RECT 13.050000 0.920000 13.340000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_2
+MACRO sky130_fd_sc_hd__sedfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.525000 0.255000 13.855000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.410000 ;
+        RECT 11.700000 1.410000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.450000 2.910000 ;
+        RECT  7.200000 1.305000 14.450000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.575000 12.430000 2.010000 ;
+      RECT 12.315000  0.890000 12.940000 1.220000 ;
+      RECT 12.600000  0.255000 12.940000 0.890000 ;
+      RECT 12.600000  1.220000 12.940000 2.465000 ;
+      RECT 13.110000  0.085000 13.355000 0.900000 ;
+      RECT 13.110000  1.465000 13.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.230000  1.785000 12.400000 1.955000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.690000  0.765000 12.860000 0.935000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.920000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.460000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.170000 1.755000 12.460000 1.800000 ;
+      RECT 12.170000 1.940000 12.460000 1.985000 ;
+      RECT 12.630000 0.735000 12.920000 0.780000 ;
+      RECT 12.630000 0.920000 12.920000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_1
+MACRO sky130_fd_sc_hd__and4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.485000 0.995000 5.845000 1.620000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.765000 0.780000 1.635000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 0.755000 3.545000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 0.995000 3.080000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.650000 2.080000 0.820000 ;
+        RECT 0.960000 0.820000 1.240000 1.545000 ;
+        RECT 0.960000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.490000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  1.075000 2.500000 1.245000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 2.330000  0.615000 2.940000 0.785000 ;
+      RECT 2.330000  0.785000 2.500000 1.075000 ;
+      RECT 2.330000  1.245000 2.500000 1.545000 ;
+      RECT 2.330000  1.545000 4.150000 1.715000 ;
+      RECT 2.770000  0.300000 4.610000 0.470000 ;
+      RECT 2.770000  0.470000 2.940000 0.615000 ;
+      RECT 3.330000  2.255000 3.660000 2.635000 ;
+      RECT 3.730000  0.995000 3.900000 1.155000 ;
+      RECT 3.730000  1.155000 4.490000 1.325000 ;
+      RECT 4.255000  0.470000 4.610000 0.810000 ;
+      RECT 4.320000  1.325000 4.490000 1.915000 ;
+      RECT 4.360000  2.255000 5.370000 2.635000 ;
+      RECT 4.950000  0.655000 5.805000 0.825000 ;
+      RECT 4.950000  0.825000 5.120000 1.915000 ;
+      RECT 4.950000  1.915000 5.805000 2.085000 ;
+      RECT 4.975000  0.085000 5.305000 0.465000 ;
+      RECT 5.635000  0.255000 5.805000 0.655000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_4
+MACRO sky130_fd_sc_hd__and4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.625000 0.775000 1.955000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.765000 0.815000 0.945000 ;
+        RECT 0.605000 0.945000 1.225000 1.115000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.415000 3.080000 0.995000 ;
+        RECT 2.895000 0.995000 3.125000 1.325000 ;
+        RECT 2.895000 1.325000 3.080000 1.635000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.420000 3.545000 0.995000 ;
+        RECT 3.350000 0.995000 3.605000 1.325000 ;
+        RECT 3.350000 1.325000 3.545000 1.635000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.425400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.255000 0.255000 4.515000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.285000 ;
+      RECT 0.085000  1.285000 1.215000 1.455000 ;
+      RECT 0.085000  1.455000 0.255000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.655000  0.085000 0.985000 0.465000 ;
+      RECT 0.655000  2.255000 0.985000 2.635000 ;
+      RECT 1.045000  1.455000 1.215000 1.575000 ;
+      RECT 1.045000  1.575000 1.625000 1.745000 ;
+      RECT 1.165000  0.255000 2.645000 0.425000 ;
+      RECT 1.165000  0.425000 1.565000 0.755000 ;
+      RECT 1.225000  1.915000 1.965000 2.085000 ;
+      RECT 1.225000  2.085000 1.415000 2.465000 ;
+      RECT 1.395000  0.755000 1.565000 1.235000 ;
+      RECT 1.395000  1.235000 1.965000 1.405000 ;
+      RECT 1.665000  2.255000 1.995000 2.635000 ;
+      RECT 1.755000  0.595000 2.305000 0.925000 ;
+      RECT 1.795000  1.405000 1.965000 1.915000 ;
+      RECT 2.135000  0.925000 2.305000 1.915000 ;
+      RECT 2.135000  1.915000 4.085000 2.085000 ;
+      RECT 2.205000  2.085000 2.375000 2.465000 ;
+      RECT 2.475000  0.425000 2.645000 1.325000 ;
+      RECT 2.570000  2.255000 2.900000 2.635000 ;
+      RECT 3.160000  2.085000 3.330000 2.465000 ;
+      RECT 3.755000  0.085000 4.085000 0.465000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.915000  0.995000 4.085000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_1
+MACRO sky130_fd_sc_hd__and4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.330000 1.635000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.765000 4.175000 1.305000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.420000 3.175000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.425000 3.655000 1.405000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.545000 1.320000 1.715000 ;
+        RECT 1.015000 0.255000 1.240000 1.545000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.670000 0.805000 ;
+      RECT 0.175000  1.885000 1.925000 2.055000 ;
+      RECT 0.175000  2.055000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.885000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.465000 ;
+      RECT 1.415000  0.635000 2.405000 0.805000 ;
+      RECT 1.415000  0.805000 1.585000 1.325000 ;
+      RECT 1.490000  2.255000 2.160000 2.635000 ;
+      RECT 1.755000  0.995000 2.065000 1.325000 ;
+      RECT 1.755000  1.325000 1.925000 1.885000 ;
+      RECT 2.010000  0.255000 2.180000 0.635000 ;
+      RECT 2.235000  0.805000 2.405000 1.915000 ;
+      RECT 2.235000  1.915000 3.415000 2.085000 ;
+      RECT 2.395000  2.085000 2.565000 2.465000 ;
+      RECT 2.575000  1.400000 2.745000 1.575000 ;
+      RECT 2.575000  1.575000 3.755000 1.745000 ;
+      RECT 2.735000  2.255000 3.075000 2.635000 ;
+      RECT 3.245000  2.085000 3.415000 2.465000 ;
+      RECT 3.585000  1.745000 3.755000 1.915000 ;
+      RECT 3.585000  1.915000 4.515000 2.085000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.835000  0.085000 4.085000 0.585000 ;
+      RECT 4.255000  0.255000 4.515000 0.585000 ;
+      RECT 4.255000  2.085000 4.515000 2.465000 ;
+      RECT 4.345000  0.585000 4.515000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_2
+MACRO sky130_fd_sc_hd__probec_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met4 ;
+        RECT -1.140000 0.770000 0.040000 1.950000 ;
+        RECT  1.460000 0.770000 2.640000 1.950000 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -1.260000  0.560000 2.760000 2.160000 ;
+        RECT  1.160000 -1.105000 2.760000 0.560000 ;
+        RECT  1.160000  2.160000 2.760000 3.825000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 -1.170000 6.675000 0.560000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 2.160000 6.675000 3.890000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.870000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.305000  1.105000 4.475000 1.275000 ;
+      RECT 4.665000  1.105000 4.835000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
+      RECT 0.000000 -0.130000 5.840000  0.130000 ;
+      RECT 0.000000  0.130000 5.520000  0.240000 ;
+      RECT 0.000000  2.480000 5.520000  2.590000 ;
+      RECT 0.000000  2.590000 5.840000  2.850000 ;
+      RECT 0.000000  2.850000 5.520000  2.960000 ;
+      RECT 2.020000  1.060000 2.660000  1.120000 ;
+      RECT 2.020000  1.120000 4.895000  1.260000 ;
+      RECT 2.020000  1.260000 2.660000  1.320000 ;
+      RECT 4.245000  1.075000 4.895000  1.120000 ;
+      RECT 4.245000  1.260000 4.895000  1.305000 ;
+    LAYER met2 ;
+      RECT 1.890000  1.050000 2.660000 1.330000 ;
+      RECT 5.135000 -0.140000 5.905000 0.140000 ;
+      RECT 5.135000  2.580000 5.905000 2.860000 ;
+    LAYER met3 ;
+      RECT -0.715000  1.030000 0.065000 1.350000 ;
+      RECT  1.885000  1.025000 2.665000 1.355000 ;
+      RECT  5.130000 -0.165000 5.910000 0.165000 ;
+      RECT  5.130000  2.555000 5.910000 2.885000 ;
+    LAYER met4 ;
+      RECT 4.930000 -0.895000 6.110000 0.285000 ;
+      RECT 4.930000  2.435000 6.110000 3.615000 ;
+    LAYER via ;
+      RECT 2.050000  1.060000 2.310000 1.320000 ;
+      RECT 2.370000  1.060000 2.630000 1.320000 ;
+      RECT 5.230000 -0.130000 5.490000 0.130000 ;
+      RECT 5.230000  2.590000 5.490000 2.850000 ;
+      RECT 5.550000 -0.130000 5.810000 0.130000 ;
+      RECT 5.550000  2.590000 5.810000 2.850000 ;
+    LAYER via2 ;
+      RECT 1.935000  1.050000 2.215000 1.330000 ;
+      RECT 2.335000  1.050000 2.615000 1.330000 ;
+      RECT 5.180000 -0.140000 5.460000 0.140000 ;
+      RECT 5.180000  2.580000 5.460000 2.860000 ;
+      RECT 5.580000 -0.140000 5.860000 0.140000 ;
+      RECT 5.580000  2.580000 5.860000 2.860000 ;
+    LAYER via3 ;
+      RECT -0.685000  1.030000 -0.365000 1.350000 ;
+      RECT -0.285000  1.030000  0.035000 1.350000 ;
+      RECT  1.915000  1.030000  2.235000 1.350000 ;
+      RECT  2.315000  1.030000  2.635000 1.350000 ;
+      RECT  5.160000 -0.160000  5.480000 0.160000 ;
+      RECT  5.160000  2.560000  5.480000 2.880000 ;
+      RECT  5.560000 -0.160000  5.880000 0.160000 ;
+      RECT  5.560000  2.560000  5.880000 2.880000 ;
+  END
+END sky130_fd_sc_hd__probec_p_8
+MACRO sky130_fd_sc_hd__nor4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.115000 0.995000 3.595000 1.275000 ;
+        RECT 3.295000 1.275000 3.595000 1.705000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 2.945000 1.445000 ;
+        RECT 2.615000 1.445000 3.085000 1.630000 ;
+        RECT 2.825000 1.630000 3.085000 2.410000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.606900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 1.955000 2.055000 2.125000 ;
+        RECT 1.855000 0.655000 3.085000 0.825000 ;
+        RECT 1.855000 0.825000 2.055000 1.955000 ;
+        RECT 2.015000 0.300000 2.215000 0.655000 ;
+        RECT 2.885000 0.310000 3.085000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.885000 ;
+      RECT 0.085000  1.885000 1.205000 2.070000 ;
+      RECT 0.085000  2.070000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.995000  1.525000 1.590000 1.715000 ;
+      RECT 1.035000  2.070000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.395000 2.465000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.590000 0.825000 ;
+      RECT 1.410000  0.825000 1.590000 0.995000 ;
+      RECT 1.410000  0.995000 1.685000 1.325000 ;
+      RECT 1.410000  1.325000 1.590000 1.525000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 2.225000  0.995000 2.395000 2.295000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 3.255000  0.085000 3.585000 0.825000 ;
+      RECT 3.255000  1.875000 3.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_1
+MACRO sky130_fd_sc_hd__nor4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.375000 1.075000 9.110000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 7.105000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.365000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.075000 1.295000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.415000 3.185000 1.705000 ;
+        RECT 1.935000 0.255000 2.265000 0.725000 ;
+        RECT 1.935000 0.725000 8.665000 0.905000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.015000 0.905000 3.185000 1.415000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 4.455000 0.255000 4.785000 0.725000 ;
+        RECT 5.815000 0.255000 6.145000 0.725000 ;
+        RECT 6.655000 0.255000 6.985000 0.725000 ;
+        RECT 7.495000 0.255000 7.825000 0.725000 ;
+        RECT 8.335000 0.255000 8.665000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.255000 0.445000 0.725000 ;
+      RECT 0.085000  0.725000 0.785000 0.895000 ;
+      RECT 0.085000  1.535000 0.785000 1.875000 ;
+      RECT 0.085000  1.875000 3.525000 2.045000 ;
+      RECT 0.085000  2.045000 0.365000 2.465000 ;
+      RECT 0.535000  2.215000 0.865000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.895000 0.785000 1.535000 ;
+      RECT 0.955000  0.255000 1.285000 0.735000 ;
+      RECT 0.955000  0.735000 1.635000 0.905000 ;
+      RECT 0.955000  1.535000 1.635000 1.705000 ;
+      RECT 1.465000  0.905000 1.635000 1.075000 ;
+      RECT 1.465000  1.075000 2.845000 1.245000 ;
+      RECT 1.465000  1.245000 1.635000 1.535000 ;
+      RECT 1.515000  2.215000 3.525000 2.295000 ;
+      RECT 1.515000  2.295000 5.195000 2.465000 ;
+      RECT 1.595000  0.085000 1.765000 0.555000 ;
+      RECT 2.435000  0.085000 2.605000 0.555000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 3.355000  1.075000 4.905000 1.285000 ;
+      RECT 3.355000  1.285000 3.525000 1.875000 ;
+      RECT 3.695000  1.455000 6.945000 1.625000 ;
+      RECT 3.695000  1.625000 3.905000 2.125000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.285000 0.555000 ;
+      RECT 4.495000  1.625000 4.745000 2.125000 ;
+      RECT 4.915000  1.795000 5.195000 2.295000 ;
+      RECT 4.955000  0.085000 5.645000 0.555000 ;
+      RECT 5.380000  1.795000 5.685000 2.295000 ;
+      RECT 5.380000  2.295000 7.365000 2.465000 ;
+      RECT 5.855000  1.625000 6.105000 2.125000 ;
+      RECT 6.275000  1.795000 6.525000 2.295000 ;
+      RECT 6.315000  0.085000 6.485000 0.555000 ;
+      RECT 6.695000  1.625000 6.945000 2.125000 ;
+      RECT 7.115000  1.455000 9.110000 1.625000 ;
+      RECT 7.115000  1.625000 7.365000 2.295000 ;
+      RECT 7.155000  0.085000 7.325000 0.555000 ;
+      RECT 7.535000  1.795000 7.785000 2.635000 ;
+      RECT 7.955000  1.625000 8.205000 2.465000 ;
+      RECT 7.995000  0.085000 8.165000 0.555000 ;
+      RECT 8.375000  1.795000 8.625000 2.635000 ;
+      RECT 8.795000  1.625000 9.110000 2.465000 ;
+      RECT 8.835000  0.085000 9.110000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_4
+MACRO sky130_fd_sc_hd__nor4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.130000 1.075000 5.895000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.960000 1.275000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.235000 1.325000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.780000 1.695000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.060000 0.255000 2.390000 0.725000 ;
+        RECT 2.060000 0.725000 5.450000 0.905000 ;
+        RECT 2.900000 0.255000 3.230000 0.725000 ;
+        RECT 2.900000 1.445000 3.995000 1.705000 ;
+        RECT 3.575000 0.905000 3.995000 1.445000 ;
+        RECT 4.280000 0.255000 4.610000 0.725000 ;
+        RECT 5.120000 0.255000 5.450000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.450000 0.465000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.885000 ;
+      RECT 0.085000  1.885000 1.915000 2.055000 ;
+      RECT 0.085000  2.055000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.635000  0.085000 0.805000 0.825000 ;
+      RECT 0.995000  1.525000 1.575000 1.715000 ;
+      RECT 1.055000  0.450000 1.250000 0.655000 ;
+      RECT 1.055000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 2.390000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.560000  0.085000 1.890000 0.480000 ;
+      RECT 1.640000  2.225000 1.970000 2.295000 ;
+      RECT 1.640000  2.295000 3.650000 2.465000 ;
+      RECT 1.745000  1.415000 2.730000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.885000 ;
+      RECT 2.140000  1.795000 2.310000 1.875000 ;
+      RECT 2.140000  1.875000 4.610000 2.045000 ;
+      RECT 2.140000  2.045000 2.310000 2.125000 ;
+      RECT 2.480000  2.215000 3.650000 2.295000 ;
+      RECT 2.560000  0.085000 2.730000 0.555000 ;
+      RECT 2.560000  1.075000 3.405000 1.275000 ;
+      RECT 2.560000  1.275000 2.730000 1.415000 ;
+      RECT 3.400000  0.085000 4.110000 0.555000 ;
+      RECT 3.860000  2.215000 4.990000 2.465000 ;
+      RECT 4.320000  1.455000 4.610000 1.875000 ;
+      RECT 4.780000  0.085000 4.950000 0.555000 ;
+      RECT 4.780000  1.455000 5.870000 1.625000 ;
+      RECT 4.780000  1.625000 4.990000 2.215000 ;
+      RECT 5.160000  1.795000 5.370000 2.635000 ;
+      RECT 5.540000  1.625000 5.870000 2.465000 ;
+      RECT 5.620000  0.085000 5.895000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_2
+MACRO sky130_fd_sc_hd__dlrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.478500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.680000 0.330000 5.850000 0.665000 ;
+        RECT 5.680000 0.665000 6.150000 0.835000 ;
+        RECT 5.680000 1.495000 6.065000 1.660000 ;
+        RECT 5.680000 1.660000 5.930000 2.465000 ;
+        RECT 5.790000 0.835000 6.150000 0.885000 ;
+        RECT 5.790000 0.885000 6.360000 1.325000 ;
+        RECT 5.790000 1.325000 6.065000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.515000 0.255000 7.765000 0.825000 ;
+        RECT 7.515000 1.605000 7.765000 2.465000 ;
+        RECT 7.595000 0.825000 7.765000 1.055000 ;
+        RECT 7.595000 1.055000 8.195000 1.325000 ;
+        RECT 7.595000 1.325000 7.765000 1.605000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.400000 0.995000 5.150000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.125000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.600000 2.635000 ;
+      RECT 3.840000  1.535000 5.510000 1.705000 ;
+      RECT 3.840000  1.705000 4.940000 1.865000 ;
+      RECT 4.270000  0.415000 4.570000 0.655000 ;
+      RECT 4.270000  0.655000 5.510000 0.825000 ;
+      RECT 4.770000  1.865000 4.940000 2.435000 ;
+      RECT 5.110000  0.085000 5.490000 0.485000 ;
+      RECT 5.110000  1.875000 5.490000 2.635000 ;
+      RECT 5.320000  0.825000 5.510000 0.995000 ;
+      RECT 5.320000  0.995000 5.620000 1.325000 ;
+      RECT 5.320000  1.325000 5.510000 1.535000 ;
+      RECT 6.020000  0.085000 6.360000 0.465000 ;
+      RECT 6.100000  1.830000 6.360000 2.635000 ;
+      RECT 6.535000  0.255000 6.865000 0.995000 ;
+      RECT 6.535000  0.995000 7.425000 1.325000 ;
+      RECT 6.535000  1.325000 6.870000 2.465000 ;
+      RECT 7.035000  0.085000 7.340000 0.545000 ;
+      RECT 7.045000  1.835000 7.340000 2.635000 ;
+      RECT 7.935000  0.085000 8.195000 0.885000 ;
+      RECT 7.935000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_2
+MACRO sky130_fd_sc_hd__dlrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.410000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.565000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.450000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.600000  0.765000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.130000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.535000 5.890000 1.765000 ;
+      RECT 3.840000  1.765000 4.950000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.890000 0.825000 ;
+      RECT 4.780000  1.865000 4.950000 2.435000 ;
+      RECT 5.120000  0.085000 5.890000 0.485000 ;
+      RECT 5.120000  1.935000 5.890000 2.635000 ;
+      RECT 5.655000  0.825000 5.890000 1.535000 ;
+      RECT 6.580000  0.255000 6.805000 0.995000 ;
+      RECT 6.580000  0.995000 7.395000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_1
+MACRO sky130_fd_sc_hd__o21ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.410000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.325000 ;
+        RECT 0.590000 1.325000 0.785000 2.375000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.202500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.295000 1.750000 1.655000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.517000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.505000 1.315000 1.785000 ;
+        RECT 0.965000 1.785000 1.295000 2.465000 ;
+        RECT 1.145000 0.955000 1.665000 1.125000 ;
+        RECT 1.145000 1.125000 1.315000 1.505000 ;
+        RECT 1.495000 0.390000 1.665000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.265000 0.380000 0.615000 ;
+      RECT 0.090000  0.615000 1.305000 0.785000 ;
+      RECT 0.090000  1.495000 0.410000 2.635000 ;
+      RECT 0.575000  0.085000 0.905000 0.445000 ;
+      RECT 1.075000  0.310000 1.305000 0.615000 ;
+      RECT 1.495000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_1
+MACRO sky130_fd_sc_hd__o21ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 1.055000 0.450000 1.445000 ;
+        RECT 0.120000 1.445000 2.095000 1.615000 ;
+        RECT 1.600000 1.075000 2.095000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.620000 1.075000 1.420000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 0.765000 3.130000 1.400000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.742000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.785000 2.645000 1.965000 ;
+        RECT 0.995000 1.965000 1.295000 2.125000 ;
+        RECT 2.410000 1.965000 2.645000 2.465000 ;
+        RECT 2.435000 0.595000 2.645000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.255000 0.435000 0.715000 ;
+      RECT 0.105000  0.715000 2.265000 0.885000 ;
+      RECT 0.105000  1.785000 0.435000 2.635000 ;
+      RECT 0.605000  1.785000 0.825000 2.295000 ;
+      RECT 0.605000  2.295000 1.715000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.965000  0.255000 1.295000 0.715000 ;
+      RECT 1.525000  0.085000 1.695000 0.545000 ;
+      RECT 1.525000  2.135000 1.715000 2.295000 ;
+      RECT 1.910000  2.175000 2.240000 2.635000 ;
+      RECT 1.935000  0.255000 3.125000 0.425000 ;
+      RECT 1.935000  0.425000 2.265000 0.715000 ;
+      RECT 2.815000  0.425000 3.125000 0.595000 ;
+      RECT 2.815000  1.570000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_2
+MACRO sky130_fd_sc_hd__o21ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.415000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 1.100000 1.005000 1.340000 ;
+        RECT 0.605000 1.340000 0.775000 1.645000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 1.355000 1.730000 1.685000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.290500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.510000 1.345000 1.680000 ;
+        RECT 0.965000 1.680000 1.300000 2.465000 ;
+        RECT 1.175000 0.955000 1.740000 1.125000 ;
+        RECT 1.175000 1.125000 1.345000 1.510000 ;
+        RECT 1.455000 0.280000 1.740000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.120000  0.280000 0.380000 0.615000 ;
+      RECT 0.120000  0.615000 1.285000 0.785000 ;
+      RECT 0.145000  1.825000 0.475000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.050000  0.280000 1.285000 0.615000 ;
+      RECT 1.470000  1.855000 1.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_0
+MACRO sky130_fd_sc_hd__o21ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.015000 1.475000 1.320000 ;
+        RECT 0.575000 1.320000 1.475000 1.515000 ;
+        RECT 0.575000 1.515000 3.695000 1.685000 ;
+        RECT 3.445000 0.990000 3.695000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.070000 3.275000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.905000 1.015000 5.255000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.855000 5.150000 2.025000 ;
+        RECT 3.935000 1.445000 5.835000 1.700000 ;
+        RECT 3.935000 1.700000 5.150000 1.855000 ;
+        RECT 4.030000 0.615000 5.835000 0.845000 ;
+        RECT 4.080000 2.025000 5.150000 2.085000 ;
+        RECT 4.080000 2.085000 4.290000 2.465000 ;
+        RECT 4.960000 2.085000 5.150000 2.465000 ;
+        RECT 5.425000 0.845000 5.835000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.615000 3.860000 0.820000 ;
+      RECT 0.120000  1.820000 0.405000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 0.575000  1.915000 1.670000 2.085000 ;
+      RECT 0.575000  2.085000 0.810000 2.465000 ;
+      RECT 0.980000  2.255000 1.310000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.445000 ;
+      RECT 1.480000  2.085000 1.670000 2.275000 ;
+      RECT 1.480000  2.275000 3.460000 2.465000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 3.130000  0.085000 3.460000 0.445000 ;
+      RECT 3.630000  0.255000 5.650000 0.445000 ;
+      RECT 3.630000  0.445000 3.860000 0.615000 ;
+      RECT 3.630000  2.195000 3.910000 2.635000 ;
+      RECT 4.460000  2.255000 4.790000 2.635000 ;
+      RECT 5.320000  1.880000 5.650000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_4
+MACRO sky130_fd_sc_hd__o2111ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.820000 1.075000 9.575000 1.340000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.110000 1.075000 7.325000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 5.455000 1.345000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.075000 3.550000 1.345000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.755000 1.345000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.984350 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.645000 1.685000 0.815000 ;
+        RECT 0.085000 0.815000 0.375000 1.515000 ;
+        RECT 0.085000 1.515000 7.390000 1.685000 ;
+        RECT 0.085000 1.685000 0.360000 2.465000 ;
+        RECT 1.015000 1.685000 1.195000 2.465000 ;
+        RECT 1.845000 1.685000 2.035000 2.465000 ;
+        RECT 2.685000 1.685000 2.875000 2.465000 ;
+        RECT 3.525000 1.685000 3.715000 2.465000 ;
+        RECT 4.570000 1.685000 4.760000 2.465000 ;
+        RECT 5.410000 1.685000 5.600000 2.465000 ;
+        RECT 6.285000 1.685000 6.480000 2.100000 ;
+        RECT 7.045000 1.685000 7.390000 1.720000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  0.285000 2.025000 0.475000 ;
+      RECT 0.530000  1.855000 0.845000 2.635000 ;
+      RECT 1.390000  1.855000 1.675000 2.635000 ;
+      RECT 1.855000  0.475000 2.025000 0.615000 ;
+      RECT 1.855000  0.615000 3.785000 0.825000 ;
+      RECT 2.195000  0.255000 5.565000 0.445000 ;
+      RECT 2.205000  1.855000 2.515000 2.635000 ;
+      RECT 3.045000  1.855000 3.355000 2.635000 ;
+      RECT 3.975000  0.655000 9.440000 0.905000 ;
+      RECT 4.075000  1.855000 4.400000 2.635000 ;
+      RECT 4.930000  1.855000 5.220000 2.635000 ;
+      RECT 5.785000  1.855000 6.115000 2.270000 ;
+      RECT 5.785000  2.270000 7.005000 2.465000 ;
+      RECT 6.100000  0.085000 6.430000 0.485000 ;
+      RECT 6.705000  1.890000 8.235000 2.060000 ;
+      RECT 6.705000  2.060000 7.005000 2.270000 ;
+      RECT 6.960000  0.085000 7.290000 0.485000 ;
+      RECT 7.555000  2.230000 7.885000 2.635000 ;
+      RECT 7.825000  0.085000 8.155000 0.485000 ;
+      RECT 8.045000  1.515000 9.080000 1.685000 ;
+      RECT 8.045000  1.685000 8.235000 1.890000 ;
+      RECT 8.055000  2.060000 8.235000 2.465000 ;
+      RECT 8.410000  1.855000 8.720000 2.635000 ;
+      RECT 8.665000  0.085000 8.995000 0.485000 ;
+      RECT 8.890000  1.685000 9.080000 2.465000 ;
+      RECT 9.265000  1.535000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_4
+MACRO sky130_fd_sc_hd__o2111ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.005000 3.115000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.615000 1.615000 ;
+        RECT 2.270000 1.615000 2.615000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.815000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.255000 1.355000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.815000 1.615000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.857250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.690000 0.885000 ;
+        RECT 0.085000 0.885000 0.315000 1.785000 ;
+        RECT 0.085000 1.785000 2.095000 2.025000 ;
+        RECT 0.790000 2.025000 1.025000 2.465000 ;
+        RECT 1.750000 2.025000 2.095000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.290000  2.195000 0.620000 2.635000 ;
+      RECT 1.210000  2.255000 1.540000 2.635000 ;
+      RECT 1.750000  0.255000 2.095000 0.625000 ;
+      RECT 1.750000  0.625000 3.115000 0.825000 ;
+      RECT 2.285000  0.085000 2.615000 0.455000 ;
+      RECT 2.785000  0.255000 3.115000 0.625000 ;
+      RECT 2.785000  1.795000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_1
+MACRO sky130_fd_sc_hd__o2111ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 1.075000 5.435000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.075000 4.455000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.200000 1.075000 3.185000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.790000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.355000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.302000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.615000 0.935000 0.905000 ;
+        RECT 0.605000 0.905000 0.865000 1.495000 ;
+        RECT 0.605000 1.495000 4.005000 1.665000 ;
+        RECT 0.605000 1.665000 0.865000 2.465000 ;
+        RECT 1.535000 1.665000 1.725000 2.465000 ;
+        RECT 2.395000 1.665000 2.575000 2.465000 ;
+        RECT 3.815000 1.665000 4.005000 2.105000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.260000 1.300000 0.445000 ;
+      RECT 0.175000  0.445000 0.435000 0.865000 ;
+      RECT 0.175000  1.525000 0.425000 2.635000 ;
+      RECT 1.035000  1.835000 1.365000 2.635000 ;
+      RECT 1.115000  0.445000 1.300000 0.735000 ;
+      RECT 1.115000  0.735000 2.275000 0.905000 ;
+      RECT 1.470000  0.255000 3.210000 0.445000 ;
+      RECT 1.470000  0.445000 1.775000 0.530000 ;
+      RECT 1.470000  0.530000 1.760000 0.565000 ;
+      RECT 1.895000  1.840000 2.225000 2.635000 ;
+      RECT 1.925000  0.620000 2.275000 0.735000 ;
+      RECT 2.450000  0.655000 5.435000 0.840000 ;
+      RECT 2.755000  1.835000 3.085000 2.635000 ;
+      RECT 2.880000  0.445000 3.210000 0.485000 ;
+      RECT 3.310000  1.835000 3.570000 2.275000 ;
+      RECT 3.310000  2.275000 4.500000 2.465000 ;
+      RECT 3.380000  0.365000 3.570000 0.655000 ;
+      RECT 3.740000  0.085000 4.070000 0.485000 ;
+      RECT 4.240000  0.365000 4.430000 0.650000 ;
+      RECT 4.240000  0.650000 5.435000 0.655000 ;
+      RECT 4.240000  1.515000 5.360000 1.685000 ;
+      RECT 4.240000  1.685000 4.500000 2.275000 ;
+      RECT 4.600000  0.085000 4.930000 0.480000 ;
+      RECT 4.670000  1.855000 4.930000 2.635000 ;
+      RECT 5.100000  0.365000 5.435000 0.650000 ;
+      RECT 5.100000  1.685000 5.360000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_2
+MACRO sky130_fd_sc_hd__a311oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 3.115000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.995000 1.805000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.995000 0.800000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 0.995000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.730000 1.075000 5.410000 1.295000 ;
+        RECT 5.175000 1.295000 5.410000 1.625000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 5.345000 0.825000 ;
+        RECT 3.235000 0.255000 3.405000 0.655000 ;
+        RECT 4.085000 0.255000 4.255000 0.655000 ;
+        RECT 4.260000 0.825000 4.475000 1.510000 ;
+        RECT 4.260000 1.510000 4.990000 1.575000 ;
+        RECT 4.260000 1.575000 5.005000 1.680000 ;
+        RECT 4.660000 1.680000 5.005000 1.745000 ;
+        RECT 4.660000 1.745000 4.990000 1.915000 ;
+        RECT 4.660000 1.915000 5.005000 2.085000 ;
+        RECT 5.175000 0.255000 5.345000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.495000 0.345000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.655000 ;
+      RECT 0.175000  0.655000 2.105000 0.825000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.575000 3.915000 1.745000 ;
+      RECT 0.595000  1.745000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.355000  0.305000 3.045000 0.475000 ;
+      RECT 1.435000  1.745000 1.605000 2.465000 ;
+      RECT 1.785000  1.915000 2.135000 2.635000 ;
+      RECT 2.305000  1.745000 2.475000 2.465000 ;
+      RECT 2.645000  1.915000 2.975000 2.635000 ;
+      RECT 3.145000  2.255000 5.345000 2.425000 ;
+      RECT 3.585000  0.085000 3.915000 0.465000 ;
+      RECT 3.585000  1.745000 3.915000 2.085000 ;
+      RECT 4.110000  1.915000 4.440000 2.255000 ;
+      RECT 4.110000  2.425000 4.440000 2.465000 ;
+      RECT 4.675000  0.085000 5.005000 0.465000 ;
+      RECT 5.175000  1.795000 5.345000 2.255000 ;
+      RECT 5.175000  2.425000 5.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_2
+MACRO sky130_fd_sc_hd__a311oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.935000 0.995000 9.530000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.898500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 9.485000 0.805000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+        RECT 7.415000 0.805000 7.735000 1.545000 ;
+        RECT 7.415000 1.545000 9.145000 1.715000 ;
+        RECT 7.415000 1.715000 7.735000 1.975000 ;
+        RECT 7.975000 1.530000 8.305000 1.545000 ;
+        RECT 7.975000 1.715000 8.305000 2.085000 ;
+        RECT 8.475000 0.255000 8.645000 0.635000 ;
+        RECT 8.815000 1.715000 9.145000 2.085000 ;
+        RECT 9.315000 0.255000 9.485000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.495000 4.965000 1.665000 ;
+      RECT 0.595000  1.665000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.435000  1.665000 1.605000 2.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.275000  1.665000 2.445000 2.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.115000  1.665000 3.285000 2.465000 ;
+      RECT 3.455000  1.915000 3.785000 2.635000 ;
+      RECT 3.955000  1.665000 4.125000 2.465000 ;
+      RECT 4.295000  1.915000 4.625000 2.635000 ;
+      RECT 4.795000  1.665000 4.965000 1.915000 ;
+      RECT 4.795000  1.915000 7.245000 2.085000 ;
+      RECT 4.795000  2.085000 4.965000 2.465000 ;
+      RECT 5.135000  2.255000 5.465000 2.635000 ;
+      RECT 5.655000  2.255000 9.565000 2.425000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.975000  0.085000 8.305000 0.465000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 9.315000  1.835000 9.565000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_4
+MACRO sky130_fd_sc_hd__a311oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.265000 1.365000 0.660000 ;
+        RECT 1.195000 0.660000 1.365000 0.995000 ;
+        RECT 1.195000 0.995000 1.455000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.265000 0.795000 0.995000 ;
+        RECT 0.600000 0.995000 1.025000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.420000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.710000 0.995000 1.935000 1.835000 ;
+        RECT 1.710000 1.835000 2.230000 2.005000 ;
+        RECT 1.950000 2.005000 2.230000 2.355000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.685000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.659750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.255000 1.705000 0.655000 ;
+        RECT 1.535000 0.655000 2.650000 0.825000 ;
+        RECT 2.105000 0.825000 2.275000 1.495000 ;
+        RECT 2.105000 1.495000 2.650000 1.665000 ;
+        RECT 2.405000 0.295000 2.650000 0.655000 ;
+        RECT 2.410000 1.665000 2.650000 2.335000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.600000  1.575000 1.540000 1.745000 ;
+      RECT 0.600000  1.745000 0.770000 2.305000 ;
+      RECT 0.940000  1.915000 1.200000 2.635000 ;
+      RECT 1.370000  1.745000 1.540000 2.175000 ;
+      RECT 1.370000  2.175000 1.700000 2.345000 ;
+      RECT 1.905000  0.085000 2.235000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.595000 1.630000 0.765000 2.435000 ;
+        RECT 1.440000 1.630000 1.610000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.280000 1.630000 2.450000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.120000 1.630000 3.290000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.960000 1.630000 4.130000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.800000 1.630000 4.970000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.800000 0.425000 2.465000 ;
+        RECT 5.140000 1.800000 5.470000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.130000 2.125000 0.300000 2.295000 ;
+        RECT 5.255000 2.125000 5.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.800000 1.270000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 1.800000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.800000 2.950000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.460000 1.800000 3.790000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.495000 2.125000 3.665000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.300000 1.800000 4.630000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.355000 2.125000 4.525000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.080000 0.360000 2.140000 ;
+        RECT 0.070000 2.140000 5.910000 2.340000 ;
+        RECT 0.930000 2.080000 1.220000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.710000 2.080000 3.000000 2.140000 ;
+        RECT 3.435000 2.080000 3.725000 2.140000 ;
+        RECT 4.295000 2.080000 4.585000 2.140000 ;
+        RECT 5.195000 2.080000 5.485000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.615000 1.455000 10.480000 1.665000 ;
+        RECT  0.615000 1.665000  0.785000 2.465000 ;
+        RECT  1.475000 1.665000  1.645000 2.465000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.335000 1.665000  2.505000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.195000 1.665000  3.365000 2.465000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.055000 1.665000  4.225000 2.465000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  5.080000 1.665000  5.250000 2.465000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.965000 1.665000  6.135000 2.465000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.825000 1.665000  6.995000 2.465000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.685000 1.665000  7.855000 2.465000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.545000 1.665000  8.715000 2.465000 ;
+        RECT  9.405000 1.665000  9.575000 2.465000 ;
+        RECT 10.265000 1.665000 10.435000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.110000 1.495000  0.440000 2.465000 ;
+        RECT 10.610000 1.835000 10.940000 2.465000 ;
+      LAYER mcon ;
+        RECT  0.130000 2.125000  0.300000 2.295000 ;
+        RECT 10.720000 2.125000 10.890000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.835000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.825000 1.835000 2.155000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.835000 3.015000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.835000 3.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.690000 2.125000 3.860000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.425000 1.835000 4.755000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.550000 2.125000 4.720000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.450000 1.835000 5.780000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.450000 2.125000 5.620000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.315000 1.835000 6.645000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.370000 2.125000 6.540000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.175000 1.835000 7.505000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.230000 2.125000 7.400000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.035000 1.835000 8.365000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.130000 2.125000 8.300000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.895000 1.835000 9.225000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.960000 2.125000 9.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 1.835000 10.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.820000 2.125000 9.990000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.080000  0.360000 2.140000 ;
+        RECT  0.070000 2.140000 10.970000 2.340000 ;
+        RECT  0.930000 2.080000  1.220000 2.140000 ;
+        RECT  1.830000 2.080000  2.120000 2.140000 ;
+        RECT  2.710000 2.080000  3.000000 2.140000 ;
+        RECT  3.630000 2.080000  3.920000 2.140000 ;
+        RECT  4.490000 2.080000  4.780000 2.140000 ;
+        RECT  5.390000 2.080000  5.680000 2.140000 ;
+        RECT  6.310000 2.080000  6.600000 2.140000 ;
+        RECT  7.170000 2.080000  7.460000 2.140000 ;
+        RECT  8.070000 2.080000  8.360000 2.140000 ;
+        RECT  8.900000 2.080000  9.190000 2.140000 ;
+        RECT  9.760000 2.080000 10.050000 2.140000 ;
+        RECT 10.660000 2.080000 10.950000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 11.040000 0.085000 ;
+      RECT 0.000000  2.635000 11.040000 2.805000 ;
+      RECT 1.855000  0.085000  2.125000 0.610000 ;
+      RECT 2.720000  0.085000  2.985000 0.610000 ;
+      RECT 3.580000  0.085000  3.845000 0.610000 ;
+      RECT 4.465000  0.085000  4.730000 0.610000 ;
+      RECT 5.490000  0.085000  5.755000 0.610000 ;
+      RECT 6.350000  0.085000  6.575000 0.610000 ;
+      RECT 7.210000  0.085000  7.475000 0.610000 ;
+      RECT 8.070000  0.085000  8.335000 0.610000 ;
+      RECT 8.930000  0.085000  9.195000 0.610000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.375000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.045000 1.630000 1.235000 2.435000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.800000 0.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.600000 2.125000 0.770000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.405000 1.800000 1.735000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.500000 2.125000 1.670000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.540000 2.080000 0.830000 2.140000 ;
+        RECT 1.440000 2.080000 1.730000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.255000 0.840000 0.760000 ;
+        RECT 0.590000 0.760000 1.295000 0.945000 ;
+        RECT 0.595000 0.945000 1.295000 1.290000 ;
+        RECT 0.595000 1.290000 0.765000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.665000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.155000 2.125000 0.325000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 1.665000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.095000 2.080000 0.385000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.645000 1.630000 0.815000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.505000 1.630000 1.675000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.365000 1.630000 2.535000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.800000 0.465000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.195000 2.125000 0.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.800000 1.325000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 1.800000 2.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.955000 2.125000 2.125000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 1.800000 3.045000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.835000 2.125000 3.005000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.150000 2.340000 ;
+        RECT 0.135000 2.080000 0.425000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+        RECT 1.895000 2.080000 2.185000 2.140000 ;
+        RECT 2.775000 2.080000 3.065000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+MACRO sky130_fd_sc_hd__edfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.465000 0.305000 10.795000 2.420000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.810000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.810000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.810000 0.995000 ;
+      RECT  8.640000  0.995000  9.510000 1.325000 ;
+      RECT  8.640000  1.325000  8.810000 2.165000 ;
+      RECT  8.980000  1.530000  9.880000 1.905000 ;
+      RECT  8.980000  2.135000  9.240000 2.635000 ;
+      RECT  9.050000  0.085000  9.365000 0.615000 ;
+      RECT  9.540000  1.905000  9.880000 2.465000 ;
+      RECT  9.550000  0.300000  9.880000 0.825000 ;
+      RECT  9.690000  0.825000  9.880000 1.530000 ;
+      RECT 10.050000  0.085000 10.295000 0.900000 ;
+      RECT 10.050000  1.465000 10.295000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.700000  0.765000  9.870000 0.935000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.575000 1.755000 0.865000 1.800000 ;
+      RECT 0.575000 1.800000 8.030000 1.940000 ;
+      RECT 0.575000 1.940000 0.865000 1.985000 ;
+      RECT 0.955000 1.415000 1.245000 1.460000 ;
+      RECT 0.955000 1.460000 8.450000 1.600000 ;
+      RECT 0.955000 1.600000 1.245000 1.645000 ;
+      RECT 1.295000 0.395000 4.415000 0.580000 ;
+      RECT 1.295000 0.580000 1.585000 0.625000 ;
+      RECT 3.745000 0.735000 4.035000 0.780000 ;
+      RECT 3.745000 0.780000 9.930000 0.920000 ;
+      RECT 3.745000 0.920000 4.035000 0.965000 ;
+      RECT 4.125000 0.580000 4.415000 0.625000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.150000 1.755000 5.440000 1.800000 ;
+      RECT 5.150000 1.940000 5.440000 1.985000 ;
+      RECT 7.740000 1.755000 8.030000 1.800000 ;
+      RECT 7.740000 1.940000 8.030000 1.985000 ;
+      RECT 8.160000 1.415000 8.450000 1.460000 ;
+      RECT 8.160000 1.600000 8.450000 1.645000 ;
+      RECT 9.640000 0.735000 9.930000 0.780000 ;
+      RECT 9.640000 0.920000 9.930000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxtp_1
+MACRO sky130_fd_sc_hd__nor2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_2
+MACRO sky130_fd_sc_hd__nor2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_1
+MACRO sky130_fd_sc_hd__nor2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_4
+MACRO sky130_fd_sc_hd__dlrtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 5.170000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.960000  0.785000 2.340000 1.095000 ;
+      RECT 1.960000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.675000  0.705000 3.095000 1.145000 ;
+      RECT 2.775000  2.255000 3.605000 2.425000 ;
+      RECT 2.810000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.145000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.310000 1.245000 ;
+      RECT 3.435000  1.245000 4.310000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.800000  2.135000 4.110000 2.635000 ;
+      RECT 3.820000  1.535000 5.585000 1.705000 ;
+      RECT 3.820000  1.705000 4.920000 1.865000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.730000  1.865000 4.920000 2.465000 ;
+      RECT 5.090000  1.875000 5.460000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.485000 ;
+      RECT 5.350000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  0.825000 5.585000 0.995000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_2
+MACRO sky130_fd_sc_hd__dlrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 0.415000 6.355000 2.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.500000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.330000 1.245000 ;
+      RECT 3.480000  1.245000 4.330000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  1.535000 5.925000 1.865000 ;
+      RECT 3.820000  2.135000 4.110000 2.635000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.925000 0.825000 ;
+      RECT 4.300000  2.135000 4.580000 2.635000 ;
+      RECT 4.750000  1.865000 4.940000 2.465000 ;
+      RECT 5.095000  0.085000 5.925000 0.485000 ;
+      RECT 5.110000  2.135000 5.925000 2.635000 ;
+      RECT 5.605000  0.825000 5.925000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_1
+MACRO sky130_fd_sc_hd__dlrtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 2.005000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.415000 ;
+      RECT 2.930000  1.415000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 1.025000 ;
+      RECT 3.335000  1.025000 4.315000 1.245000 ;
+      RECT 3.485000  1.245000 4.315000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.245000  0.255000 4.595000 0.655000 ;
+      RECT 4.245000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.785000 2.645000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.445000 3.105000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.165000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.705000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.755000 2.705000 1.800000 ;
+      RECT 2.415000 1.940000 2.705000 1.985000 ;
+      RECT 2.875000 1.415000 3.165000 1.460000 ;
+      RECT 2.875000 1.600000 3.165000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_4
+MACRO sky130_fd_sc_hd__fa_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.995000 1.755000 1.275000 ;
+        RECT 1.245000 1.275000 1.505000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.030000 3.075000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.905000 1.105000 3.075000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 0.955000 5.080000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.765000 1.105000 4.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.105000 0.995000 6.960000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.145000 1.105000 6.315000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000 1.755000 1.120000 ;
+        RECT 1.465000 1.120000 6.375000 1.260000 ;
+        RECT 1.465000 1.260000 1.755000 1.305000 ;
+        RECT 2.845000 1.075000 3.135000 1.120000 ;
+        RECT 2.845000 1.260000 3.135000 1.305000 ;
+        RECT 4.705000 1.075000 4.995000 1.120000 ;
+        RECT 4.705000 1.260000 4.995000 1.305000 ;
+        RECT 6.085000 1.075000 6.375000 1.120000 ;
+        RECT 6.085000 1.260000 6.375000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.645000 1.445000 2.155000 1.690000 ;
+      LAYER mcon ;
+        RECT 1.985000 1.445000 2.155000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.655000 1.435000 4.070000 1.745000 ;
+      LAYER mcon ;
+        RECT 3.845000 1.445000 4.015000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.445000 6.835000 1.735000 ;
+      LAYER mcon ;
+        RECT 6.605000 1.445000 6.775000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.925000 1.415000 2.215000 1.460000 ;
+        RECT 1.925000 1.460000 6.835000 1.600000 ;
+        RECT 1.925000 1.600000 2.215000 1.645000 ;
+        RECT 3.785000 1.415000 4.075000 1.460000 ;
+        RECT 3.785000 1.600000 4.075000 1.645000 ;
+        RECT 6.545000 1.415000 6.835000 1.460000 ;
+        RECT 6.545000 1.600000 6.835000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.475500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.125000 1.105000 2.495000 1.275000 ;
+        RECT 2.325000 1.275000 2.495000 1.570000 ;
+        RECT 2.325000 1.570000 3.415000 1.740000 ;
+        RECT 3.245000 0.965000 4.465000 1.250000 ;
+        RECT 3.245000 1.250000 3.415000 1.570000 ;
+        RECT 4.295000 1.250000 4.465000 1.435000 ;
+        RECT 4.295000 1.435000 4.655000 1.515000 ;
+        RECT 4.295000 1.515000 5.920000 1.685000 ;
+        RECT 5.670000 1.355000 5.920000 1.515000 ;
+        RECT 5.670000 1.685000 5.920000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 0.690000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.415000 ;
+        RECT 0.085000 1.415000 0.735000 1.585000 ;
+        RECT 0.520000 0.315000 0.850000 0.485000 ;
+        RECT 0.520000 0.485000 0.690000 0.735000 ;
+        RECT 0.565000 1.585000 0.735000 1.780000 ;
+        RECT 0.565000 1.780000 0.810000 1.950000 ;
+        RECT 0.600000 1.950000 0.810000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.523500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.395000 0.255000 7.725000 0.485000 ;
+        RECT 7.395000 1.795000 7.645000 1.965000 ;
+        RECT 7.395000 1.965000 7.565000 2.465000 ;
+        RECT 7.475000 0.485000 7.725000 0.735000 ;
+        RECT 7.475000 0.735000 8.195000 0.905000 ;
+        RECT 7.475000 1.415000 8.195000 1.585000 ;
+        RECT 7.475000 1.585000 7.645000 1.795000 ;
+        RECT 7.970000 0.905000 8.195000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.565000 ;
+      RECT 0.180000  1.795000 0.350000 2.635000 ;
+      RECT 0.540000  1.075000 1.075000 1.245000 ;
+      RECT 0.905000  0.655000 2.165000 0.825000 ;
+      RECT 0.905000  0.825000 1.075000 1.075000 ;
+      RECT 0.905000  1.245000 1.075000 1.430000 ;
+      RECT 0.905000  1.430000 1.110000 1.495000 ;
+      RECT 0.905000  1.495000 1.475000 1.600000 ;
+      RECT 0.940000  1.600000 1.475000 1.665000 ;
+      RECT 0.980000  2.275000 1.310000 2.635000 ;
+      RECT 1.020000  0.085000 1.350000 0.465000 ;
+      RECT 1.305000  1.665000 1.475000 1.910000 ;
+      RECT 1.305000  1.910000 2.245000 2.080000 ;
+      RECT 1.535000  0.255000 2.165000 0.655000 ;
+      RECT 1.900000  2.080000 2.245000 2.465000 ;
+      RECT 1.925000  0.825000 2.165000 0.935000 ;
+      RECT 2.415000  0.255000 2.585000 0.615000 ;
+      RECT 2.415000  0.615000 3.425000 0.785000 ;
+      RECT 2.415000  1.935000 3.490000 2.105000 ;
+      RECT 2.415000  2.105000 2.585000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.445000 ;
+      RECT 2.755000  2.275000 3.085000 2.635000 ;
+      RECT 3.255000  0.255000 3.425000 0.615000 ;
+      RECT 3.255000  2.105000 3.490000 2.465000 ;
+      RECT 3.695000  0.085000 4.025000 0.490000 ;
+      RECT 3.695000  1.915000 4.025000 2.635000 ;
+      RECT 4.195000  0.255000 4.365000 0.615000 ;
+      RECT 4.195000  0.615000 5.205000 0.785000 ;
+      RECT 4.195000  1.935000 5.205000 2.105000 ;
+      RECT 4.195000  2.105000 4.365000 2.465000 ;
+      RECT 4.535000  0.085000 4.865000 0.445000 ;
+      RECT 4.535000  2.275000 4.865000 2.635000 ;
+      RECT 5.035000  0.255000 5.205000 0.615000 ;
+      RECT 5.035000  2.105000 5.205000 2.465000 ;
+      RECT 5.250000  0.955000 5.935000 1.125000 ;
+      RECT 5.420000  0.765000 5.935000 0.955000 ;
+      RECT 5.485000  2.125000 6.685000 2.465000 ;
+      RECT 5.540000  0.255000 6.550000 0.505000 ;
+      RECT 5.540000  0.505000 5.710000 0.595000 ;
+      RECT 6.380000  0.505000 6.550000 0.655000 ;
+      RECT 6.380000  0.655000 7.300000 0.825000 ;
+      RECT 6.515000  1.935000 7.180000 2.105000 ;
+      RECT 6.515000  2.105000 6.685000 2.125000 ;
+      RECT 6.780000  0.085000 7.110000 0.445000 ;
+      RECT 6.890000  2.275000 7.220000 2.635000 ;
+      RECT 7.010000  1.470000 7.300000 1.640000 ;
+      RECT 7.010000  1.640000 7.180000 1.935000 ;
+      RECT 7.130000  0.825000 7.300000 1.075000 ;
+      RECT 7.130000  1.075000 7.800000 1.245000 ;
+      RECT 7.130000  1.245000 7.300000 1.470000 ;
+      RECT 7.815000  1.795000 7.985000 2.635000 ;
+      RECT 7.895000  0.085000 8.065000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.685000  0.765000 5.855000 0.935000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 5.915000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 5.625000 0.735000 5.915000 0.780000 ;
+      RECT 5.625000 0.920000 5.915000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_2
+MACRO sky130_fd_sc_hd__fa_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.080000 0.995000 2.680000 1.275000 ;
+        RECT 2.080000 1.275000 2.340000 1.325000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 1.030000 4.000000 1.360000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.105000 4.000000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.645000 0.955000 6.005000 1.275000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.105000 5.860000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 0.995000 7.885000 1.275000 ;
+      LAYER mcon ;
+        RECT 7.070000 1.105000 7.240000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.120000 7.300000 1.260000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 3.770000 1.075000 4.060000 1.120000 ;
+        RECT 3.770000 1.260000 4.060000 1.305000 ;
+        RECT 5.630000 1.075000 5.920000 1.120000 ;
+        RECT 5.630000 1.260000 5.920000 1.305000 ;
+        RECT 7.010000 1.075000 7.300000 1.120000 ;
+        RECT 7.010000 1.260000 7.300000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.445000 3.080000 1.690000 ;
+      LAYER mcon ;
+        RECT 2.910000 1.445000 3.080000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.580000 1.435000 4.995000 1.745000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.445000 4.940000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.075000 1.445000 7.760000 1.735000 ;
+      LAYER mcon ;
+        RECT 7.530000 1.445000 7.700000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.850000 1.415000 3.140000 1.460000 ;
+        RECT 2.850000 1.460000 7.760000 1.600000 ;
+        RECT 2.850000 1.600000 3.140000 1.645000 ;
+        RECT 4.710000 1.415000 5.000000 1.460000 ;
+        RECT 4.710000 1.600000 5.000000 1.645000 ;
+        RECT 7.470000 1.415000 7.760000 1.460000 ;
+        RECT 7.470000 1.600000 7.760000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.477000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.105000 3.420000 1.275000 ;
+        RECT 3.250000 1.275000 3.420000 1.570000 ;
+        RECT 3.250000 1.570000 4.340000 1.740000 ;
+        RECT 4.170000 0.965000 5.390000 1.250000 ;
+        RECT 4.170000 1.250000 4.340000 1.570000 ;
+        RECT 5.220000 1.250000 5.390000 1.435000 ;
+        RECT 5.220000 1.435000 5.580000 1.515000 ;
+        RECT 5.220000 1.515000 6.845000 1.685000 ;
+        RECT 6.595000 1.355000 6.845000 1.515000 ;
+        RECT 6.595000 1.685000 6.845000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 1.525000 0.905000 ;
+        RECT 0.085000 0.905000 0.435000 1.415000 ;
+        RECT 0.085000 1.415000 1.570000 1.585000 ;
+        RECT 0.515000 0.255000 0.845000 0.735000 ;
+        RECT 0.515000 1.585000 0.845000 2.445000 ;
+        RECT 1.355000 0.315000 1.685000 0.485000 ;
+        RECT 1.355000 0.485000 1.525000 0.735000 ;
+        RECT 1.400000 1.585000 1.570000 1.780000 ;
+        RECT 1.400000 1.780000 1.645000 1.950000 ;
+        RECT 1.435000 1.950000 1.645000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.943000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.320000 0.255000  8.650000 0.485000 ;
+        RECT 8.320000 1.795000  8.570000 1.965000 ;
+        RECT 8.320000 1.965000  8.490000 2.465000 ;
+        RECT 8.400000 0.485000  8.650000 0.735000 ;
+        RECT 8.400000 0.735000 10.035000 0.905000 ;
+        RECT 8.400000 1.415000 10.035000 1.585000 ;
+        RECT 8.400000 1.585000  8.570000 1.795000 ;
+        RECT 9.160000 0.270000  9.490000 0.735000 ;
+        RECT 9.160000 1.585000  9.490000 2.425000 ;
+        RECT 9.700000 0.905000 10.035000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.565000 ;
+      RECT 0.175000  1.795000  0.345000 2.635000 ;
+      RECT 0.605000  1.075000  1.910000 1.245000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.795000  1.185000 2.635000 ;
+      RECT 1.740000  0.655000  3.090000 0.825000 ;
+      RECT 1.740000  0.825000  1.910000 1.075000 ;
+      RECT 1.740000  1.245000  1.910000 1.430000 ;
+      RECT 1.740000  1.430000  1.945000 1.495000 ;
+      RECT 1.740000  1.495000  2.310000 1.600000 ;
+      RECT 1.775000  1.600000  2.310000 1.665000 ;
+      RECT 1.815000  2.275000  2.145000 2.635000 ;
+      RECT 1.855000  0.085000  2.185000 0.465000 ;
+      RECT 2.140000  1.665000  2.310000 1.910000 ;
+      RECT 2.140000  1.910000  3.170000 2.080000 ;
+      RECT 2.370000  0.255000  3.090000 0.655000 ;
+      RECT 2.735000  2.080000  3.170000 2.465000 ;
+      RECT 2.850000  0.825000  3.090000 0.935000 ;
+      RECT 3.340000  0.255000  3.510000 0.615000 ;
+      RECT 3.340000  0.615000  4.350000 0.785000 ;
+      RECT 3.340000  1.935000  4.415000 2.105000 ;
+      RECT 3.340000  2.105000  3.510000 2.465000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 3.680000  2.275000  4.010000 2.635000 ;
+      RECT 4.180000  0.255000  4.350000 0.615000 ;
+      RECT 4.180000  2.105000  4.415000 2.465000 ;
+      RECT 4.620000  0.085000  4.950000 0.490000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  0.255000  5.290000 0.615000 ;
+      RECT 5.120000  0.615000  6.130000 0.785000 ;
+      RECT 5.120000  1.935000  6.130000 2.105000 ;
+      RECT 5.120000  2.105000  5.290000 2.465000 ;
+      RECT 5.460000  0.085000  5.790000 0.445000 ;
+      RECT 5.460000  2.275000  5.790000 2.635000 ;
+      RECT 5.960000  0.255000  6.130000 0.615000 ;
+      RECT 5.960000  2.105000  6.130000 2.465000 ;
+      RECT 6.175000  0.955000  6.860000 1.125000 ;
+      RECT 6.345000  0.765000  6.860000 0.955000 ;
+      RECT 6.410000  2.125000  7.610000 2.465000 ;
+      RECT 6.465000  0.255000  7.475000 0.505000 ;
+      RECT 6.465000  0.505000  6.635000 0.595000 ;
+      RECT 7.305000  0.505000  7.475000 0.655000 ;
+      RECT 7.305000  0.655000  8.225000 0.825000 ;
+      RECT 7.440000  1.935000  8.105000 2.105000 ;
+      RECT 7.440000  2.105000  7.610000 2.125000 ;
+      RECT 7.705000  0.085000  8.035000 0.445000 ;
+      RECT 7.815000  2.275000  8.145000 2.635000 ;
+      RECT 7.935000  1.470000  8.225000 1.640000 ;
+      RECT 7.935000  1.640000  8.105000 1.935000 ;
+      RECT 8.055000  0.825000  8.225000 1.075000 ;
+      RECT 8.055000  1.075000  9.445000 1.245000 ;
+      RECT 8.055000  1.245000  8.225000 1.470000 ;
+      RECT 8.740000  1.795000  8.910000 2.635000 ;
+      RECT 8.820000  0.085000  8.990000 0.565000 ;
+      RECT 9.660000  0.085000  9.830000 0.565000 ;
+      RECT 9.660000  1.795000  9.830000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.910000  0.765000 3.080000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.610000  0.765000 6.780000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.850000 0.735000 3.140000 0.780000 ;
+      RECT 2.850000 0.780000 6.840000 0.920000 ;
+      RECT 2.850000 0.920000 3.140000 0.965000 ;
+      RECT 6.550000 0.735000 6.840000 0.780000 ;
+      RECT 6.550000 0.920000 6.840000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_4
+MACRO sky130_fd_sc_hd__fa_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.275000 ;
+        RECT 0.910000 1.275000 1.080000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.105000 1.240000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.230000 1.030000 2.620000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.250000 0.955000 4.625000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.310000 1.105000 4.480000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 1.035000 6.325000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.150000 1.105000 6.320000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.010000 1.075000 1.300000 1.120000 ;
+        RECT 1.010000 1.120000 6.380000 1.260000 ;
+        RECT 1.010000 1.260000 1.300000 1.305000 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 4.250000 1.075000 4.540000 1.120000 ;
+        RECT 4.250000 1.260000 4.540000 1.305000 ;
+        RECT 6.090000 1.075000 6.380000 1.120000 ;
+        RECT 6.090000 1.260000 6.380000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.445000 1.700000 1.880000 ;
+      LAYER mcon ;
+        RECT 1.530000 1.445000 1.700000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200000 1.435000 3.560000 1.765000 ;
+      LAYER mcon ;
+        RECT 3.390000 1.445000 3.560000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.635000 1.445000 6.055000 1.765000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.445000 5.860000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.470000 1.415000 1.760000 1.460000 ;
+        RECT 1.470000 1.460000 5.920000 1.600000 ;
+        RECT 1.470000 1.600000 1.760000 1.645000 ;
+        RECT 3.330000 1.415000 3.620000 1.460000 ;
+        RECT 3.330000 1.600000 3.620000 1.645000 ;
+        RECT 5.630000 1.415000 5.920000 1.460000 ;
+        RECT 5.630000 1.600000 5.920000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.670000 1.105000 2.040000 1.275000 ;
+        RECT 1.870000 1.275000 2.040000 1.595000 ;
+        RECT 1.870000 1.595000 2.960000 1.765000 ;
+        RECT 2.790000 0.965000 3.955000 1.250000 ;
+        RECT 2.790000 1.250000 2.960000 1.595000 ;
+        RECT 3.785000 1.250000 3.955000 1.515000 ;
+        RECT 3.785000 1.515000 5.405000 1.685000 ;
+        RECT 5.155000 1.685000 5.405000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.830000 ;
+        RECT 0.085000 0.830000 0.260000 1.485000 ;
+        RECT 0.085000 1.485000 0.345000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.840000 0.255000 7.240000 0.810000 ;
+        RECT 6.840000 1.485000 7.240000 2.465000 ;
+        RECT 6.910000 0.810000 7.240000 1.485000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  0.635000 1.710000 0.805000 ;
+      RECT 0.515000  0.805000 0.685000 0.995000 ;
+      RECT 0.515000  1.325000 0.685000 1.625000 ;
+      RECT 0.515000  1.625000 1.105000 1.945000 ;
+      RECT 0.515000  2.150000 0.765000 2.635000 ;
+      RECT 0.935000  1.945000 1.105000 2.065000 ;
+      RECT 0.935000  2.065000 1.710000 2.465000 ;
+      RECT 1.110000  0.255000 1.710000 0.635000 ;
+      RECT 1.470000  0.805000 1.710000 0.935000 ;
+      RECT 1.960000  0.255000 2.130000 0.615000 ;
+      RECT 1.960000  0.615000 2.970000 0.785000 ;
+      RECT 1.960000  1.935000 3.035000 2.105000 ;
+      RECT 1.960000  2.105000 2.130000 2.465000 ;
+      RECT 2.300000  0.085000 2.630000 0.445000 ;
+      RECT 2.300000  2.275000 2.630000 2.635000 ;
+      RECT 2.800000  0.255000 2.970000 0.615000 ;
+      RECT 2.800000  2.105000 3.035000 2.465000 ;
+      RECT 3.240000  0.085000 3.570000 0.490000 ;
+      RECT 3.240000  2.255000 3.570000 2.635000 ;
+      RECT 3.740000  0.255000 3.910000 0.615000 ;
+      RECT 3.740000  0.615000 4.750000 0.785000 ;
+      RECT 3.740000  1.935000 4.750000 2.105000 ;
+      RECT 3.740000  2.105000 3.910000 2.465000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.080000  2.275000 4.410000 2.635000 ;
+      RECT 4.580000  0.255000 4.750000 0.615000 ;
+      RECT 4.580000  2.105000 4.750000 2.465000 ;
+      RECT 4.795000  0.955000 5.460000 1.125000 ;
+      RECT 4.965000  0.765000 5.460000 0.955000 ;
+      RECT 5.085000  0.255000 6.095000 0.505000 ;
+      RECT 5.085000  0.505000 5.255000 0.595000 ;
+      RECT 5.085000  2.125000 6.170000 2.465000 ;
+      RECT 5.925000  0.505000 6.095000 0.615000 ;
+      RECT 5.925000  0.615000 6.665000 0.785000 ;
+      RECT 6.000000  1.935000 6.665000 2.105000 ;
+      RECT 6.000000  2.105000 6.170000 2.125000 ;
+      RECT 6.265000  0.085000 6.595000 0.445000 ;
+      RECT 6.340000  2.275000 6.670000 2.635000 ;
+      RECT 6.495000  0.785000 6.665000 0.995000 ;
+      RECT 6.495000  0.995000 6.740000 1.325000 ;
+      RECT 6.495000  1.325000 6.665000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  0.765000 5.400000 0.935000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 5.460000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 5.170000 0.735000 5.460000 0.780000 ;
+      RECT 5.170000 0.920000 5.460000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_1
+MACRO sky130_fd_sc_hd__o2111a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.830000 1.005000 4.515000 1.315000 ;
+        RECT 4.310000 1.315000 4.515000 2.355000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.995000 3.660000 1.325000 ;
+        RECT 3.370000 1.325000 3.660000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.100000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.390000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 1.835000 1.615000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.855000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.030000  0.715000 1.805000 0.885000 ;
+      RECT 1.030000  0.885000 1.305000 1.785000 ;
+      RECT 1.030000  1.785000 3.195000 2.025000 ;
+      RECT 1.035000  0.085000 1.285000 0.545000 ;
+      RECT 1.035000  2.195000 1.655000 2.635000 ;
+      RECT 1.475000  0.255000 1.805000 0.715000 ;
+      RECT 1.860000  2.025000 2.140000 2.465000 ;
+      RECT 2.325000  2.255000 2.655000 2.635000 ;
+      RECT 2.865000  0.255000 3.195000 0.625000 ;
+      RECT 2.865000  0.625000 4.215000 0.825000 ;
+      RECT 2.865000  2.025000 3.195000 2.465000 ;
+      RECT 3.385000  0.085000 3.715000 0.455000 ;
+      RECT 3.885000  0.255000 4.215000 0.625000 ;
+      RECT 3.885000  1.495000 4.140000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_2
+MACRO sky130_fd_sc_hd__o2111a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.705000 1.075000 4.035000 1.660000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.075000 3.535000 1.325000 ;
+        RECT 3.350000 1.325000 3.535000 2.415000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.390000 2.690000 0.995000 ;
+        RECT 2.445000 0.995000 2.705000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.390000 2.195000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.265000 1.075000 1.745000 1.325000 ;
+        RECT 1.535000 0.390000 1.745000 1.075000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  0.995000 0.865000 1.325000 ;
+      RECT 0.525000  1.835000 1.335000 2.635000 ;
+      RECT 0.535000  0.085000 0.845000 0.565000 ;
+      RECT 0.695000  0.735000 1.365000 0.905000 ;
+      RECT 0.695000  0.905000 0.865000 0.995000 ;
+      RECT 0.695000  1.325000 0.865000 1.495000 ;
+      RECT 0.695000  1.495000 3.180000 1.665000 ;
+      RECT 1.025000  0.255000 1.365000 0.735000 ;
+      RECT 1.505000  1.665000 1.835000 2.465000 ;
+      RECT 2.020000  1.835000 2.760000 2.635000 ;
+      RECT 2.870000  0.255000 3.160000 0.705000 ;
+      RECT 2.870000  0.705000 4.055000 0.875000 ;
+      RECT 2.930000  1.665000 3.180000 2.465000 ;
+      RECT 3.330000  0.085000 3.620000 0.535000 ;
+      RECT 3.730000  1.835000 4.055000 2.635000 ;
+      RECT 3.790000  0.255000 4.055000 0.705000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_1
+MACRO sky130_fd_sc_hd__o2111a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.890000 1.075000 4.485000 1.245000 ;
+        RECT 4.130000 1.245000 4.485000 1.320000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.135000 1.075000 3.600000 1.245000 ;
+        RECT 3.145000 1.245000 3.600000 1.320000 ;
+        RECT 3.305000 1.320000 3.600000 1.490000 ;
+        RECT 3.305000 1.490000 4.825000 1.660000 ;
+        RECT 4.655000 1.075000 4.985000 1.320000 ;
+        RECT 4.655000 1.320000 4.825000 1.490000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.215000 1.320000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.150000 0.995000 1.395000 1.490000 ;
+        RECT 1.150000 1.490000 2.660000 1.660000 ;
+        RECT 2.445000 1.080000 2.820000 1.320000 ;
+        RECT 2.445000 1.320000 2.660000 1.490000 ;
+        RECT 2.490000 1.075000 2.820000 1.080000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 0.340000 1.655000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.962500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.255000 5.875000 0.695000 ;
+        RECT 5.650000 0.695000 7.275000 0.865000 ;
+        RECT 5.755000 1.495000 7.275000 1.665000 ;
+        RECT 5.755000 1.665000 5.925000 2.465000 ;
+        RECT 6.545000 0.255000 6.745000 0.695000 ;
+        RECT 6.585000 1.665000 6.775000 2.465000 ;
+        RECT 7.005000 0.865000 7.275000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  1.835000 5.550000 2.000000 ;
+      RECT 0.090000  2.000000 5.065000 2.005000 ;
+      RECT 0.090000  2.005000 0.345000 2.465000 ;
+      RECT 0.100000  0.255000 2.940000 0.485000 ;
+      RECT 0.100000  0.485000 0.345000 0.825000 ;
+      RECT 0.515000  0.655000 0.860000 1.830000 ;
+      RECT 0.515000  1.830000 5.550000 1.835000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 1.015000  2.005000 1.230000 2.465000 ;
+      RECT 1.400000  2.175000 1.625000 2.635000 ;
+      RECT 1.720000  0.655000 4.795000 0.885000 ;
+      RECT 1.795000  2.005000 2.025000 2.465000 ;
+      RECT 2.195000  2.175000 2.525000 2.635000 ;
+      RECT 2.695000  2.005000 3.285000 2.465000 ;
+      RECT 3.110000  0.085000 3.440000 0.485000 ;
+      RECT 3.610000  0.255000 3.825000 0.655000 ;
+      RECT 3.805000  2.180000 4.135000 2.635000 ;
+      RECT 3.995000  0.085000 4.365000 0.485000 ;
+      RECT 4.535000  0.255000 4.795000 0.655000 ;
+      RECT 4.775000  2.005000 5.065000 2.465000 ;
+      RECT 5.035000  0.085000 5.300000 0.545000 ;
+      RECT 5.245000  2.170000 5.585000 2.635000 ;
+      RECT 5.380000  1.075000 6.760000 1.320000 ;
+      RECT 5.380000  1.320000 5.550000 1.830000 ;
+      RECT 6.075000  0.085000 6.375000 0.525000 ;
+      RECT 6.095000  1.835000 6.415000 2.635000 ;
+      RECT 6.915000  0.085000 7.275000 0.525000 ;
+      RECT 6.945000  1.835000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_4
+MACRO sky130_fd_sc_hd__a22oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 5.685000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.910000 1.075000 7.735000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.040000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.895000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.445000 3.325000 1.625000 ;
+        RECT 0.595000 1.625000 0.805000 2.125000 ;
+        RECT 1.395000 1.625000 1.645000 2.125000 ;
+        RECT 2.195000 0.645000 5.565000 0.885000 ;
+        RECT 2.195000 0.885000 2.445000 1.445000 ;
+        RECT 2.235000 1.625000 2.485000 2.125000 ;
+        RECT 3.075000 1.625000 3.325000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.455000 0.425000 2.295000 ;
+      RECT 0.090000  2.295000 4.265000 2.465000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 2.025000 0.905000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.795000 1.225000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 3.785000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.725000 ;
+      RECT 1.815000  1.795000 2.065000 2.295000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 3.495000  1.455000 7.625000 1.625000 ;
+      RECT 3.495000  1.625000 4.265000 2.295000 ;
+      RECT 3.975000  0.255000 5.985000 0.475000 ;
+      RECT 4.435000  1.795000 4.685000 2.635000 ;
+      RECT 4.855000  1.625000 5.105000 2.465000 ;
+      RECT 5.275000  1.795000 5.525000 2.635000 ;
+      RECT 5.695000  1.625000 5.945000 2.465000 ;
+      RECT 5.735000  0.475000 5.985000 0.725000 ;
+      RECT 5.735000  0.725000 7.665000 0.905000 ;
+      RECT 6.115000  1.795000 6.365000 2.635000 ;
+      RECT 6.155000  0.085000 6.325000 0.555000 ;
+      RECT 6.495000  0.255000 6.825000 0.725000 ;
+      RECT 6.535000  1.625000 6.785000 2.465000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 6.995000  0.085000 7.165000 0.555000 ;
+      RECT 7.335000  0.255000 7.665000 0.725000 ;
+      RECT 7.375000  1.625000 7.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_4
+MACRO sky130_fd_sc_hd__a22oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 3.100000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 1.075000 4.500000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.485000 2.160000 1.655000 ;
+        RECT 0.095000 1.655000 0.345000 2.465000 ;
+        RECT 0.935000 1.655000 1.265000 2.125000 ;
+        RECT 1.355000 0.675000 3.045000 0.845000 ;
+        RECT 1.775000 1.655000 2.160000 2.125000 ;
+        RECT 1.870000 0.845000 2.160000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 0.345000 0.680000 ;
+      RECT 0.095000  0.680000 1.185000 0.850000 ;
+      RECT 0.515000  0.085000 0.845000 0.510000 ;
+      RECT 0.515000  1.825000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 2.625000 2.465000 ;
+      RECT 1.015000  0.255000 2.105000 0.505000 ;
+      RECT 1.015000  0.505000 1.185000 0.680000 ;
+      RECT 1.435000  1.825000 1.605000 2.295000 ;
+      RECT 2.295000  0.255000 3.385000 0.505000 ;
+      RECT 2.375000  1.485000 4.305000 1.655000 ;
+      RECT 2.375000  1.655000 2.625000 2.295000 ;
+      RECT 2.795000  1.825000 2.965000 2.635000 ;
+      RECT 3.135000  1.655000 3.465000 2.465000 ;
+      RECT 3.215000  0.505000 3.385000 0.680000 ;
+      RECT 3.215000  0.680000 4.375000 0.850000 ;
+      RECT 3.555000  0.085000 3.885000 0.510000 ;
+      RECT 3.635000  1.825000 3.805000 2.635000 ;
+      RECT 3.975000  1.655000 4.305000 2.465000 ;
+      RECT 4.055000  0.255000 4.375000 0.680000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_2
+MACRO sky130_fd_sc_hd__a22oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.675000 1.700000 1.075000 ;
+        RECT 1.490000 1.075000 1.840000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.995000 2.335000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.275000 ;
+        RECT 0.990000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.858000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.445000 1.840000 1.495000 ;
+        RECT 0.095000 1.495000 2.675000 1.625000 ;
+        RECT 0.095000 1.625000 0.425000 2.295000 ;
+        RECT 0.095000 2.295000 1.265000 2.465000 ;
+        RECT 0.820000 0.255000 2.125000 0.505000 ;
+        RECT 0.935000 2.255000 1.265000 2.295000 ;
+        RECT 1.615000 1.625000 2.675000 1.665000 ;
+        RECT 1.945000 0.505000 2.125000 0.655000 ;
+        RECT 1.945000 0.655000 2.675000 0.825000 ;
+        RECT 2.505000 0.825000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.595000 ;
+      RECT 0.595000  1.795000 1.475000 1.835000 ;
+      RECT 0.595000  1.835000 2.125000 2.035000 ;
+      RECT 0.595000  2.035000 1.210000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 1.435000  2.255000 1.810000 2.635000 ;
+      RECT 1.955000  2.035000 2.125000 2.165000 ;
+      RECT 2.305000  0.085000 2.635000 0.485000 ;
+      RECT 2.360000  1.855000 2.625000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_1
+MACRO sky130_fd_sc_hd__and4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.330000 1.655000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.995000 1.245000 1.325000 ;
+        RECT 0.890000 0.420000 1.245000 0.995000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.425000 1.700000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 0.730000 2.155000 0.935000 ;
+        RECT 1.905000 0.935000 2.075000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.535000 0.255000 2.705000 0.640000 ;
+        RECT 2.535000 0.640000 4.050000 0.810000 ;
+        RECT 2.535000 1.795000 2.785000 2.465000 ;
+        RECT 2.615000 1.485000 4.050000 1.655000 ;
+        RECT 2.615000 1.655000 2.785000 1.795000 ;
+        RECT 3.375000 0.255000 3.545000 0.640000 ;
+        RECT 3.375000 1.655000 4.050000 1.745000 ;
+        RECT 3.375000 1.745000 3.545000 2.465000 ;
+        RECT 3.800000 0.810000 4.050000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.105000  1.835000 0.385000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.415000 1.665000 ;
+      RECT 0.555000  1.665000 0.765000 2.465000 ;
+      RECT 0.955000  1.935000 1.285000 2.635000 ;
+      RECT 1.455000  1.665000 1.645000 2.465000 ;
+      RECT 2.025000  0.085000 2.335000 0.550000 ;
+      RECT 2.025000  1.855000 2.355000 2.635000 ;
+      RECT 2.245000  1.105000 3.585000 1.305000 ;
+      RECT 2.245000  1.305000 2.415000 1.495000 ;
+      RECT 2.575000  1.075000 3.585000 1.105000 ;
+      RECT 2.875000  0.085000 3.205000 0.470000 ;
+      RECT 2.955000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.470000 ;
+      RECT 3.715000  1.915000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_4
+MACRO sky130_fd_sc_hd__and4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.755000 0.330000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.890000 0.420000 1.245000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.415000 1.720000 1.305000 ;
+        RECT 1.420000 1.305000 1.590000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.900000 0.415000 2.160000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 0.295000 3.065000 0.340000 ;
+        RECT 2.735000 0.340000 3.070000 0.805000 ;
+        RECT 2.735000 1.495000 3.070000 2.465000 ;
+        RECT 2.895000 0.805000 3.070000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  2.255000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.555000 1.665000 ;
+      RECT 0.600000  1.665000 0.850000 2.465000 ;
+      RECT 1.070000  1.915000 1.400000 2.635000 ;
+      RECT 1.585000  1.665000 1.835000 2.465000 ;
+      RECT 2.235000  1.835000 2.565000 2.635000 ;
+      RECT 2.330000  0.085000 2.565000 0.890000 ;
+      RECT 2.330000  1.075000 2.725000 1.315000 ;
+      RECT 2.330000  1.315000 2.555000 1.495000 ;
+      RECT 3.245000  1.835000 3.575000 2.635000 ;
+      RECT 3.255000  0.085000 3.585000 0.810000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_2
+MACRO sky130_fd_sc_hd__and4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.325000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 0.360000 1.235000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.355000 1.715000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.355000 2.175000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.295000 3.135000 0.805000 ;
+        RECT 2.795000 2.205000 3.135000 2.465000 ;
+        RECT 2.875000 0.805000 3.135000 2.205000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.170000  0.255000 0.665000 0.585000 ;
+      RECT 0.495000  0.585000 0.665000 1.495000 ;
+      RECT 0.495000  1.495000 2.685000 1.665000 ;
+      RECT 0.595000  1.665000 0.845000 2.465000 ;
+      RECT 1.065000  1.915000 1.395000 2.635000 ;
+      RECT 1.580000  1.665000 1.830000 2.465000 ;
+      RECT 2.295000  1.835000 2.625000 2.635000 ;
+      RECT 2.355000  0.085000 2.625000 0.885000 ;
+      RECT 2.370000  1.075000 2.700000 1.325000 ;
+      RECT 2.370000  1.325000 2.685000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_1
+MACRO sky130_fd_sc_hd__bufinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.265000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  4.295000 0.255000  4.545000 0.260000 ;
+        RECT  4.295000 0.260000  4.625000 0.735000 ;
+        RECT  4.295000 0.735000 10.955000 0.905000 ;
+        RECT  4.295000 1.445000 10.955000 1.615000 ;
+        RECT  4.295000 1.615000  4.625000 2.465000 ;
+        RECT  5.135000 0.260000  5.465000 0.735000 ;
+        RECT  5.135000 1.615000  5.465000 2.465000 ;
+        RECT  5.215000 0.255000  5.385000 0.260000 ;
+        RECT  5.975000 0.260000  6.305000 0.735000 ;
+        RECT  5.975000 1.615000  6.305000 2.465000 ;
+        RECT  6.055000 0.255000  6.225000 0.260000 ;
+        RECT  6.815000 0.260000  7.145000 0.735000 ;
+        RECT  6.815000 1.615000  7.145000 2.465000 ;
+        RECT  7.655000 0.260000  7.985000 0.735000 ;
+        RECT  7.655000 1.615000  7.985000 2.465000 ;
+        RECT  8.495000 0.260000  8.825000 0.735000 ;
+        RECT  8.495000 1.615000  8.825000 2.465000 ;
+        RECT  9.335000 0.260000  9.665000 0.735000 ;
+        RECT  9.335000 1.615000  9.665000 2.465000 ;
+        RECT 10.175000 0.260000 10.505000 0.735000 ;
+        RECT 10.175000 1.615000 10.505000 2.465000 ;
+        RECT 10.680000 0.905000 10.955000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.095000  0.260000  0.425000 0.735000 ;
+      RECT  0.095000  0.735000  1.605000 0.905000 ;
+      RECT  0.095000  1.445000  1.605000 1.615000 ;
+      RECT  0.095000  1.615000  0.425000 2.465000 ;
+      RECT  0.595000  0.085000  0.765000 0.565000 ;
+      RECT  0.595000  1.785000  0.765000 2.635000 ;
+      RECT  0.935000  0.260000  1.265000 0.735000 ;
+      RECT  0.935000  1.615000  1.265000 2.465000 ;
+      RECT  1.435000  0.085000  1.605000 0.565000 ;
+      RECT  1.435000  0.905000  1.605000 1.075000 ;
+      RECT  1.435000  1.075000  3.745000 1.275000 ;
+      RECT  1.435000  1.275000  1.605000 1.445000 ;
+      RECT  1.435000  1.785000  1.605000 2.635000 ;
+      RECT  1.775000  0.260000  2.105000 0.735000 ;
+      RECT  1.775000  0.735000  4.125000 0.905000 ;
+      RECT  1.775000  1.445000  4.125000 1.615000 ;
+      RECT  1.775000  1.615000  2.105000 2.465000 ;
+      RECT  2.275000  0.085000  2.445000 0.565000 ;
+      RECT  2.275000  1.835000  2.445000 2.635000 ;
+      RECT  2.615000  0.260000  2.945000 0.735000 ;
+      RECT  2.615000  1.615000  2.945000 2.465000 ;
+      RECT  3.115000  0.085000  3.285000 0.565000 ;
+      RECT  3.115000  1.835000  3.285000 2.635000 ;
+      RECT  3.455000  0.260000  3.785000 0.735000 ;
+      RECT  3.455000  1.615000  3.785000 2.465000 ;
+      RECT  3.950000  0.905000  4.125000 1.075000 ;
+      RECT  3.950000  1.075000 10.510000 1.275000 ;
+      RECT  3.950000  1.275000  4.125000 1.445000 ;
+      RECT  3.955000  0.085000  4.125000 0.565000 ;
+      RECT  3.955000  1.835000  4.125000 2.635000 ;
+      RECT  4.795000  0.085000  4.965000 0.565000 ;
+      RECT  4.795000  1.835000  4.965000 2.635000 ;
+      RECT  5.635000  0.085000  5.805000 0.565000 ;
+      RECT  5.635000  1.835000  5.805000 2.635000 ;
+      RECT  6.475000  0.085000  6.645000 0.565000 ;
+      RECT  6.475000  1.835000  6.645000 2.635000 ;
+      RECT  7.315000  0.085000  7.485000 0.565000 ;
+      RECT  7.315000  1.835000  7.485000 2.635000 ;
+      RECT  8.155000  0.085000  8.325000 0.565000 ;
+      RECT  8.155000  1.835000  8.325000 2.635000 ;
+      RECT  8.995000  0.085000  9.165000 0.565000 ;
+      RECT  8.995000  1.835000  9.165000 2.635000 ;
+      RECT  9.835000  0.085000 10.005000 0.565000 ;
+      RECT  9.835000  1.835000 10.005000 2.635000 ;
+      RECT 10.675000  0.085000 10.845000 0.565000 ;
+      RECT 10.675000  1.835000 10.845000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_16
+MACRO sky130_fd_sc_hd__bufinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.505000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.260000 3.045000 0.735000 ;
+        RECT 2.715000 0.735000 6.355000 0.905000 ;
+        RECT 2.715000 1.445000 6.355000 1.615000 ;
+        RECT 2.715000 1.615000 3.045000 2.465000 ;
+        RECT 3.555000 0.260000 3.885000 0.735000 ;
+        RECT 3.555000 1.615000 3.885000 2.465000 ;
+        RECT 4.395000 0.260000 4.725000 0.735000 ;
+        RECT 4.395000 1.615000 4.725000 2.465000 ;
+        RECT 5.235000 0.260000 5.565000 0.735000 ;
+        RECT 5.235000 1.615000 5.565000 2.465000 ;
+        RECT 5.970000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.260000 0.845000 0.905000 ;
+      RECT 0.515000  1.545000 0.845000 2.465000 ;
+      RECT 0.675000  0.905000 0.845000 1.075000 ;
+      RECT 0.675000  1.075000 2.205000 1.275000 ;
+      RECT 0.675000  1.275000 0.845000 1.545000 ;
+      RECT 1.035000  0.260000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.545000 0.905000 ;
+      RECT 1.035000  1.445000 2.545000 1.615000 ;
+      RECT 1.035000  1.615000 1.365000 2.465000 ;
+      RECT 1.535000  0.085000 1.705000 0.565000 ;
+      RECT 1.535000  1.785000 1.705000 2.635000 ;
+      RECT 1.875000  0.260000 2.205000 0.735000 ;
+      RECT 1.875000  1.615000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.545000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 5.760000 1.275000 ;
+      RECT 2.375000  1.275000 2.545000 1.445000 ;
+      RECT 2.375000  1.785000 2.545000 2.635000 ;
+      RECT 3.215000  0.085000 3.385000 0.565000 ;
+      RECT 3.215000  1.835000 3.385000 2.635000 ;
+      RECT 4.055000  0.085000 4.225000 0.565000 ;
+      RECT 4.055000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.565000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.565000 ;
+      RECT 5.735000  1.835000 5.905000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_8
+MACRO sky130_fd_sc_hd__tapvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 2.095000 0.375000 2.325000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.125000 0.315000 2.295000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd_1
+MACRO sky130_fd_sc_hd__fahcon_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.937500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.710000 1.780000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 0.645000 4.515000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.310000 0.765000 4.480000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.540000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.250000 0.735000 4.540000 0.780000 ;
+        RECT 4.250000 0.920000 4.540000 0.965000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.530000 1.075000 10.975000 1.275000 ;
+    END
+  END CI
+  PIN COUT_N
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.610000 0.755000 6.935000 0.925000 ;
+        RECT 6.610000 0.925000 6.880000 1.675000 ;
+        RECT 6.710000 1.675000 6.880000 1.785000 ;
+        RECT 6.765000 0.595000 6.935000 0.755000 ;
+    END
+  END COUT_N
+  PIN SUM
+    ANTENNADIFFAREA  0.463750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.010000 1.785000 12.335000 2.465000 ;
+        RECT 12.135000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  2.545000 2.295000 ;
+      RECT  0.940000  2.295000  3.540000 2.385000 ;
+      RECT  0.995000  0.255000  3.410000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.875000 ;
+      RECT  1.280000  1.875000  2.920000 2.045000 ;
+      RECT  1.965000  0.635000  2.470000 1.705000 ;
+      RECT  2.375000  2.385000  3.540000 2.465000 ;
+      RECT  2.640000  0.655000  3.025000 0.735000 ;
+      RECT  2.640000  0.735000  3.160000 0.755000 ;
+      RECT  2.640000  0.755000  3.750000 0.905000 ;
+      RECT  2.640000  1.075000  2.975000 1.160000 ;
+      RECT  2.640000  1.160000  3.100000 1.615000 ;
+      RECT  3.055000  0.905000  3.750000 0.925000 ;
+      RECT  3.240000  0.465000  3.410000 0.585000 ;
+      RECT  3.270000  0.925000  3.440000 2.295000 ;
+      RECT  3.580000  0.255000  4.595000 0.425000 ;
+      RECT  3.580000  0.425000  3.750000 0.755000 ;
+      RECT  3.725000  1.150000  4.095000 1.320000 ;
+      RECT  3.725000  1.320000  3.895000 2.295000 ;
+      RECT  3.725000  2.295000  5.100000 2.465000 ;
+      RECT  3.925000  0.595000  4.095000 1.150000 ;
+      RECT  4.210000  1.755000  4.380000 2.095000 ;
+      RECT  4.265000  0.425000  4.595000 0.475000 ;
+      RECT  4.700000  1.385000  5.180000 1.725000 ;
+      RECT  4.840000  0.510000  5.030000 0.995000 ;
+      RECT  4.840000  0.995000  5.180000 1.385000 ;
+      RECT  4.875000  1.895000  6.005000 2.065000 ;
+      RECT  4.875000  2.065000  5.100000 2.295000 ;
+      RECT  5.200000  0.085000  5.530000 0.805000 ;
+      RECT  5.270000  2.235000  5.600000 2.635000 ;
+      RECT  5.645000  1.555000  6.380000 1.725000 ;
+      RECT  5.700000  0.380000  5.980000 0.815000 ;
+      RECT  5.810000  0.815000  5.980000 1.555000 ;
+      RECT  5.835000  2.065000  6.005000 2.295000 ;
+      RECT  5.835000  2.295000  7.960000 2.465000 ;
+      RECT  6.150000  0.740000  6.435000 1.325000 ;
+      RECT  6.210000  1.725000  6.380000 1.895000 ;
+      RECT  6.210000  1.895000  6.540000 1.955000 ;
+      RECT  6.210000  1.955000  7.220000 2.125000 ;
+      RECT  6.265000  0.255000  7.700000 0.425000 ;
+      RECT  6.265000  0.425000  6.595000 0.570000 ;
+      RECT  7.050000  1.060000  7.280000 1.230000 ;
+      RECT  7.050000  1.230000  7.220000 1.955000 ;
+      RECT  7.110000  0.595000  7.360000 0.925000 ;
+      RECT  7.110000  0.925000  7.280000 1.060000 ;
+      RECT  7.390000  1.360000  7.620000 1.530000 ;
+      RECT  7.390000  1.530000  7.560000 2.125000 ;
+      RECT  7.450000  1.105000  7.700000 1.290000 ;
+      RECT  7.450000  1.290000  7.620000 1.360000 ;
+      RECT  7.530000  0.425000  7.700000 1.105000 ;
+      RECT  7.790000  1.550000  8.045000 1.720000 ;
+      RECT  7.790000  1.720000  7.960000 2.295000 ;
+      RECT  7.875000  0.995000  8.045000 1.550000 ;
+      RECT  7.935000  0.255000  9.450000 0.425000 ;
+      RECT  7.935000  0.425000  8.270000 0.825000 ;
+      RECT  8.230000  1.785000  8.400000 2.295000 ;
+      RECT  8.230000  2.295000  9.950000 2.465000 ;
+      RECT  8.440000  0.595000  8.900000 0.765000 ;
+      RECT  8.440000  0.765000  8.610000 1.445000 ;
+      RECT  8.440000  1.445000  8.740000 1.530000 ;
+      RECT  8.440000  1.530000  8.900000 1.615000 ;
+      RECT  8.570000  1.615000  8.900000 2.125000 ;
+      RECT  8.780000  0.995000  9.110000 1.275000 ;
+      RECT  9.070000  1.530000  9.450000 2.045000 ;
+      RECT  9.070000  2.045000  9.420000 2.125000 ;
+      RECT  9.280000  0.425000  9.450000 1.530000 ;
+      RECT  9.620000  2.215000  9.950000 2.295000 ;
+      RECT  9.650000  0.255000 10.020000 0.825000 ;
+      RECT  9.650000  0.825000  9.820000 1.535000 ;
+      RECT  9.650000  1.535000  9.950000 2.215000 ;
+      RECT  9.990000  0.995000 10.360000 1.325000 ;
+      RECT 10.120000  2.275000 10.455000 2.635000 ;
+      RECT 10.190000  0.735000 10.920000 0.905000 ;
+      RECT 10.190000  0.905000 10.360000 0.995000 ;
+      RECT 10.190000  1.325000 10.360000 1.455000 ;
+      RECT 10.190000  1.455000 10.835000 2.045000 ;
+      RECT 10.200000  0.085000 10.370000 0.565000 ;
+      RECT 10.540000  0.285000 10.920000 0.735000 ;
+      RECT 10.625000  2.045000 10.835000 2.465000 ;
+      RECT 11.085000  1.455000 11.415000 2.465000 ;
+      RECT 11.165000  0.270000 11.335000 0.680000 ;
+      RECT 11.165000  0.680000 11.415000 1.455000 ;
+      RECT 11.535000  0.085000 11.825000 0.555000 ;
+      RECT 11.585000  1.785000 11.840000 2.635000 ;
+      RECT 11.655000  0.995000 11.965000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.280000  1.785000  1.450000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.930000  1.445000  3.100000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.210000  1.785000  4.380000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.770000  1.445000  4.940000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  1.105000  6.320000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.530000  0.765000  7.700000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.450000  1.445000  8.620000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.910000  1.105000  9.080000 1.275000 ;
+      RECT  9.280000  1.785000  9.450000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.190000  1.785000 10.360000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.230000  0.765000 11.400000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.690000  1.445000 11.860000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.195000 1.755000  1.510000 1.800000 ;
+      RECT  1.195000 1.800000  4.440000 1.940000 ;
+      RECT  1.195000 1.940000  1.510000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.140000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.845000 1.415000  3.160000 1.460000 ;
+      RECT  2.845000 1.460000  5.000000 1.600000 ;
+      RECT  2.845000 1.600000  3.160000 1.645000 ;
+      RECT  4.150000 1.755000  4.440000 1.800000 ;
+      RECT  4.150000 1.940000  4.440000 1.985000 ;
+      RECT  4.710000 1.415000  5.000000 1.460000 ;
+      RECT  4.710000 1.600000  5.000000 1.645000 ;
+      RECT  6.090000 1.075000  6.380000 1.120000 ;
+      RECT  6.090000 1.260000  6.380000 1.305000 ;
+      RECT  7.470000 0.735000  7.760000 0.780000 ;
+      RECT  7.470000 0.780000 11.460000 0.920000 ;
+      RECT  7.470000 0.920000  7.760000 0.965000 ;
+      RECT  8.390000 1.415000  8.680000 1.460000 ;
+      RECT  8.390000 1.460000 11.920000 1.600000 ;
+      RECT  8.390000 1.600000  8.680000 1.645000 ;
+      RECT  8.850000 1.075000  9.140000 1.120000 ;
+      RECT  8.850000 1.260000  9.140000 1.305000 ;
+      RECT  9.195000 1.755000  9.510000 1.800000 ;
+      RECT  9.195000 1.800000 10.420000 1.940000 ;
+      RECT  9.195000 1.940000  9.510000 1.985000 ;
+      RECT 10.130000 1.755000 10.420000 1.800000 ;
+      RECT 10.130000 1.940000 10.420000 1.985000 ;
+      RECT 11.170000 0.735000 11.460000 0.780000 ;
+      RECT 11.170000 0.920000 11.460000 0.965000 ;
+      RECT 11.630000 1.415000 11.920000 1.460000 ;
+      RECT 11.630000 1.600000 11.920000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcon_1
+MACRO sky130_fd_sc_hd__or3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 1.430000 1.325000 ;
+        RECT 0.605000 1.325000 0.830000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.280000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.435000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 0.415000 2.215000 0.760000 ;
+        RECT 1.940000 1.495000 2.215000 2.465000 ;
+        RECT 2.045000 0.760000 2.215000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.105000  0.305000 0.360000 0.655000 ;
+      RECT 0.105000  0.655000 1.770000 0.825000 ;
+      RECT 0.105000  1.495000 0.435000 1.785000 ;
+      RECT 0.105000  1.785000 1.270000 1.955000 ;
+      RECT 0.530000  0.085000 0.860000 0.485000 ;
+      RECT 1.030000  0.305000 1.200000 0.655000 ;
+      RECT 1.100000  1.495000 1.770000 1.665000 ;
+      RECT 1.100000  1.665000 1.270000 1.785000 ;
+      RECT 1.370000  0.085000 1.750000 0.485000 ;
+      RECT 1.450000  1.835000 1.730000 2.635000 ;
+      RECT 1.600000  0.825000 1.770000 0.995000 ;
+      RECT 1.600000  0.995000 1.875000 1.325000 ;
+      RECT 1.600000  1.325000 1.770000 1.495000 ;
+      RECT 2.385000  0.085000 2.675000 0.915000 ;
+      RECT 2.385000  1.430000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_2
+MACRO sky130_fd_sc_hd__or3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.995000 1.425000 1.325000 ;
+        RECT 0.600000 1.325000 0.795000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.275000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.415000 2.210000 0.760000 ;
+        RECT 1.935000 1.495000 2.210000 2.465000 ;
+        RECT 2.040000 0.760000 2.210000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.100000  0.305000 0.355000 0.655000 ;
+      RECT 0.100000  0.655000 1.765000 0.825000 ;
+      RECT 0.105000  1.495000 0.430000 1.785000 ;
+      RECT 0.105000  1.785000 1.275000 1.955000 ;
+      RECT 0.525000  0.085000 0.855000 0.485000 ;
+      RECT 1.025000  0.305000 1.195000 0.655000 ;
+      RECT 1.105000  1.495000 1.765000 1.665000 ;
+      RECT 1.105000  1.665000 1.275000 1.785000 ;
+      RECT 1.365000  0.085000 1.745000 0.485000 ;
+      RECT 1.445000  1.835000 1.725000 2.635000 ;
+      RECT 1.595000  0.825000 1.765000 0.995000 ;
+      RECT 1.595000  0.995000 1.870000 1.325000 ;
+      RECT 1.595000  1.325000 1.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_1
+MACRO sky130_fd_sc_hd__or3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.075000 1.055000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.050000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.305000 0.265000 2.635000 0.735000 ;
+        RECT 2.305000 0.735000 4.055000 0.905000 ;
+        RECT 2.345000 1.455000 4.055000 1.625000 ;
+        RECT 2.345000 1.625000 2.595000 2.465000 ;
+        RECT 3.145000 0.265000 3.475000 0.735000 ;
+        RECT 3.185000 1.625000 3.435000 2.465000 ;
+        RECT 3.765000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.725000 ;
+      RECT 0.085000  0.725000 2.090000 0.905000 ;
+      RECT 0.085000  1.495000 0.425000 2.295000 ;
+      RECT 0.085000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 1.000000  1.495000 2.090000 1.665000 ;
+      RECT 1.000000  1.665000 1.265000 2.295000 ;
+      RECT 1.435000  0.085000 2.135000 0.555000 ;
+      RECT 1.435000  1.835000 2.135000 2.635000 ;
+      RECT 1.870000  0.905000 2.090000 1.075000 ;
+      RECT 1.870000  1.075000 3.595000 1.245000 ;
+      RECT 1.870000  1.245000 2.090000 1.495000 ;
+      RECT 2.765000  1.795000 3.015000 2.635000 ;
+      RECT 2.805000  0.085000 2.975000 0.555000 ;
+      RECT 3.605000  1.795000 3.855000 2.635000 ;
+      RECT 3.645000  0.085000 3.815000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_4
+MACRO sky130_fd_sc_hd__a22o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.675000 1.720000 1.075000 ;
+        RECT 1.510000 1.075000 1.840000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.415000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.255000 3.160000 0.585000 ;
+        RECT 2.900000 1.785000 3.160000 2.465000 ;
+        RECT 2.990000 0.585000 3.160000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.850000 ;
+      RECT 0.095000  1.455000 2.815000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.295000 ;
+      RECT 0.095000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  1.795000 2.230000 2.035000 ;
+      RECT 0.595000  2.035000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.145000 0.505000 ;
+      RECT 0.935000  2.255000 1.265000 2.295000 ;
+      RECT 1.455000  2.215000 1.810000 2.635000 ;
+      RECT 1.975000  0.505000 2.145000 0.735000 ;
+      RECT 1.975000  0.735000 2.815000 0.905000 ;
+      RECT 1.980000  2.035000 2.230000 2.465000 ;
+      RECT 2.355000  0.085000 2.685000 0.565000 ;
+      RECT 2.400000  1.875000 2.730000 2.635000 ;
+      RECT 2.645000  0.905000 2.815000 1.455000 ;
+      RECT 3.330000  0.085000 3.500000 0.985000 ;
+      RECT 3.330000  1.445000 3.500000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_2
+MACRO sky130_fd_sc_hd__a22o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 3.930000 1.955000 ;
+      RECT 1.900000  2.125000 2.150000 2.635000 ;
+      RECT 1.940000  0.085000 2.630000 0.555000 ;
+      RECT 1.940000  0.735000 5.310000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.420000  2.125000 2.670000 2.295000 ;
+      RECT 2.420000  2.295000 4.430000 2.465000 ;
+      RECT 2.800000  0.255000 3.970000 0.475000 ;
+      RECT 2.840000  1.955000 3.090000 2.125000 ;
+      RECT 3.170000  0.645000 3.605000 0.735000 ;
+      RECT 3.260000  2.125000 3.510000 2.295000 ;
+      RECT 3.680000  1.955000 3.930000 2.125000 ;
+      RECT 4.100000  1.785000 6.110000 1.955000 ;
+      RECT 4.100000  1.955000 4.430000 2.295000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.560000  0.255000 5.730000 0.475000 ;
+      RECT 4.600000  2.125000 4.850000 2.635000 ;
+      RECT 4.935000  0.645000 5.310000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.465000 ;
+      RECT 5.440000  2.125000 5.690000 2.635000 ;
+      RECT 5.480000  0.475000 5.730000 0.895000 ;
+      RECT 5.900000  0.085000 6.070000 0.895000 ;
+      RECT 5.905000  1.455000 6.110000 1.785000 ;
+      RECT 5.905000  1.955000 6.110000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_4
+MACRO sky130_fd_sc_hd__a22o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.675000 1.695000 1.075000 ;
+        RECT 1.485000 1.075000 1.815000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.040000 2.395000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 0.255000 3.135000 0.585000 ;
+        RECT 2.875000 1.785000 3.135000 2.465000 ;
+        RECT 2.965000 0.585000 3.135000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.545000 0.850000 ;
+      RECT 0.090000  1.455000 1.265000 1.515000 ;
+      RECT 0.090000  1.515000 2.795000 1.625000 ;
+      RECT 0.090000  1.625000 0.345000 2.245000 ;
+      RECT 0.090000  2.245000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.780000 1.885000 ;
+      RECT 0.595000  1.885000 2.205000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.120000 0.465000 ;
+      RECT 0.935000  1.625000 2.735000 1.685000 ;
+      RECT 0.935000  1.685000 1.265000 1.715000 ;
+      RECT 1.370000  1.875000 2.205000 1.885000 ;
+      RECT 1.430000  2.255000 1.785000 2.635000 ;
+      RECT 1.950000  0.465000 2.120000 0.615000 ;
+      RECT 1.950000  0.615000 2.705000 0.740000 ;
+      RECT 1.950000  0.740000 2.795000 0.785000 ;
+      RECT 1.955000  2.085000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.705000 0.445000 ;
+      RECT 2.455000  1.855000 2.705000 2.635000 ;
+      RECT 2.525000  0.785000 2.795000 0.905000 ;
+      RECT 2.595000  1.480000 2.795000 1.515000 ;
+      RECT 2.625000  0.905000 2.795000 1.480000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_1
+MACRO sky130_fd_sc_hd__a21oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.815000 0.995000 1.425000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.035000 0.645000 1.495000 ;
+        RECT 0.145000 1.495000 1.930000 1.675000 ;
+        RECT 1.605000 1.075000 1.935000 1.245000 ;
+        RECT 1.605000 1.245000 1.930000 1.495000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.800000 0.995000 3.075000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.300000 0.615000 ;
+        RECT 0.955000 0.615000 2.615000 0.785000 ;
+        RECT 2.295000 0.255000 2.615000 0.615000 ;
+        RECT 2.315000 0.785000 2.615000 2.115000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.100000  0.085000 0.395000 0.865000 ;
+      RECT 0.110000  1.855000 2.145000 2.025000 ;
+      RECT 0.110000  2.025000 1.220000 2.105000 ;
+      RECT 0.110000  2.105000 0.370000 2.465000 ;
+      RECT 0.540000  2.275000 0.870000 2.635000 ;
+      RECT 1.050000  2.105000 1.220000 2.465000 ;
+      RECT 1.475000  2.195000 1.645000 2.635000 ;
+      RECT 1.760000  0.085000 2.090000 0.445000 ;
+      RECT 1.815000  2.025000 2.145000 2.285000 ;
+      RECT 1.815000  2.285000 3.090000 2.465000 ;
+      RECT 2.785000  1.795000 3.090000 2.285000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_2
+MACRO sky130_fd_sc_hd__a21oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.995000 1.265000 1.325000 ;
+        RECT 1.035000 0.375000 1.265000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.740000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.675000 0.335000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.447000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.680000 1.685000 ;
+        RECT 0.095000 1.685000 0.370000 2.455000 ;
+        RECT 0.505000 0.645000 0.835000 0.825000 ;
+        RECT 0.505000 0.825000 0.680000 1.495000 ;
+        RECT 0.610000 0.265000 0.835000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.110000  0.085000 0.440000 0.475000 ;
+      RECT 0.540000  1.855000 1.745000 2.025000 ;
+      RECT 0.540000  2.025000 0.870000 2.455000 ;
+      RECT 0.850000  1.525000 1.745000 1.855000 ;
+      RECT 1.040000  2.195000 1.235000 2.635000 ;
+      RECT 1.415000  2.025000 1.745000 2.455000 ;
+      RECT 1.445000  0.085000 1.745000 0.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_1
+MACRO sky130_fd_sc_hd__a21oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.565000 1.065000 4.000000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.050000 1.065000 2.395000 1.480000 ;
+        RECT 2.050000 1.480000 5.470000 1.705000 ;
+        RECT 4.225000 1.075000 5.470000 1.480000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.400000 1.035000 ;
+        RECT 0.090000 1.035000 1.430000 1.415000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 1.585000 1.880000 1.705000 ;
+        RECT 0.580000 1.705000 1.745000 2.035000 ;
+        RECT 0.595000 0.370000 0.785000 0.615000 ;
+        RECT 0.595000 0.615000 1.645000 0.695000 ;
+        RECT 0.595000 0.695000 3.905000 0.865000 ;
+        RECT 1.455000 0.255000 1.645000 0.615000 ;
+        RECT 1.600000 0.865000 3.905000 0.895000 ;
+        RECT 1.600000 0.895000 1.880000 1.585000 ;
+        RECT 2.275000 0.675000 3.905000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.805000 ;
+      RECT 0.180000  1.795000 0.375000 2.215000 ;
+      RECT 0.180000  2.215000 2.115000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.955000  2.205000 2.115000 2.215000 ;
+      RECT 1.835000  0.085000 2.115000 0.525000 ;
+      RECT 1.915000  1.875000 5.625000 2.105000 ;
+      RECT 1.915000  2.105000 2.115000 2.205000 ;
+      RECT 2.285000  0.255000 4.335000 0.505000 ;
+      RECT 2.285000  2.275000 2.615000 2.635000 ;
+      RECT 2.785000  2.105000 2.975000 2.465000 ;
+      RECT 3.145000  2.275000 3.475000 2.635000 ;
+      RECT 3.645000  2.105000 3.835000 2.465000 ;
+      RECT 4.005000  2.275000 4.335000 2.635000 ;
+      RECT 4.075000  0.505000 4.335000 0.735000 ;
+      RECT 4.075000  0.735000 5.195000 0.905000 ;
+      RECT 4.505000  0.085000 4.695000 0.565000 ;
+      RECT 4.505000  2.105000 4.685000 2.465000 ;
+      RECT 4.865000  0.255000 5.195000 0.735000 ;
+      RECT 4.865000  2.275000 5.195000 2.635000 ;
+      RECT 5.365000  0.085000 5.625000 0.885000 ;
+      RECT 5.365000  2.105000 5.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_4
+MACRO sky130_fd_sc_hd__dlrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.345000 5.895000 0.745000 ;
+        RECT 5.635000 1.670000 5.895000 2.455000 ;
+        RECT 5.725000 0.745000 5.895000 1.670000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.345000 4.975000 0.995000 ;
+        RECT 4.745000 0.995000 5.075000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  1.795000 0.775000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.775000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.775000 1.070000 ;
+      RECT 0.605000  1.070000 0.835000 1.400000 ;
+      RECT 0.605000  1.400000 0.775000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.235000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.335000 1.095000 ;
+      RECT 1.945000  1.095000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.835000 1.625000 ;
+      RECT 2.445000  1.625000 2.760000 1.685000 ;
+      RECT 2.690000  0.765000 3.245000 1.095000 ;
+      RECT 2.810000  2.255000 3.625000 2.425000 ;
+      RECT 2.815000  0.365000 3.585000 0.535000 ;
+      RECT 2.900000  1.785000 3.265000 1.995000 ;
+      RECT 3.005000  1.095000 3.245000 1.635000 ;
+      RECT 3.005000  1.635000 3.265000 1.785000 ;
+      RECT 3.415000  0.535000 3.585000 0.995000 ;
+      RECT 3.415000  0.995000 4.175000 1.165000 ;
+      RECT 3.455000  1.165000 4.175000 1.325000 ;
+      RECT 3.455000  1.325000 3.625000 2.255000 ;
+      RECT 3.755000  0.085000 4.025000 0.610000 ;
+      RECT 3.815000  1.535000 5.465000 1.735000 ;
+      RECT 3.815000  1.735000 4.965000 1.865000 ;
+      RECT 3.930000  2.135000 4.445000 2.635000 ;
+      RECT 4.195000  0.295000 4.575000 0.805000 ;
+      RECT 4.345000  0.805000 4.575000 1.505000 ;
+      RECT 4.345000  1.505000 5.465000 1.535000 ;
+      RECT 4.625000  1.865000 4.965000 2.435000 ;
+      RECT 5.135000  1.915000 5.465000 2.635000 ;
+      RECT 5.155000  0.085000 5.440000 0.715000 ;
+      RECT 5.245000  0.995000 5.555000 1.325000 ;
+      RECT 5.245000  1.325000 5.465000 1.505000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.445000 0.775000 1.615000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.785000 1.235000 1.955000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.415000 0.835000 1.460000 ;
+      RECT 0.545000 1.460000 2.675000 1.600000 ;
+      RECT 0.545000 1.600000 0.835000 1.645000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 3.155000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_1
+MACRO sky130_fd_sc_hd__dlrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.955000 1.770000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 4.815000 1.035000 ;
+        RECT 4.480000 1.035000 5.240000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.435000  1.495000 2.120000 1.665000 ;
+      RECT 1.435000  1.665000 1.785000 2.415000 ;
+      RECT 1.515000  0.345000 1.705000 0.615000 ;
+      RECT 1.515000  0.615000 2.120000 0.765000 ;
+      RECT 1.515000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.950000  0.785000 2.335000 1.095000 ;
+      RECT 1.950000  1.095000 2.120000 1.495000 ;
+      RECT 1.955000  1.835000 2.250000 2.635000 ;
+      RECT 2.450000  1.355000 2.755000 1.685000 ;
+      RECT 2.585000  0.735000 3.100000 1.040000 ;
+      RECT 2.770000  0.365000 3.445000 0.535000 ;
+      RECT 2.770000  2.255000 3.580000 2.425000 ;
+      RECT 2.905000  1.780000 3.265000 1.910000 ;
+      RECT 2.905000  1.910000 3.175000 1.995000 ;
+      RECT 2.930000  1.040000 3.100000 1.570000 ;
+      RECT 2.930000  1.570000 3.265000 1.780000 ;
+      RECT 3.270000  0.535000 3.445000 0.995000 ;
+      RECT 3.270000  0.995000 4.220000 1.325000 ;
+      RECT 3.410000  2.000000 3.605000 2.085000 ;
+      RECT 3.410000  2.085000 3.580000 2.255000 ;
+      RECT 3.415000  1.995000 3.605000 2.000000 ;
+      RECT 3.420000  1.985000 3.605000 1.995000 ;
+      RECT 3.435000  1.325000 3.605000 1.985000 ;
+      RECT 3.720000  0.085000 4.060000 0.530000 ;
+      RECT 3.750000  2.175000 4.090000 2.635000 ;
+      RECT 3.775000  1.535000 5.585000 1.705000 ;
+      RECT 3.775000  1.705000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.580000 0.655000 ;
+      RECT 4.240000  0.655000 5.095000 0.695000 ;
+      RECT 4.240000  0.695000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.800000  1.865000 4.970000 2.465000 ;
+      RECT 4.955000  0.825000 5.585000 0.865000 ;
+      RECT 5.140000  1.875000 5.485000 2.635000 ;
+      RECT 5.255000  0.085000 5.425000 0.525000 ;
+      RECT 5.415000  0.865000 5.585000 0.995000 ;
+      RECT 5.415000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.680000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_2
+MACRO sky130_fd_sc_hd__dlrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 1.685000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.575000 ;
+      RECT 2.930000  1.575000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 0.995000 ;
+      RECT 3.335000  0.995000 4.235000 1.165000 ;
+      RECT 3.485000  1.165000 4.235000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.265000  0.255000 4.595000 0.655000 ;
+      RECT 4.265000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.445000 2.645000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.785000 3.105000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.705000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.165000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.415000 2.705000 1.460000 ;
+      RECT 2.415000 1.600000 2.705000 1.645000 ;
+      RECT 2.875000 1.755000 3.165000 1.800000 ;
+      RECT 2.875000 1.940000 3.165000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_4
+MACRO sky130_fd_sc_hd__and2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.625000 3.155000 0.995000 ;
+        RECT 2.900000 0.995000 3.205000 1.325000 ;
+        RECT 2.900000 1.325000 3.155000 1.745000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 0.975000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 1.535000 2.730000 1.745000 ;
+        RECT 1.525000 0.495000 1.715000 0.615000 ;
+        RECT 1.525000 0.615000 2.730000 0.825000 ;
+        RECT 2.440000 0.825000 2.730000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.615000 ;
+      RECT 0.090000  0.615000 1.355000 0.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.165000  0.995000 0.425000 1.325000 ;
+      RECT 0.165000  1.325000 0.335000 1.915000 ;
+      RECT 0.165000  1.915000 3.505000 2.085000 ;
+      RECT 0.515000  1.500000 1.315000 1.745000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  2.275000 1.320000 2.635000 ;
+      RECT 1.110000  1.435000 1.320000 1.485000 ;
+      RECT 1.110000  1.485000 1.315000 1.500000 ;
+      RECT 1.145000  0.805000 1.355000 0.995000 ;
+      RECT 1.145000  0.995000 2.260000 1.355000 ;
+      RECT 1.145000  1.355000 1.320000 1.435000 ;
+      RECT 1.885000  0.085000 2.215000 0.445000 ;
+      RECT 1.905000  2.275000 2.235000 2.635000 ;
+      RECT 2.745000  0.085000 3.075000 0.445000 ;
+      RECT 2.745000  2.275000 3.075000 2.635000 ;
+      RECT 3.330000  0.495000 3.500000 0.675000 ;
+      RECT 3.330000  0.675000 3.545000 0.845000 ;
+      RECT 3.335000  1.530000 3.545000 1.700000 ;
+      RECT 3.335000  1.700000 3.505000 1.915000 ;
+      RECT 3.375000  0.845000 3.545000 1.530000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_4
+MACRO sky130_fd_sc_hd__and2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_1
+MACRO sky130_fd_sc_hd__and2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.450000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.645000 2.200000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.580000 2.680000 2.365000 ;
+        RECT 2.445000 0.255000 2.680000 0.775000 ;
+        RECT 2.505000 0.775000 2.680000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.855000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.620000  0.655000 0.835000 0.805000 ;
+      RECT 0.620000  0.805000 1.175000 1.135000 ;
+      RECT 0.620000  1.135000 0.855000 1.785000 ;
+      RECT 1.045000  1.305000 2.335000 1.325000 ;
+      RECT 1.045000  1.325000 1.905000 1.475000 ;
+      RECT 1.045000  1.475000 1.330000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.515000 0.610000 ;
+      RECT 1.345000  0.610000 1.515000 0.945000 ;
+      RECT 1.345000  0.945000 2.335000 1.305000 ;
+      RECT 1.510000  2.165000 2.195000 2.635000 ;
+      RECT 1.875000  0.085000 2.275000 0.580000 ;
+      RECT 2.865000  0.085000 3.135000 0.720000 ;
+      RECT 2.865000  1.680000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_2
+MACRO sky130_fd_sc_hd__o2bb2a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.215000 1.075000 1.685000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 0.380000 1.735000 0.735000 ;
+        RECT 1.515000 0.735000 2.020000 0.770000 ;
+        RECT 1.515000 0.770000 2.025000 0.905000 ;
+        RECT 1.855000 0.905000 2.025000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.700000 1.075000 4.045000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 3.525000 1.325000 ;
+        RECT 3.355000 1.325000 3.525000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.870000 0.825000 ;
+        RECT 0.535000 0.825000 0.705000 1.795000 ;
+        RECT 0.535000 1.795000 0.790000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.110000  0.085000 0.365000 0.910000 ;
+      RECT 0.110000  1.410000 0.365000 2.635000 ;
+      RECT 0.875000  0.995000 1.045000 1.445000 ;
+      RECT 0.875000  1.445000 1.270000 1.615000 ;
+      RECT 0.960000  2.235000 1.290000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.750000 ;
+      RECT 1.100000  1.615000 1.270000 1.885000 ;
+      RECT 1.100000  1.885000 3.185000 2.055000 ;
+      RECT 1.440000  1.495000 2.460000 1.715000 ;
+      RECT 1.905000  0.395000 2.365000 0.565000 ;
+      RECT 2.160000  2.235000 2.565000 2.635000 ;
+      RECT 2.195000  0.565000 2.365000 1.355000 ;
+      RECT 2.195000  1.355000 2.460000 1.495000 ;
+      RECT 2.535000  0.320000 2.780000 0.690000 ;
+      RECT 2.610000  0.690000 2.780000 1.075000 ;
+      RECT 2.610000  1.075000 2.800000 1.245000 ;
+      RECT 2.630000  1.245000 2.800000 1.495000 ;
+      RECT 2.630000  1.495000 3.185000 1.885000 ;
+      RECT 2.835000  2.055000 3.185000 2.425000 ;
+      RECT 2.955000  0.320000 3.185000 0.725000 ;
+      RECT 2.955000  0.725000 4.045000 0.905000 ;
+      RECT 3.375000  0.085000 3.545000 0.555000 ;
+      RECT 3.715000  0.320000 4.045000 0.725000 ;
+      RECT 3.730000  1.815000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_2
+MACRO sky130_fd_sc_hd__o2bb2a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.445000 ;
+        RECT 3.315000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.895000 1.615000 ;
+        RECT 1.565000 1.075000 1.895000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.910000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.910000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.605000 0.905000 6.910000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 1.265000 0.735000 ;
+      RECT 0.095000  0.735000 2.025000 0.905000 ;
+      RECT 0.140000  1.795000 0.345000 2.635000 ;
+      RECT 0.555000  1.785000 0.805000 2.295000 ;
+      RECT 0.555000  2.295000 1.645000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.785000 2.615000 1.955000 ;
+      RECT 0.975000  1.955000 1.225000 2.125000 ;
+      RECT 1.395000  2.125000 1.645000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 2.945000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.735000 ;
+      RECT 1.815000  2.125000 2.065000 2.635000 ;
+      RECT 2.065000  1.075000 2.445000 1.415000 ;
+      RECT 2.065000  1.415000 2.615000 1.785000 ;
+      RECT 2.195000  0.645000 2.525000 0.815000 ;
+      RECT 2.195000  0.815000 2.445000 1.075000 ;
+      RECT 2.235000  1.955000 2.615000 1.965000 ;
+      RECT 2.235000  1.965000 2.525000 2.465000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.695000  2.135000 3.425000 2.635000 ;
+      RECT 2.955000  0.725000 4.305000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.785000 ;
+      RECT 2.955000  1.785000 4.685000 1.965000 ;
+      RECT 3.215000  0.085000 3.385000 0.555000 ;
+      RECT 3.555000  0.305000 4.725000 0.475000 ;
+      RECT 3.595000  1.965000 3.845000 2.125000 ;
+      RECT 3.975000  0.645000 4.305000 0.725000 ;
+      RECT 4.015000  2.135000 4.265000 2.635000 ;
+      RECT 4.435000  1.965000 4.685000 2.465000 ;
+      RECT 4.475000  0.475000 4.725000 0.895000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.165000  1.075000 6.435000 1.245000 ;
+      RECT 5.165000  1.245000 5.455000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.445000 5.395000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.460000 5.455000 1.600000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 5.165000 1.415000 5.455000 1.460000 ;
+      RECT 5.165000 1.600000 5.455000 1.645000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_4
+MACRO sky130_fd_sc_hd__o2bb2a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.770000 1.075000 1.220000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.380000 1.290000 0.735000 ;
+        RECT 1.070000 0.735000 1.565000 0.905000 ;
+        RECT 1.390000 0.905000 1.565000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.075000 3.595000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.520000 1.075000 3.080000 1.325000 ;
+        RECT 2.905000 1.325000 3.080000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.795000 ;
+        RECT 0.085000 1.795000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.600000 1.445000 ;
+      RECT 0.430000  1.445000 0.825000 1.615000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 0.620000  0.085000 0.790000 0.750000 ;
+      RECT 0.655000  1.615000 0.825000 1.885000 ;
+      RECT 0.655000  1.885000 2.735000 2.055000 ;
+      RECT 0.995000  1.495000 2.010000 1.715000 ;
+      RECT 1.460000  0.395000 1.905000 0.565000 ;
+      RECT 1.715000  2.235000 2.115000 2.635000 ;
+      RECT 1.735000  0.565000 1.905000 1.355000 ;
+      RECT 1.735000  1.355000 2.010000 1.495000 ;
+      RECT 2.075000  0.320000 2.325000 0.690000 ;
+      RECT 2.155000  0.690000 2.325000 1.075000 ;
+      RECT 2.155000  1.075000 2.350000 1.245000 ;
+      RECT 2.180000  1.245000 2.350000 1.495000 ;
+      RECT 2.180000  1.495000 2.735000 1.885000 ;
+      RECT 2.405000  2.055000 2.735000 2.290000 ;
+      RECT 2.495000  0.320000 2.745000 0.725000 ;
+      RECT 2.495000  0.725000 3.595000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.250000  1.815000 3.595000 2.635000 ;
+      RECT 3.255000  0.320000 3.595000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_1
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 5.430000 2.465000 ;
+        RECT 2.835000 1.025000 5.430000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+        RECT 3.825000 2.125000 3.995000 2.295000 ;
+        RECT 4.285000 2.125000 4.455000 2.295000 ;
+        RECT 4.745000 2.125000 4.915000 2.295000 ;
+        RECT 5.205000 2.125000 5.375000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 5.450000 2.340000 ;
+        RECT 0.085000 2.080000 5.435000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_12
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.755000 2.465000 ;
+        RECT 1.005000 1.025000 1.755000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.085000 2.080000 1.755000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.295000 2.465000 ;
+        RECT 0.775000 1.005000 1.295000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.085000 2.080000 1.295000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_3
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 2.675000 2.465000 ;
+        RECT 1.465000 1.025000 2.675000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.085000 2.080000 2.675000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_6
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 3.595000 2.465000 ;
+        RECT 1.905000 1.025000 3.595000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.610000 2.340000 ;
+        RECT 0.085000 2.080000 3.595000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_8
+MACRO sky130_fd_sc_hd__xnor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.425000 1.075000 8.835000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.605000 0.995000 7.775000 1.445000 ;
+        RECT 7.605000 1.445000 8.185000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.995000 1.075000 3.560000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.625000 0.375000 0.875000 0.995000 ;
+        RECT 0.625000 0.995000 1.710000 1.325000 ;
+        RECT 0.625000 1.325000 0.955000 2.425000 ;
+        RECT 1.465000 0.350000 1.725000 0.925000 ;
+        RECT 1.465000 0.925000 1.710000 0.995000 ;
+        RECT 1.465000 1.325000 1.710000 1.440000 ;
+        RECT 1.465000 1.440000 1.745000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.285000  0.085000 0.455000 0.735000 ;
+      RECT 0.285000  1.490000 0.455000 2.635000 ;
+      RECT 1.125000  0.085000 1.295000 0.735000 ;
+      RECT 1.125000  1.495000 1.295000 2.635000 ;
+      RECT 1.880000  0.995000 2.085000 1.325000 ;
+      RECT 1.895000  0.085000 2.145000 0.525000 ;
+      RECT 1.910000  0.695000 2.485000 0.865000 ;
+      RECT 1.910000  0.865000 2.085000 0.995000 ;
+      RECT 1.915000  1.325000 2.085000 1.875000 ;
+      RECT 1.915000  1.875000 2.600000 2.045000 ;
+      RECT 1.915000  2.215000 2.250000 2.635000 ;
+      RECT 2.315000  0.255000 3.885000 0.425000 ;
+      RECT 2.315000  0.425000 2.485000 0.695000 ;
+      RECT 2.315000  1.535000 3.900000 1.705000 ;
+      RECT 2.430000  2.045000 2.600000 2.235000 ;
+      RECT 2.430000  2.235000 3.900000 2.405000 ;
+      RECT 2.655000  0.595000 2.825000 1.535000 ;
+      RECT 2.940000  1.895000 5.440000 2.065000 ;
+      RECT 3.125000  0.625000 4.345000 0.795000 ;
+      RECT 3.125000  0.795000 3.505000 0.905000 ;
+      RECT 3.450000  0.425000 3.885000 0.455000 ;
+      RECT 3.730000  0.995000 4.005000 1.325000 ;
+      RECT 3.730000  1.325000 3.900000 1.535000 ;
+      RECT 4.055000  0.285000 4.685000 0.455000 ;
+      RECT 4.070000  1.525000 4.455000 1.695000 ;
+      RECT 4.175000  0.795000 4.345000 1.375000 ;
+      RECT 4.175000  1.375000 4.455000 1.525000 ;
+      RECT 4.515000  0.455000 4.685000 1.035000 ;
+      RECT 4.515000  1.035000 4.795000 1.205000 ;
+      RECT 4.605000  2.235000 4.935000 2.635000 ;
+      RECT 4.625000  1.205000 4.795000 1.895000 ;
+      RECT 4.855000  0.085000 5.025000 0.865000 ;
+      RECT 5.025000  1.445000 5.445000 1.715000 ;
+      RECT 5.205000  0.415000 5.445000 1.445000 ;
+      RECT 5.270000  2.065000 5.440000 2.275000 ;
+      RECT 5.270000  2.275000 8.365000 2.445000 ;
+      RECT 5.625000  0.265000 6.035000 0.485000 ;
+      RECT 5.625000  0.485000 5.835000 0.595000 ;
+      RECT 5.625000  0.595000 5.795000 2.105000 ;
+      RECT 5.965000  0.720000 6.375000 0.825000 ;
+      RECT 5.965000  0.825000 6.175000 0.890000 ;
+      RECT 5.965000  0.890000 6.135000 2.275000 ;
+      RECT 6.005000  0.655000 6.375000 0.720000 ;
+      RECT 6.205000  0.320000 6.375000 0.655000 ;
+      RECT 6.315000  1.445000 7.095000 1.615000 ;
+      RECT 6.315000  1.615000 6.730000 2.045000 ;
+      RECT 6.330000  0.995000 6.755000 1.270000 ;
+      RECT 6.545000  0.630000 6.755000 0.995000 ;
+      RECT 6.925000  0.255000 8.070000 0.425000 ;
+      RECT 6.925000  0.425000 7.095000 1.445000 ;
+      RECT 7.265000  0.595000 7.435000 1.935000 ;
+      RECT 7.265000  1.935000 9.575000 2.105000 ;
+      RECT 7.605000  0.425000 8.070000 0.465000 ;
+      RECT 7.945000  0.730000 8.150000 0.945000 ;
+      RECT 7.945000  0.945000 8.255000 1.275000 ;
+      RECT 8.355000  1.495000 9.175000 1.705000 ;
+      RECT 8.395000  0.295000 8.685000 0.735000 ;
+      RECT 8.395000  0.735000 9.175000 0.750000 ;
+      RECT 8.435000  0.750000 9.175000 0.905000 ;
+      RECT 8.775000  2.275000 9.110000 2.635000 ;
+      RECT 8.855000  0.085000 9.025000 0.565000 ;
+      RECT 9.005000  0.905000 9.175000 0.995000 ;
+      RECT 9.005000  0.995000 9.235000 1.325000 ;
+      RECT 9.005000  1.325000 9.175000 1.495000 ;
+      RECT 9.090000  1.875000 9.575000 1.935000 ;
+      RECT 9.275000  0.255000 9.575000 0.585000 ;
+      RECT 9.280000  2.105000 9.575000 2.465000 ;
+      RECT 9.405000  0.585000 9.575000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  1.445000 4.455000 1.615000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.425000 5.835000 0.595000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  1.445000 6.755000 1.615000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.765000 8.135000 0.935000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  0.425000 8.595000 0.595000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 1.415000 4.515000 1.460000 ;
+      RECT 4.225000 1.460000 6.815000 1.600000 ;
+      RECT 4.225000 1.600000 4.515000 1.645000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.780000 8.195000 0.920000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.605000 0.395000 5.895000 0.440000 ;
+      RECT 5.605000 0.440000 8.655000 0.580000 ;
+      RECT 5.605000 0.580000 5.895000 0.625000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.525000 1.415000 6.815000 1.460000 ;
+      RECT 6.525000 1.600000 6.815000 1.645000 ;
+      RECT 7.905000 0.735000 8.195000 0.780000 ;
+      RECT 7.905000 0.920000 8.195000 0.965000 ;
+      RECT 8.365000 0.395000 8.655000 0.440000 ;
+      RECT 8.365000 0.580000 8.655000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_4
+MACRO sky130_fd_sc_hd__xnor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 2.640000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.350000 0.805000 0.925000 ;
+        RECT 0.545000 0.925000 0.790000 1.440000 ;
+        RECT 0.545000 1.440000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.735000 ;
+      RECT 0.085000  1.490000 0.375000 2.635000 ;
+      RECT 0.960000  0.995000 1.165000 1.325000 ;
+      RECT 0.975000  0.085000 1.225000 0.525000 ;
+      RECT 0.990000  0.695000 1.565000 0.865000 ;
+      RECT 0.990000  0.865000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.875000 ;
+      RECT 0.995000  1.875000 1.680000 2.045000 ;
+      RECT 0.995000  2.215000 1.330000 2.635000 ;
+      RECT 1.395000  0.255000 2.965000 0.425000 ;
+      RECT 1.395000  0.425000 1.565000 0.695000 ;
+      RECT 1.395000  1.535000 2.980000 1.705000 ;
+      RECT 1.510000  2.045000 1.680000 2.235000 ;
+      RECT 1.510000  2.235000 2.980000 2.405000 ;
+      RECT 1.735000  0.595000 1.905000 1.535000 ;
+      RECT 2.020000  1.895000 4.520000 2.065000 ;
+      RECT 2.205000  0.625000 3.425000 0.795000 ;
+      RECT 2.205000  0.795000 2.585000 0.905000 ;
+      RECT 2.530000  0.425000 2.965000 0.455000 ;
+      RECT 2.810000  0.995000 3.085000 1.325000 ;
+      RECT 2.810000  1.325000 2.980000 1.535000 ;
+      RECT 3.135000  0.285000 3.765000 0.455000 ;
+      RECT 3.150000  1.525000 3.535000 1.695000 ;
+      RECT 3.255000  0.795000 3.425000 1.375000 ;
+      RECT 3.255000  1.375000 3.535000 1.525000 ;
+      RECT 3.595000  0.455000 3.765000 1.035000 ;
+      RECT 3.595000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.935000  0.085000 4.105000 0.865000 ;
+      RECT 4.105000  1.445000 4.525000 1.715000 ;
+      RECT 4.285000  0.415000 4.525000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.705000  0.265000 5.115000 0.485000 ;
+      RECT 4.705000  0.485000 4.915000 0.595000 ;
+      RECT 4.705000  0.595000 4.875000 2.105000 ;
+      RECT 5.045000  0.720000 5.455000 0.825000 ;
+      RECT 5.045000  0.825000 5.255000 0.890000 ;
+      RECT 5.045000  0.890000 5.215000 2.275000 ;
+      RECT 5.085000  0.655000 5.455000 0.720000 ;
+      RECT 5.285000  0.320000 5.455000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.625000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_2
+MACRO sky130_fd_sc_hd__xnor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.045000 1.075000 7.455000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.225000 0.995000 6.395000 1.445000 ;
+        RECT 6.225000 1.445000 6.805000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 1.075000 2.180000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.345000 0.925000 ;
+        RECT 0.085000 0.925000 0.330000 1.440000 ;
+        RECT 0.085000 1.440000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.500000  0.995000 0.705000 1.325000 ;
+      RECT 0.515000  0.085000 0.765000 0.525000 ;
+      RECT 0.530000  0.695000 1.105000 0.865000 ;
+      RECT 0.530000  0.865000 0.705000 0.995000 ;
+      RECT 0.535000  1.325000 0.705000 1.875000 ;
+      RECT 0.535000  1.875000 1.220000 2.045000 ;
+      RECT 0.535000  2.215000 0.870000 2.635000 ;
+      RECT 0.935000  0.255000 2.505000 0.425000 ;
+      RECT 0.935000  0.425000 1.105000 0.695000 ;
+      RECT 0.935000  1.535000 2.520000 1.705000 ;
+      RECT 1.050000  2.045000 1.220000 2.235000 ;
+      RECT 1.050000  2.235000 2.520000 2.405000 ;
+      RECT 1.275000  0.595000 1.445000 1.535000 ;
+      RECT 1.560000  1.895000 4.060000 2.065000 ;
+      RECT 1.745000  0.625000 2.965000 0.795000 ;
+      RECT 1.745000  0.795000 2.125000 0.905000 ;
+      RECT 2.070000  0.425000 2.505000 0.455000 ;
+      RECT 2.350000  0.995000 2.625000 1.325000 ;
+      RECT 2.350000  1.325000 2.520000 1.535000 ;
+      RECT 2.675000  0.285000 3.305000 0.455000 ;
+      RECT 2.690000  1.525000 3.075000 1.695000 ;
+      RECT 2.795000  0.795000 2.965000 1.375000 ;
+      RECT 2.795000  1.375000 3.075000 1.525000 ;
+      RECT 3.135000  0.455000 3.305000 1.035000 ;
+      RECT 3.135000  1.035000 3.415000 1.205000 ;
+      RECT 3.225000  2.235000 3.555000 2.635000 ;
+      RECT 3.245000  1.205000 3.415000 1.895000 ;
+      RECT 3.475000  0.085000 3.645000 0.865000 ;
+      RECT 3.645000  1.445000 4.065000 1.715000 ;
+      RECT 3.825000  0.415000 4.065000 1.445000 ;
+      RECT 3.890000  2.065000 4.060000 2.275000 ;
+      RECT 3.890000  2.275000 6.985000 2.445000 ;
+      RECT 4.245000  0.265000 4.655000 0.485000 ;
+      RECT 4.245000  0.485000 4.455000 0.595000 ;
+      RECT 4.245000  0.595000 4.415000 2.105000 ;
+      RECT 4.585000  0.720000 4.995000 0.825000 ;
+      RECT 4.585000  0.825000 4.795000 0.890000 ;
+      RECT 4.585000  0.890000 4.755000 2.275000 ;
+      RECT 4.625000  0.655000 4.995000 0.720000 ;
+      RECT 4.825000  0.320000 4.995000 0.655000 ;
+      RECT 4.935000  1.445000 5.715000 1.615000 ;
+      RECT 4.935000  1.615000 5.350000 2.045000 ;
+      RECT 4.950000  0.995000 5.375000 1.270000 ;
+      RECT 5.165000  0.630000 5.375000 0.995000 ;
+      RECT 5.545000  0.255000 6.690000 0.425000 ;
+      RECT 5.545000  0.425000 5.715000 1.445000 ;
+      RECT 5.885000  0.595000 6.055000 1.935000 ;
+      RECT 5.885000  1.935000 8.195000 2.105000 ;
+      RECT 6.225000  0.425000 6.690000 0.465000 ;
+      RECT 6.565000  0.730000 6.770000 0.945000 ;
+      RECT 6.565000  0.945000 6.875000 1.275000 ;
+      RECT 6.975000  1.495000 7.795000 1.705000 ;
+      RECT 7.015000  0.295000 7.305000 0.735000 ;
+      RECT 7.015000  0.735000 7.795000 0.750000 ;
+      RECT 7.055000  0.750000 7.795000 0.905000 ;
+      RECT 7.395000  2.275000 7.730000 2.635000 ;
+      RECT 7.475000  0.085000 7.645000 0.565000 ;
+      RECT 7.625000  0.905000 7.795000 0.995000 ;
+      RECT 7.625000  0.995000 7.855000 1.325000 ;
+      RECT 7.625000  1.325000 7.795000 1.495000 ;
+      RECT 7.710000  1.875000 8.195000 1.935000 ;
+      RECT 7.895000  0.255000 8.195000 0.585000 ;
+      RECT 7.900000  2.105000 8.195000 2.465000 ;
+      RECT 8.025000  0.585000 8.195000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.445000 3.075000 1.615000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  0.765000 3.995000 0.935000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.425000 4.455000 0.595000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  1.445000 5.375000 1.615000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.425000 7.215000 0.595000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.845000 1.415000 3.135000 1.460000 ;
+      RECT 2.845000 1.460000 5.435000 1.600000 ;
+      RECT 2.845000 1.600000 3.135000 1.645000 ;
+      RECT 3.765000 0.735000 4.055000 0.780000 ;
+      RECT 3.765000 0.780000 6.815000 0.920000 ;
+      RECT 3.765000 0.920000 4.055000 0.965000 ;
+      RECT 4.225000 0.395000 4.515000 0.440000 ;
+      RECT 4.225000 0.440000 7.275000 0.580000 ;
+      RECT 4.225000 0.580000 4.515000 0.625000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.145000 1.415000 5.435000 1.460000 ;
+      RECT 5.145000 1.600000 5.435000 1.645000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.985000 0.395000 7.275000 0.440000 ;
+      RECT 6.985000 0.580000 7.275000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_1
+MACRO sky130_fd_sc_hd__sdfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 14.415000 0.255000 14.665000 0.825000 ;
+        RECT 14.415000 1.445000 14.665000 2.465000 ;
+        RECT 14.460000 0.825000 14.665000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.580000 0.255000 12.830000 0.715000 ;
+        RECT 12.580000 1.630000 12.830000 2.465000 ;
+        RECT 12.660000 0.715000 12.830000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.590000 1.095000 12.070000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.145000 0.765000 ;
+        RECT 1.935000 0.765000 2.335000 1.095000 ;
+        RECT 1.935000 1.095000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 15.370000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.315000  0.265000  2.730000 0.595000 ;
+      RECT  2.405000  1.250000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.575000 1.875000 ;
+      RECT  2.435000  1.235000  3.075000 1.250000 ;
+      RECT  2.560000  0.595000  2.730000 1.075000 ;
+      RECT  2.560000  1.075000  3.075000 1.235000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.955000  0.305000  3.125000 0.625000 ;
+      RECT  2.955000  0.625000  3.645000 0.765000 ;
+      RECT  2.955000  0.765000  3.770000 0.795000 ;
+      RECT  3.295000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.375000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.505000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.705000  0.595000  7.035000 0.765000 ;
+      RECT  6.815000  0.765000  7.035000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.205000  0.425000  7.375000 0.715000 ;
+      RECT  7.645000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.410000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.580000  0.595000 10.910000 0.780000 ;
+      RECT 10.730000  0.780000 10.910000 1.915000 ;
+      RECT 10.940000  2.255000 12.410000 2.635000 ;
+      RECT 11.080000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.845000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.925000 1.745000 ;
+      RECT 11.620000  0.265000 11.845000 0.755000 ;
+      RECT 12.080000  0.085000 12.410000 0.805000 ;
+      RECT 12.240000  0.995000 12.480000 1.325000 ;
+      RECT 12.240000  1.325000 12.410000 1.915000 ;
+      RECT 13.000000  0.085000 13.235000 0.885000 ;
+      RECT 13.000000  1.495000 13.235000 2.635000 ;
+      RECT 13.455000  0.255000 13.770000 0.995000 ;
+      RECT 13.455000  0.995000 14.290000 1.325000 ;
+      RECT 13.455000  1.325000 13.770000 2.415000 ;
+      RECT 13.950000  0.085000 14.245000 0.545000 ;
+      RECT 13.950000  1.765000 14.245000 2.635000 ;
+      RECT 14.835000  0.085000 15.075000 0.885000 ;
+      RECT 14.835000  1.495000 15.075000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.435000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_2
+MACRO sky130_fd_sc_hd__sdfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.405000 4.105000 1.575000 ;
+        RECT 3.775000 1.575000 4.060000 1.675000 ;
+        RECT 3.825000 1.675000 4.060000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.785000 ;
+        RECT 13.915000 1.470000 14.175000 2.465000 ;
+        RECT 13.965000 0.785000 14.175000 1.470000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.155000 0.815000 ;
+        RECT 1.935000 0.815000 2.315000 1.150000 ;
+        RECT 1.935000 1.150000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.870000 0.735000 6.295000 0.965000 ;
+        RECT 5.870000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.095000  1.795000  0.835000 1.965000 ;
+      RECT  0.095000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.325000  0.265000  2.655000 0.595000 ;
+      RECT  2.405000  1.295000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.670000 1.430000 ;
+      RECT  2.405000  1.430000  2.630000 1.465000 ;
+      RECT  2.405000  1.465000  2.605000 1.505000 ;
+      RECT  2.405000  1.505000  2.575000 1.875000 ;
+      RECT  2.460000  1.255000  3.075000 1.295000 ;
+      RECT  2.485000  0.595000  2.655000 1.075000 ;
+      RECT  2.485000  1.075000  3.075000 1.255000 ;
+      RECT  2.760000  1.575000  3.605000 1.745000 ;
+      RECT  2.760000  1.745000  3.140000 1.905000 ;
+      RECT  2.870000  0.305000  3.040000 0.625000 ;
+      RECT  2.870000  0.625000  3.645000 0.765000 ;
+      RECT  2.870000  0.765000  3.770000 0.795000 ;
+      RECT  2.970000  1.905000  3.140000 2.465000 ;
+      RECT  3.225000  0.085000  3.555000 0.445000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.430000  0.795000  3.770000 1.095000 ;
+      RECT  3.430000  1.095000  3.605000 1.575000 ;
+      RECT  3.950000  0.425000  4.330000 0.595000 ;
+      RECT  3.950000  0.595000  4.120000 1.065000 ;
+      RECT  3.950000  1.065000  4.400000 1.105000 ;
+      RECT  3.950000  1.105000  4.410000 1.175000 ;
+      RECT  3.950000  1.175000  4.445000 1.235000 ;
+      RECT  4.160000  0.265000  4.330000 0.425000 ;
+      RECT  4.225000  1.235000  4.445000 1.275000 ;
+      RECT  4.230000  2.135000  4.445000 2.465000 ;
+      RECT  4.245000  1.275000  4.445000 1.305000 ;
+      RECT  4.275000  1.305000  4.445000 2.135000 ;
+      RECT  4.555000  0.265000  5.655000 0.465000 ;
+      RECT  4.570000  0.705000  4.790000 1.035000 ;
+      RECT  4.615000  1.035000  4.790000 1.575000 ;
+      RECT  4.615000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  5.000000  0.735000  5.330000 1.015000 ;
+      RECT  5.295000  1.195000  5.670000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.485000  0.465000  5.655000 0.585000 ;
+      RECT  5.485000  0.585000  5.670000 0.655000 ;
+      RECT  5.500000  0.655000  5.670000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.835000  0.085000  6.005000 0.525000 ;
+      RECT  6.260000  0.255000  7.350000 0.425000 ;
+      RECT  6.260000  0.425000  6.590000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.680000  0.610000  7.010000 0.780000 ;
+      RECT  6.810000  0.780000  7.010000 0.895000 ;
+      RECT  6.810000  0.895000  8.125000 1.060000 ;
+      RECT  6.815000  1.060000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.180000  0.425000  7.350000 0.715000 ;
+      RECT  7.620000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.595000 10.905000 0.780000 ;
+      RECT 10.730000  0.780000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.775000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.775000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+      RECT 13.455000  1.765000 13.740000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.230000  1.105000  4.400000 1.275000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.155000  0.765000  5.325000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.385000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.460000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.170000 1.075000  4.460000 1.120000 ;
+      RECT  4.170000 1.260000  4.460000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.095000 0.735000  5.385000 0.780000 ;
+      RECT  5.095000 0.920000  5.385000 0.965000 ;
+      RECT  5.170000 0.965000  5.385000 1.120000 ;
+      RECT  5.170000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_1
+MACRO sky130_fd_sc_hd__a21bo_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.995000 2.175000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 0.995000 2.630000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.325000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.265000 3.580000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.105000  1.845000 0.855000 2.045000 ;
+      RECT 0.105000  2.045000 0.345000 2.435000 ;
+      RECT 0.515000  0.265000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.515000  2.225000 0.865000 2.635000 ;
+      RECT 0.945000  0.085000 1.190000 0.865000 ;
+      RECT 1.035000  1.045000 1.580000 1.345000 ;
+      RECT 1.035000  1.345000 1.365000 2.455000 ;
+      RECT 1.360000  0.265000 1.790000 0.625000 ;
+      RECT 1.360000  0.625000 3.100000 0.815000 ;
+      RECT 1.360000  0.815000 1.580000 1.045000 ;
+      RECT 1.535000  1.785000 2.560000 1.985000 ;
+      RECT 1.535000  1.985000 1.715000 2.455000 ;
+      RECT 1.885000  2.155000 2.215000 2.635000 ;
+      RECT 2.370000  0.085000 3.100000 0.455000 ;
+      RECT 2.390000  1.985000 2.560000 2.455000 ;
+      RECT 2.825000  1.495000 3.110000 2.635000 ;
+      RECT 2.840000  0.815000 3.100000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_1
+MACRO sky130_fd_sc_hd__a21bo_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.590000 1.010000 4.955000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.025000 1.010000 4.420000 1.275000 ;
+        RECT 4.245000 1.275000 4.420000 1.595000 ;
+        RECT 4.245000 1.595000 5.390000 1.765000 ;
+        RECT 5.220000 1.055000 5.700000 1.290000 ;
+        RECT 5.220000 1.290000 5.390000 1.595000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.500000 1.010000 0.830000 1.625000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.615000 2.340000 0.785000 ;
+        RECT 1.000000 0.785000 1.235000 1.595000 ;
+        RECT 1.000000 1.595000 2.410000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.105000  0.255000 0.540000 0.840000 ;
+      RECT 0.105000  0.840000 0.330000 1.795000 ;
+      RECT 0.105000  1.795000 0.565000 1.935000 ;
+      RECT 0.105000  1.935000 2.870000 2.105000 ;
+      RECT 0.105000  2.105000 0.550000 2.465000 ;
+      RECT 0.710000  0.085000 1.050000 0.445000 ;
+      RECT 0.720000  2.275000 1.050000 2.635000 ;
+      RECT 1.405000  0.995000 2.810000 1.185000 ;
+      RECT 1.405000  1.185000 2.530000 1.325000 ;
+      RECT 1.580000  0.085000 1.910000 0.445000 ;
+      RECT 1.580000  2.275000 1.910000 2.635000 ;
+      RECT 2.435000  2.275000 2.770000 2.635000 ;
+      RECT 2.515000  0.085000 3.285000 0.445000 ;
+      RECT 2.640000  0.615000 3.645000 0.670000 ;
+      RECT 2.640000  0.670000 4.965000 0.785000 ;
+      RECT 2.640000  0.785000 3.010000 0.800000 ;
+      RECT 2.640000  0.800000 2.810000 0.995000 ;
+      RECT 2.700000  1.355000 3.305000 1.525000 ;
+      RECT 2.700000  1.525000 2.870000 1.935000 ;
+      RECT 2.995000  0.995000 3.305000 1.355000 ;
+      RECT 3.055000  1.695000 3.225000 2.210000 ;
+      RECT 3.055000  2.210000 4.065000 2.380000 ;
+      RECT 3.475000  0.255000 3.645000 0.615000 ;
+      RECT 3.475000  0.785000 4.965000 0.840000 ;
+      RECT 3.475000  0.840000 3.645000 1.805000 ;
+      RECT 3.855000  0.085000 4.185000 0.445000 ;
+      RECT 3.885000  1.445000 4.065000 1.935000 ;
+      RECT 3.885000  1.935000 5.825000 2.105000 ;
+      RECT 3.885000  2.105000 4.065000 2.210000 ;
+      RECT 4.235000  2.275000 4.565000 2.635000 ;
+      RECT 4.685000  0.405000 4.965000 0.670000 ;
+      RECT 5.075000  2.275000 5.405000 2.635000 ;
+      RECT 5.545000  0.085000 5.825000 0.885000 ;
+      RECT 5.570000  1.460000 5.825000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_4
+MACRO sky130_fd_sc_hd__a21bo_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 3.100000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 0.995000 3.560000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.035000 1.525000 1.325000 ;
+        RECT 1.330000 0.995000 1.525000 1.035000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.715000 0.850000 0.885000 ;
+        RECT 0.150000 0.885000 0.380000 1.835000 ;
+        RECT 0.150000 1.835000 0.850000 2.005000 ;
+        RECT 0.520000 0.315000 0.850000 0.715000 ;
+        RECT 0.595000 2.005000 0.850000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.570000  1.075000 0.900000 1.495000 ;
+      RECT 0.570000  1.495000 1.285000 1.665000 ;
+      RECT 1.020000  0.085000 1.220000 0.865000 ;
+      RECT 1.040000  2.275000 1.370000 2.635000 ;
+      RECT 1.115000  1.665000 1.285000 1.895000 ;
+      RECT 1.115000  1.895000 2.225000 2.105000 ;
+      RECT 1.455000  0.655000 1.865000 0.825000 ;
+      RECT 1.455000  1.555000 1.865000 1.725000 ;
+      RECT 1.695000  0.825000 1.865000 0.995000 ;
+      RECT 1.695000  0.995000 2.175000 1.325000 ;
+      RECT 1.695000  1.325000 1.865000 1.555000 ;
+      RECT 1.975000  0.085000 2.305000 0.465000 ;
+      RECT 1.975000  2.105000 2.225000 2.465000 ;
+      RECT 2.055000  1.505000 2.515000 1.675000 ;
+      RECT 2.055000  1.675000 2.225000 1.895000 ;
+      RECT 2.345000  0.635000 2.740000 0.825000 ;
+      RECT 2.345000  0.825000 2.515000 1.505000 ;
+      RECT 2.395000  1.845000 3.565000 2.015000 ;
+      RECT 2.395000  2.015000 2.725000 2.465000 ;
+      RECT 2.895000  2.185000 3.065000 2.635000 ;
+      RECT 3.235000  0.085000 3.565000 0.825000 ;
+      RECT 3.235000  2.015000 3.565000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_2
+MACRO sky130_fd_sc_hd__or4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.680000 0.760000 ;
+        RECT 2.405000 1.495000 2.680000 2.465000 ;
+        RECT 2.510000 0.760000 2.680000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.410000 1.785000 ;
+      RECT 0.085000  1.785000 1.680000 1.955000 ;
+      RECT 0.090000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.340000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+      RECT 2.850000  0.085000 3.020000 1.000000 ;
+      RECT 2.850000  1.455000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_2
+MACRO sky130_fd_sc_hd__or4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.410000 1.785000 ;
+      RECT 0.090000  1.785000 1.680000 1.955000 ;
+      RECT 0.095000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.335000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_1
+MACRO sky130_fd_sc_hd__or4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 0.995000 2.010000 1.445000 ;
+        RECT 1.840000 1.445000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.280000 0.995000 1.610000 1.450000 ;
+        RECT 1.400000 1.450000 1.610000 1.785000 ;
+        RECT 1.400000 1.785000 1.720000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.050000 1.620000 ;
+        RECT 0.880000 1.620000 1.230000 2.375000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.370000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.455000 4.055000 1.625000 ;
+        RECT 2.480000 1.625000 2.730000 2.465000 ;
+        RECT 2.520000 0.255000 2.770000 0.725000 ;
+        RECT 2.520000 0.725000 4.055000 0.905000 ;
+        RECT 3.280000 0.255000 3.610000 0.725000 ;
+        RECT 3.320000 1.625000 3.570000 2.465000 ;
+        RECT 3.810000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.115000  1.495000 0.710000 1.665000 ;
+      RECT 0.115000  1.665000 0.450000 2.450000 ;
+      RECT 0.120000  0.085000 0.370000 0.585000 ;
+      RECT 0.540000  0.655000 2.350000 0.825000 ;
+      RECT 0.540000  0.825000 0.710000 1.495000 ;
+      RECT 0.700000  0.305000 0.870000 0.655000 ;
+      RECT 1.070000  0.085000 1.400000 0.485000 ;
+      RECT 1.570000  0.305000 1.740000 0.655000 ;
+      RECT 1.960000  0.085000 2.340000 0.485000 ;
+      RECT 2.005000  1.795000 2.255000 2.635000 ;
+      RECT 2.180000  0.825000 2.350000 1.075000 ;
+      RECT 2.180000  1.075000 3.640000 1.245000 ;
+      RECT 2.900000  1.795000 3.150000 2.635000 ;
+      RECT 2.940000  0.085000 3.110000 0.555000 ;
+      RECT 3.740000  1.795000 3.990000 2.635000 ;
+      RECT 3.780000  0.085000 3.950000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_4
+MACRO sky130_fd_sc_hd__o211a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.490000 1.035000 4.845000 1.495000 ;
+        RECT 4.490000 1.495000 6.290000 1.685000 ;
+        RECT 5.890000 1.035000 6.290000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.030000 1.035000 5.705000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.995000 2.830000 1.445000 ;
+        RECT 2.540000 1.445000 4.280000 1.685000 ;
+        RECT 3.950000 1.035000 4.280000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.055000 1.035000 3.740000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.911000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 1.605000 0.805000 ;
+        RECT 0.085000 0.805000 0.365000 1.435000 ;
+        RECT 0.085000 1.435000 2.030000 1.700000 ;
+        RECT 0.595000 0.255000 0.765000 0.615000 ;
+        RECT 0.595000 0.615000 1.605000 0.635000 ;
+        RECT 0.980000 1.700000 1.160000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.615000 ;
+        RECT 1.840000 1.700000 2.030000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.480000  1.870000 0.810000 2.635000 ;
+      RECT 0.535000  1.065000 2.370000 1.265000 ;
+      RECT 0.935000  0.085000 1.265000 0.445000 ;
+      RECT 1.340000  1.870000 1.670000 2.635000 ;
+      RECT 1.775000  0.085000 2.140000 0.465000 ;
+      RECT 2.200000  0.635000 3.520000 0.815000 ;
+      RECT 2.200000  0.815000 2.370000 1.065000 ;
+      RECT 2.200000  1.265000 2.370000 1.855000 ;
+      RECT 2.200000  1.855000 5.485000 2.025000 ;
+      RECT 2.200000  2.200000 2.530000 2.635000 ;
+      RECT 2.330000  0.255000 4.500000 0.465000 ;
+      RECT 2.700000  2.025000 3.060000 2.465000 ;
+      RECT 3.285000  2.195000 3.615000 2.635000 ;
+      RECT 3.785000  2.025000 4.120000 2.465000 ;
+      RECT 4.170000  0.465000 4.500000 0.695000 ;
+      RECT 4.170000  0.695000 6.345000 0.865000 ;
+      RECT 4.290000  2.195000 4.555000 2.635000 ;
+      RECT 4.670000  0.085000 4.985000 0.525000 ;
+      RECT 5.155000  0.255000 5.485000 0.695000 ;
+      RECT 5.155000  2.025000 5.485000 2.465000 ;
+      RECT 5.655000  0.085000 5.845000 0.525000 ;
+      RECT 6.015000  0.255000 6.345000 0.695000 ;
+      RECT 6.015000  1.915000 6.345000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_4
+MACRO sky130_fd_sc_hd__o211a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.075000 1.720000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.075000 2.220000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.075000 2.720000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.245000 1.075000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  1.075000 1.125000 1.245000 ;
+      RECT 0.595000  0.085000 0.845000 0.885000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 0.955000  1.245000 1.125000 1.495000 ;
+      RECT 0.955000  1.495000 3.390000 1.665000 ;
+      RECT 1.035000  0.255000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.260000 0.905000 ;
+      RECT 1.035000  1.835000 1.285000 2.635000 ;
+      RECT 1.535000  0.085000 1.760000 0.545000 ;
+      RECT 1.930000  0.255000 2.260000 0.735000 ;
+      RECT 1.930000  1.665000 2.260000 2.465000 ;
+      RECT 2.560000  1.835000 2.890000 2.635000 ;
+      RECT 2.890000  0.255000 3.390000 0.865000 ;
+      RECT 2.890000  0.865000 3.060000 1.495000 ;
+      RECT 3.060000  1.665000 3.390000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_1
+MACRO sky130_fd_sc_hd__o211a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.995000 2.325000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.995000 1.820000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.240000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.360000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.720000 0.255000 3.050000 0.615000 ;
+        RECT 2.720000 0.615000 3.540000 0.785000 ;
+        RECT 2.810000 1.905000 3.540000 2.075000 ;
+        RECT 2.810000 2.075000 3.000000 2.465000 ;
+        RECT 3.345000 0.785000 3.540000 1.905000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  1.510000 2.665000 1.765000 ;
+      RECT 0.090000  1.765000 0.355000 2.465000 ;
+      RECT 0.095000  0.255000 0.430000 0.425000 ;
+      RECT 0.095000  0.425000 0.710000 0.825000 ;
+      RECT 0.525000  1.935000 0.855000 2.635000 ;
+      RECT 0.530000  0.825000 0.710000 1.510000 ;
+      RECT 0.880000  0.635000 2.150000 0.825000 ;
+      RECT 1.025000  1.765000 1.695000 2.465000 ;
+      RECT 1.390000  0.085000 1.725000 0.465000 ;
+      RECT 2.200000  1.935000 2.630000 2.635000 ;
+      RECT 2.315000  0.085000 2.550000 0.525000 ;
+      RECT 2.495000  0.995000 3.175000 1.325000 ;
+      RECT 2.495000  1.325000 2.665000 1.510000 ;
+      RECT 3.170000  2.255000 3.500000 2.635000 ;
+      RECT 3.220000  0.085000 3.550000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_2
+MACRO sky130_fd_sc_hd__dlxtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.685000 ;
+        RECT 5.215000 0.685000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.640000 ;
+        RECT 5.215000 1.640000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.895000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.895000 0.550000 ;
+      RECT 5.635000  1.755000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_2
+MACRO sky130_fd_sc_hd__dlxtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.240000 0.415000 5.525000 0.745000 ;
+        RECT 5.240000 1.495000 5.525000 2.455000 ;
+        RECT 5.355000 0.745000 5.525000 0.995000 ;
+        RECT 5.355000 0.995000 6.815000 1.325000 ;
+        RECT 5.355000 1.325000 5.525000 1.495000 ;
+        RECT 6.115000 0.385000 6.385000 0.995000 ;
+        RECT 6.115000 1.325000 6.385000 2.455000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.120000 2.635000 ;
+      RECT 3.840000  1.535000 4.605000 1.865000 ;
+      RECT 4.385000  0.415000 4.605000 0.745000 ;
+      RECT 4.385000  1.865000 4.605000 2.435000 ;
+      RECT 4.435000  0.745000 4.605000 0.995000 ;
+      RECT 4.435000  0.995000 5.185000 1.325000 ;
+      RECT 4.435000  1.325000 4.605000 1.535000 ;
+      RECT 4.785000  0.085000 5.070000 0.715000 ;
+      RECT 4.785000  1.495000 5.070000 2.635000 ;
+      RECT 5.695000  0.085000 5.945000 0.825000 ;
+      RECT 5.695000  1.495000 5.945000 2.635000 ;
+      RECT 6.555000  0.085000 6.815000 0.715000 ;
+      RECT 6.555000  1.495000 6.815000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_4
+MACRO sky130_fd_sc_hd__dlxtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.175000 0.415000 5.435000 0.745000 ;
+        RECT 5.175000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.320000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.320000 1.235000 ;
+      RECT 1.945000  1.235000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.780000 2.005000 ;
+      RECT 2.560000  0.735000 3.265000 1.040000 ;
+      RECT 2.745000  2.255000 3.605000 2.425000 ;
+      RECT 2.765000  0.365000 3.605000 0.535000 ;
+      RECT 2.950000  1.040000 3.265000 1.560000 ;
+      RECT 2.950000  1.560000 3.285000 1.910000 ;
+      RECT 3.295000  2.090000 3.620000 2.105000 ;
+      RECT 3.295000  2.105000 3.605000 2.255000 ;
+      RECT 3.390000  2.045000 3.645000 2.065000 ;
+      RECT 3.390000  2.065000 3.630000 2.085000 ;
+      RECT 3.390000  2.085000 3.620000 2.090000 ;
+      RECT 3.405000  2.035000 3.645000 2.045000 ;
+      RECT 3.430000  2.010000 3.645000 2.035000 ;
+      RECT 3.435000  0.535000 3.605000 0.995000 ;
+      RECT 3.435000  0.995000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.645000 1.450000 ;
+      RECT 3.455000  1.450000 3.645000 2.010000 ;
+      RECT 3.775000  0.085000 4.045000 0.545000 ;
+      RECT 3.775000  2.175000 4.095000 2.635000 ;
+      RECT 3.815000  1.535000 4.540000 1.865000 ;
+      RECT 4.295000  0.260000 4.540000 0.720000 ;
+      RECT 4.295000  1.865000 4.540000 2.435000 ;
+      RECT 4.370000  0.720000 4.540000 0.995000 ;
+      RECT 4.370000  0.995000 5.095000 1.325000 ;
+      RECT 4.370000  1.325000 4.540000 1.535000 ;
+      RECT 4.720000  1.570000 5.005000 2.635000 ;
+      RECT 4.755000  0.085000 4.980000 0.715000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.675000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_1
+MACRO sky130_fd_sc_hd__probe_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met5 ;
+        RECT 1.250000 0.560000 4.270000 2.160000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.885000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.320000  1.105000 4.490000 1.275000 ;
+      RECT 4.680000  1.105000 4.850000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.465000 1.060000 4.105000 1.075000 ;
+      RECT 3.465000 1.075000 4.910000 1.305000 ;
+      RECT 3.465000 1.305000 4.105000 1.320000 ;
+    LAYER met2 ;
+      RECT 3.445000 1.005000 4.125000 1.375000 ;
+    LAYER met3 ;
+      RECT 3.395000 1.025000 4.175000 1.355000 ;
+    LAYER met4 ;
+      RECT 1.370000 0.680000 4.150000 1.860000 ;
+    LAYER via ;
+      RECT 3.495000 1.060000 3.755000 1.320000 ;
+      RECT 3.815000 1.060000 4.075000 1.320000 ;
+    LAYER via2 ;
+      RECT 3.445000 1.050000 3.725000 1.330000 ;
+      RECT 3.845000 1.050000 4.125000 1.330000 ;
+    LAYER via3 ;
+      RECT 3.425000 1.030000 3.745000 1.350000 ;
+      RECT 3.825000 1.030000 4.145000 1.350000 ;
+    LAYER via4 ;
+      RECT 2.970000 0.680000 4.150000 1.860000 ;
+  END
+END sky130_fd_sc_hd__probe_p_8
+MACRO sky130_fd_sc_hd__sdfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.510000 1.560000 12.780000 2.465000 ;
+        RECT 12.520000 0.255000 12.780000 0.760000 ;
+        RECT 12.600000 0.760000 12.780000 1.560000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.650000  1.535000 12.325000 1.705000 ;
+      RECT 11.650000  1.705000 11.830000 2.465000 ;
+      RECT 11.660000  0.255000 11.830000 0.635000 ;
+      RECT 11.660000  0.635000 12.325000 0.805000 ;
+      RECT 12.010000  0.085000 12.340000 0.465000 ;
+      RECT 12.010000  1.875000 12.340000 2.635000 ;
+      RECT 12.155000  0.805000 12.325000 1.060000 ;
+      RECT 12.155000  1.060000 12.430000 1.390000 ;
+      RECT 12.155000  1.390000 12.325000 1.535000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_1
+MACRO sky130_fd_sc_hd__sdfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.575000 0.265000 11.925000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.435000 1.535000 12.825000 2.080000 ;
+        RECT 12.445000 0.310000 12.825000 0.825000 ;
+        RECT 12.525000 2.080000 12.825000 2.465000 ;
+        RECT 12.655000 0.825000 12.825000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.530000 2.910000 ;
+        RECT  4.405000 1.305000 13.530000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 1.055000 ;
+      RECT 10.345000  1.055000 11.060000 1.295000 ;
+      RECT 10.375000  1.295000 11.060000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.715000  0.345000 10.885000 0.715000 ;
+      RECT 10.715000  0.715000 11.405000 0.885000 ;
+      RECT 10.715000  1.795000 11.405000 1.865000 ;
+      RECT 10.715000  1.865000 12.265000 2.035000 ;
+      RECT 10.715000  2.035000 10.890000 2.465000 ;
+      RECT 11.090000  0.085000 11.365000 0.545000 ;
+      RECT 11.090000  2.205000 11.420000 2.635000 ;
+      RECT 11.230000  0.885000 11.405000 1.795000 ;
+      RECT 11.550000  2.035000 12.265000 2.085000 ;
+      RECT 12.025000  2.255000 12.355000 2.635000 ;
+      RECT 12.095000  0.995000 12.485000 1.325000 ;
+      RECT 12.095000  1.325000 12.265000 1.865000 ;
+      RECT 12.105000  0.085000 12.275000 0.825000 ;
+      RECT 12.995000  0.085000 13.165000 0.930000 ;
+      RECT 12.995000  1.495000 13.245000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_2
+MACRO sky130_fd_sc_hd__and3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.745000 0.410000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 2.125000 2.290000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.305000 2.220000 0.765000 ;
+        RECT 2.010000 0.765000 2.420000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 1.795000 3.160000 2.465000 ;
+        RECT 2.915000 0.255000 3.160000 0.715000 ;
+        RECT 2.990000 0.715000 3.160000 0.925000 ;
+        RECT 2.990000 0.925000 3.595000 1.445000 ;
+        RECT 2.990000 1.445000 3.160000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.355000 0.575000 ;
+      RECT 0.085000  1.575000 0.400000 2.635000 ;
+      RECT 0.580000  0.305000 0.855000 1.015000 ;
+      RECT 0.580000  1.015000 1.415000 1.245000 ;
+      RECT 0.580000  1.245000 0.855000 1.905000 ;
+      RECT 1.030000  2.130000 1.645000 2.635000 ;
+      RECT 1.050000  1.425000 2.820000 1.595000 ;
+      RECT 1.050000  1.595000 1.285000 1.960000 ;
+      RECT 1.055000  0.305000 1.840000 0.570000 ;
+      RECT 1.455000  1.765000 1.785000 1.955000 ;
+      RECT 1.455000  1.955000 1.645000 2.130000 ;
+      RECT 1.585000  0.570000 1.840000 1.425000 ;
+      RECT 2.010000  1.595000 2.200000 1.890000 ;
+      RECT 2.410000  0.085000 2.740000 0.580000 ;
+      RECT 2.460000  1.790000 2.675000 2.635000 ;
+      RECT 2.590000  0.995000 2.820000 1.425000 ;
+      RECT 3.330000  0.085000 3.595000 0.745000 ;
+      RECT 3.330000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_2
+MACRO sky130_fd_sc_hd__and3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.955000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.790000 2.125000 2.265000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.305000 2.185000 0.725000 ;
+        RECT 1.985000 0.725000 2.395000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 1.765000 3.135000 2.465000 ;
+        RECT 2.875000 0.255000 3.135000 0.735000 ;
+        RECT 2.965000 0.735000 3.135000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  2.125000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.390000 1.245000 ;
+      RECT 0.595000  1.245000 0.765000 2.465000 ;
+      RECT 1.005000  1.425000 2.795000 1.595000 ;
+      RECT 1.005000  1.595000 1.255000 1.960000 ;
+      RECT 1.005000  2.130000 1.620000 2.635000 ;
+      RECT 1.025000  0.305000 1.815000 0.570000 ;
+      RECT 1.425000  1.765000 1.755000 1.955000 ;
+      RECT 1.425000  1.955000 1.620000 2.130000 ;
+      RECT 1.560000  0.570000 1.815000 1.425000 ;
+      RECT 1.975000  1.595000 2.690000 1.890000 ;
+      RECT 2.375000  0.085000 2.705000 0.545000 ;
+      RECT 2.435000  2.090000 2.650000 2.635000 ;
+      RECT 2.565000  0.995000 2.795000 1.425000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_1
+MACRO sky130_fd_sc_hd__and3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.715000 0.615000 3.995000 1.705000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 0.725000 1.235000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.715000 1.340000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.225000 1.535000 3.535000 1.705000 ;
+        RECT 2.285000 0.515000 2.475000 0.615000 ;
+        RECT 2.285000 0.615000 3.535000 0.845000 ;
+        RECT 3.145000 0.255000 3.335000 0.615000 ;
+        RECT 3.270000 0.845000 3.535000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.150000  0.255000 0.635000 0.355000 ;
+      RECT 0.150000  0.355000 1.600000 0.545000 ;
+      RECT 0.150000  0.545000 0.635000 0.805000 ;
+      RECT 0.150000  0.805000 0.370000 1.495000 ;
+      RECT 0.150000  1.495000 0.510000 2.165000 ;
+      RECT 0.540000  0.995000 0.850000 1.325000 ;
+      RECT 0.680000  1.325000 0.850000 1.875000 ;
+      RECT 0.680000  1.875000 4.445000 2.105000 ;
+      RECT 0.730000  2.275000 1.180000 2.635000 ;
+      RECT 1.280000  1.525000 2.055000 1.695000 ;
+      RECT 1.420000  0.545000 1.600000 0.615000 ;
+      RECT 1.420000  0.615000 2.115000 0.805000 ;
+      RECT 1.745000  2.275000 2.075000 2.635000 ;
+      RECT 1.780000  0.085000 2.110000 0.445000 ;
+      RECT 1.885000  0.805000 2.115000 1.020000 ;
+      RECT 1.885000  1.020000 3.100000 1.355000 ;
+      RECT 1.885000  1.355000 2.055000 1.525000 ;
+      RECT 2.645000  0.085000 2.975000 0.445000 ;
+      RECT 2.645000  2.275000 2.980000 2.635000 ;
+      RECT 3.505000  0.085000 3.835000 0.445000 ;
+      RECT 3.505000  2.275000 3.835000 2.635000 ;
+      RECT 4.165000  0.425000 4.445000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_4
+MACRO sky130_fd_sc_hd__o311ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.105000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.055000 2.155000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.055000 3.075000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.055000 4.385000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.085000 1.055000 5.895000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.485000 5.895000 1.725000 ;
+        RECT 2.415000 1.725000 2.665000 2.125000 ;
+        RECT 3.335000 1.725000 3.505000 2.465000 ;
+        RECT 4.515000 1.725000 4.825000 2.465000 ;
+        RECT 4.555000 0.655000 5.895000 0.885000 ;
+        RECT 4.555000 0.885000 4.915000 1.485000 ;
+        RECT 5.495000 1.725000 5.895000 2.465000 ;
+        RECT 5.515000 0.255000 5.895000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.655000 ;
+      RECT 0.085000  0.655000 4.385000 0.885000 ;
+      RECT 0.085000  1.485000 2.225000 1.725000 ;
+      RECT 0.085000  1.725000 0.465000 2.465000 ;
+      RECT 0.635000  1.895000 0.965000 2.635000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 1.135000  1.725000 1.305000 2.465000 ;
+      RECT 1.155000  0.255000 1.325000 0.655000 ;
+      RECT 1.475000  1.895000 1.805000 2.295000 ;
+      RECT 1.475000  2.295000 3.165000 2.465000 ;
+      RECT 1.495000  0.085000 1.825000 0.485000 ;
+      RECT 1.975000  1.725000 2.225000 2.125000 ;
+      RECT 1.995000  0.255000 2.165000 0.655000 ;
+      RECT 2.335000  0.085000 3.105000 0.485000 ;
+      RECT 2.835000  1.895000 3.165000 2.295000 ;
+      RECT 3.275000  0.255000 3.445000 0.655000 ;
+      RECT 3.615000  0.255000 5.345000 0.485000 ;
+      RECT 3.675000  1.895000 4.345000 2.635000 ;
+      RECT 4.995000  1.895000 5.325000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_2
+MACRO sky130_fd_sc_hd__o311ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.780000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.320000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.995000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.942000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.825000 ;
+        RECT 2.445000 0.825000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.825000 ;
+      RECT 0.085000  1.495000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_1
+MACRO sky130_fd_sc_hd__o311ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.570000 0.995000 ;
+        RECT 0.085000 0.995000 0.780000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.260000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.765000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.604000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.595000 ;
+        RECT 2.445000 0.595000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.595000 ;
+      RECT 0.085000  1.795000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_0
+MACRO sky130_fd_sc_hd__o311ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.775000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.055000 3.615000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 1.055000 5.885000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 1.055000 7.695000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.865000 1.055000 9.090000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.241000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.055000 1.485000 9.575000 1.725000 ;
+        RECT 4.055000 1.725000 4.305000 2.115000 ;
+        RECT 4.975000 1.725000 5.145000 2.115000 ;
+        RECT 5.815000 1.725000 6.005000 2.465000 ;
+        RECT 6.675000 1.725000 6.845000 2.465000 ;
+        RECT 7.515000 1.725000 7.685000 2.465000 ;
+        RECT 7.895000 0.655000 9.575000 0.885000 ;
+        RECT 8.355000 1.725000 8.525000 2.465000 ;
+        RECT 9.195000 1.725000 9.575000 2.465000 ;
+        RECT 9.260000 0.885000 9.575000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.505000 0.885000 ;
+      RECT 0.085000  1.485000 3.865000 1.725000 ;
+      RECT 0.085000  1.725000 0.405000 2.465000 ;
+      RECT 0.595000  1.895000 0.925000 2.635000 ;
+      RECT 0.675000  0.255000 0.845000 0.655000 ;
+      RECT 0.675000  0.655000 7.385000 0.885000 ;
+      RECT 1.015000  0.085000 1.345000 0.485000 ;
+      RECT 1.095000  1.725000 1.265000 2.465000 ;
+      RECT 1.435000  1.895000 1.765000 2.635000 ;
+      RECT 1.515000  0.255000 1.685000 0.655000 ;
+      RECT 1.855000  0.085000 2.185000 0.485000 ;
+      RECT 1.935000  1.725000 2.105000 2.465000 ;
+      RECT 2.275000  1.895000 2.605000 2.295000 ;
+      RECT 2.275000  2.295000 5.645000 2.465000 ;
+      RECT 2.355000  0.255000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.025000 0.485000 ;
+      RECT 2.775000  1.725000 2.945000 2.115000 ;
+      RECT 3.115000  1.895000 3.445000 2.295000 ;
+      RECT 3.195000  0.255000 3.365000 0.655000 ;
+      RECT 3.535000  0.085000 3.885000 0.485000 ;
+      RECT 3.615000  1.725000 3.865000 2.115000 ;
+      RECT 4.055000  0.255000 4.225000 0.655000 ;
+      RECT 4.395000  0.085000 4.725000 0.485000 ;
+      RECT 4.475000  1.895000 4.805000 2.295000 ;
+      RECT 4.895000  0.255000 5.065000 0.655000 ;
+      RECT 5.235000  0.085000 5.585000 0.485000 ;
+      RECT 5.315000  1.895000 5.645000 2.295000 ;
+      RECT 5.755000  0.255000 9.575000 0.485000 ;
+      RECT 6.175000  1.895000 6.505000 2.635000 ;
+      RECT 7.015000  1.895000 7.345000 2.635000 ;
+      RECT 7.555000  0.485000 7.725000 0.885000 ;
+      RECT 7.855000  1.895000 8.185000 2.635000 ;
+      RECT 8.695000  1.895000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_4
+MACRO sky130_fd_sc_hd__nor4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.180000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 4.070000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 1.075000 5.705000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.875000 1.075000 7.295000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.735000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 4.415000 0.255000 4.745000 0.725000 ;
+        RECT 5.255000 0.255000 5.585000 0.725000 ;
+        RECT 6.095000 0.255000 6.425000 0.725000 ;
+        RECT 6.135000 1.455000 7.735000 1.625000 ;
+        RECT 6.135000 1.625000 6.385000 2.125000 ;
+        RECT 6.935000 0.255000 7.265000 0.725000 ;
+        RECT 6.975000 1.625000 7.225000 2.125000 ;
+        RECT 7.465000 0.905000 7.735000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.295000 ;
+      RECT 1.835000  2.295000 3.820000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 5.545000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 2.125000 ;
+      RECT 2.675000  1.795000 2.925000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.125000 ;
+      RECT 3.515000  1.795000 3.820000 2.295000 ;
+      RECT 3.555000  0.085000 4.245000 0.555000 ;
+      RECT 4.005000  1.795000 4.285000 2.295000 ;
+      RECT 4.005000  2.295000 7.645000 2.465000 ;
+      RECT 4.455000  1.625000 4.705000 2.125000 ;
+      RECT 4.875000  1.795000 5.125000 2.295000 ;
+      RECT 4.915000  0.085000 5.085000 0.555000 ;
+      RECT 5.295000  1.625000 5.545000 2.125000 ;
+      RECT 5.715000  1.795000 5.965000 2.295000 ;
+      RECT 5.755000  0.085000 5.925000 0.555000 ;
+      RECT 6.555000  1.795000 6.805000 2.295000 ;
+      RECT 6.595000  0.085000 6.765000 0.555000 ;
+      RECT 7.395000  1.795000 7.645000 2.295000 ;
+      RECT 7.435000  0.085000 7.605000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_4
+MACRO sky130_fd_sc_hd__nor4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 1.940000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.340000 1.075000 3.925000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.515000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 3.655000 1.455000 4.515000 1.625000 ;
+        RECT 3.655000 1.625000 3.905000 2.125000 ;
+        RECT 4.180000 0.905000 4.515000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.065000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.395000  1.455000 3.485000 1.625000 ;
+      RECT 2.395000  1.625000 2.645000 2.125000 ;
+      RECT 2.815000  1.795000 3.065000 2.295000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.235000  2.295000 4.325000 2.465000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_2
+MACRO sky130_fd_sc_hd__nor4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.655000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 1.075000 1.695000 1.245000 ;
+        RECT 1.455000 1.245000 1.695000 2.450000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.845000 0.995000 1.075000 1.415000 ;
+        RECT 0.845000 1.415000 1.285000 1.615000 ;
+        RECT 1.030000 1.615000 1.285000 2.450000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.335000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.672750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.495000 0.675000 1.665000 ;
+        RECT 0.090000 1.665000 0.425000 2.450000 ;
+        RECT 0.505000 0.645000 0.860000 0.655000 ;
+        RECT 0.505000 0.655000 1.705000 0.825000 ;
+        RECT 0.505000 0.825000 0.675000 1.495000 ;
+        RECT 0.595000 0.385000 0.860000 0.645000 ;
+        RECT 1.535000 0.385000 1.705000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.575000 ;
+      RECT 1.035000  0.085000 1.365000 0.485000 ;
+      RECT 1.875000  0.085000 2.205000 0.485000 ;
+      RECT 1.955000  1.835000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_1
+MACRO sky130_fd_sc_hd__conb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__conb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN HI
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 1.740000 ;
+    END
+  END HI
+  PIN LO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.775000 0.915000 1.295000 2.465000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.275000  1.910000 0.605000 2.635000 ;
+      RECT 0.775000  0.085000 1.115000 0.745000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__conb_1
+MACRO sky130_fd_sc_hd__dlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.435000 2.215000 1.685000 ;
+        RECT 1.985000 0.285000 2.215000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.360000 0.595000 ;
+        RECT 6.095000 1.495000 6.360000 2.455000 ;
+        RECT 6.165000 0.595000 6.360000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.210000 1.105000 5.485000 1.435000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.440000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 7.090000 2.910000 ;
+        RECT  2.625000 1.305000 7.090000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.645000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.455000  2.195000 1.820000 2.635000 ;
+      RECT 1.485000  0.085000 1.815000 0.905000 ;
+      RECT 2.395000  0.815000 3.225000 0.985000 ;
+      RECT 2.395000  0.985000 2.645000 1.855000 ;
+      RECT 2.480000  2.255000 3.230000 2.425000 ;
+      RECT 2.795000  0.390000 3.725000 0.560000 ;
+      RECT 3.060000  1.155000 4.180000 1.325000 ;
+      RECT 3.060000  1.325000 3.230000 2.255000 ;
+      RECT 3.400000  2.135000 3.700000 2.635000 ;
+      RECT 3.435000  1.535000 4.735000 1.840000 ;
+      RECT 3.435000  1.840000 4.135000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.180000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.915000  1.865000 4.135000 2.435000 ;
+      RECT 4.315000  0.255000 4.585000 0.615000 ;
+      RECT 4.315000  2.010000 4.600000 2.635000 ;
+      RECT 4.350000  0.615000 4.585000 0.995000 ;
+      RECT 4.350000  0.995000 4.735000 1.535000 ;
+      RECT 4.835000  0.290000 5.150000 0.620000 ;
+      RECT 4.930000  0.620000 5.150000 0.765000 ;
+      RECT 4.930000  0.765000 5.995000 0.935000 ;
+      RECT 5.010000  1.725000 5.925000 1.895000 ;
+      RECT 5.010000  1.895000 5.340000 2.465000 ;
+      RECT 5.575000  2.130000 5.925000 2.635000 ;
+      RECT 5.675000  0.085000 5.845000 0.545000 ;
+      RECT 5.755000  0.935000 5.995000 1.325000 ;
+      RECT 5.755000  1.325000 5.925000 1.725000 ;
+      RECT 6.530000  0.085000 6.810000 0.885000 ;
+      RECT 6.530000  1.485000 6.810000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_2
+MACRO sky130_fd_sc_hd__dlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.765000 1.950000 1.015000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  1.039500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.040000 0.255000 6.460000 0.545000 ;
+        RECT 6.040000 1.835000 7.300000 2.005000 ;
+        RECT 6.040000 2.005000 6.370000 2.455000 ;
+        RECT 6.290000 0.545000 6.460000 0.715000 ;
+        RECT 6.290000 0.715000 7.300000 0.885000 ;
+        RECT 6.585000 1.785000 7.300000 1.835000 ;
+        RECT 6.750000 0.885000 7.300000 1.785000 ;
+        RECT 6.970000 0.255000 7.300000 0.715000 ;
+        RECT 6.970000 2.005000 7.300000 2.465000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.230000 1.055000 5.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.230000 1.105000 5.400000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.460000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.170000 1.075000 5.460000 1.120000 ;
+        RECT 5.170000 1.260000 5.460000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.280000 1.355000 ;
+      RECT 1.015000  1.355000 2.335000 1.585000 ;
+      RECT 1.015000  1.585000 1.240000 2.465000 ;
+      RECT 1.450000  0.085000 1.785000 0.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.525000  1.785000 1.695000 1.855000 ;
+      RECT 1.525000  1.855000 2.845000 1.905000 ;
+      RECT 1.525000  1.905000 2.735000 2.025000 ;
+      RECT 2.045000  1.585000 2.335000 1.685000 ;
+      RECT 2.290000  0.705000 2.735000 1.035000 ;
+      RECT 2.415000  0.365000 3.075000 0.535000 ;
+      RECT 2.475000  2.195000 3.165000 2.425000 ;
+      RECT 2.505000  1.575000 2.845000 1.855000 ;
+      RECT 2.565000  1.035000 2.735000 1.575000 ;
+      RECT 2.905000  0.535000 3.075000 0.995000 ;
+      RECT 2.905000  0.995000 3.775000 1.165000 ;
+      RECT 2.915000  2.060000 3.185000 2.090000 ;
+      RECT 2.915000  2.090000 3.180000 2.105000 ;
+      RECT 2.915000  2.105000 3.165000 2.195000 ;
+      RECT 2.980000  2.015000 3.185000 2.060000 ;
+      RECT 3.015000  1.165000 3.775000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.015000 ;
+      RECT 3.315000  0.085000 3.650000 0.530000 ;
+      RECT 3.335000  2.175000 3.695000 2.635000 ;
+      RECT 3.355000  1.535000 4.115000 1.865000 ;
+      RECT 3.895000  0.415000 4.115000 0.745000 ;
+      RECT 3.895000  1.865000 4.115000 2.435000 ;
+      RECT 3.945000  0.745000 4.115000 0.995000 ;
+      RECT 3.945000  0.995000 4.720000 1.325000 ;
+      RECT 3.945000  1.325000 4.115000 1.535000 ;
+      RECT 4.295000  0.085000 4.580000 0.715000 ;
+      RECT 4.295000  2.010000 4.580000 2.635000 ;
+      RECT 4.750000  0.290000 5.060000 0.715000 ;
+      RECT 4.750000  0.715000 6.120000 0.825000 ;
+      RECT 4.750000  1.495000 6.140000 1.665000 ;
+      RECT 4.750000  1.665000 5.035000 2.465000 ;
+      RECT 4.890000  0.825000 6.120000 0.885000 ;
+      RECT 4.890000  0.885000 5.060000 1.495000 ;
+      RECT 5.575000  1.835000 5.840000 2.635000 ;
+      RECT 5.590000  0.085000 5.870000 0.545000 ;
+      RECT 5.910000  0.885000 6.120000 1.055000 ;
+      RECT 5.910000  1.055000 6.580000 1.290000 ;
+      RECT 5.910000  1.290000 6.140000 1.495000 ;
+      RECT 6.540000  2.175000 6.800000 2.635000 ;
+      RECT 6.630000  0.085000 6.800000 0.545000 ;
+      RECT 7.470000  0.085000 7.735000 0.885000 ;
+      RECT 7.470000  1.485000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 1.755000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_4
+MACRO sky130_fd_sc_hd__dlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.435000 2.185000 1.685000 ;
+        RECT 1.985000 0.385000 2.185000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.255000 6.355000 0.595000 ;
+        RECT 6.090000 1.495000 6.355000 2.455000 ;
+        RECT 6.170000 0.595000 6.355000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.105000 0.315000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.190000 1.105000 5.510000 1.435000 ;
+      LAYER mcon ;
+        RECT 5.210000 1.105000 5.380000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.075000 0.380000 1.120000 ;
+        RECT 0.085000 1.120000 5.440000 1.260000 ;
+        RECT 0.085000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 6.630000 2.910000 ;
+        RECT  2.620000 1.305000 6.630000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.590000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.480000  0.085000 1.810000 0.905000 ;
+      RECT 2.390000  0.815000 3.220000 0.985000 ;
+      RECT 2.390000  0.985000 2.590000 1.855000 ;
+      RECT 2.475000  2.255000 3.225000 2.425000 ;
+      RECT 2.790000  0.390000 3.725000 0.560000 ;
+      RECT 3.055000  1.155000 4.175000 1.325000 ;
+      RECT 3.055000  1.325000 3.225000 2.255000 ;
+      RECT 3.395000  2.135000 3.695000 2.635000 ;
+      RECT 3.430000  1.535000 4.710000 1.840000 ;
+      RECT 3.430000  1.840000 4.130000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.175000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.910000  1.865000 4.130000 2.435000 ;
+      RECT 4.310000  2.010000 4.595000 2.635000 ;
+      RECT 4.320000  0.255000 4.580000 0.615000 ;
+      RECT 4.345000  0.615000 4.580000 0.995000 ;
+      RECT 4.345000  0.995000 4.740000 1.325000 ;
+      RECT 4.345000  1.325000 4.710000 1.535000 ;
+      RECT 4.840000  0.290000 5.155000 0.620000 ;
+      RECT 4.935000  0.620000 5.155000 0.765000 ;
+      RECT 4.935000  0.765000 6.000000 0.935000 ;
+      RECT 5.005000  1.725000 5.920000 1.895000 ;
+      RECT 5.005000  1.895000 5.335000 2.465000 ;
+      RECT 5.570000  2.130000 5.920000 2.635000 ;
+      RECT 5.670000  0.085000 5.840000 0.545000 ;
+      RECT 5.750000  0.935000 6.000000 1.325000 ;
+      RECT 5.750000  1.325000 5.920000 1.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_1
+MACRO sky130_fd_sc_hd__or2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_1
+MACRO sky130_fd_sc_hd__or2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.730000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.415000 2.630000 0.760000 ;
+        RECT 2.400000 1.495000 2.630000 2.465000 ;
+        RECT 2.460000 0.760000 2.630000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.105000  0.265000 0.420000 0.735000 ;
+      RECT 0.105000  0.735000 0.840000 0.905000 ;
+      RECT 0.590000  0.085000 1.320000 0.565000 ;
+      RECT 0.595000  0.905000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.330000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.985000  1.495000 2.230000 1.665000 ;
+      RECT 0.985000  1.665000 1.405000 1.915000 ;
+      RECT 1.490000  0.305000 1.660000 0.655000 ;
+      RECT 1.490000  0.655000 2.230000 0.825000 ;
+      RECT 1.830000  0.085000 2.210000 0.485000 ;
+      RECT 1.910000  1.835000 2.190000 2.635000 ;
+      RECT 2.060000  0.825000 2.230000 0.995000 ;
+      RECT 2.060000  0.995000 2.290000 1.325000 ;
+      RECT 2.060000  1.325000 2.230000 1.495000 ;
+      RECT 2.800000  0.085000 3.055000 0.925000 ;
+      RECT 2.800000  1.460000 3.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_2
+MACRO sky130_fd_sc_hd__or2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.630000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.955000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 0.290000 2.655000 0.735000 ;
+        RECT 2.325000 0.735000 4.055000 0.905000 ;
+        RECT 2.365000 1.785000 3.455000 1.955000 ;
+        RECT 2.365000 1.955000 2.615000 2.465000 ;
+        RECT 2.830000 1.445000 4.055000 1.615000 ;
+        RECT 2.830000 1.615000 3.455000 1.785000 ;
+        RECT 3.165000 0.290000 3.495000 0.735000 ;
+        RECT 3.205000 1.955000 3.455000 2.465000 ;
+        RECT 3.670000 0.905000 4.055000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  2.125000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.245000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.120000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 2.465000 ;
+      RECT 0.990000  1.495000 2.660000 1.615000 ;
+      RECT 0.990000  1.615000 1.460000 2.465000 ;
+      RECT 1.290000  0.735000 1.745000 0.905000 ;
+      RECT 1.290000  0.905000 1.460000 1.445000 ;
+      RECT 1.290000  1.445000 2.660000 1.495000 ;
+      RECT 1.415000  0.305000 1.745000 0.735000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 1.980000  0.085000 2.155000 0.905000 ;
+      RECT 2.490000  1.075000 3.500000 1.245000 ;
+      RECT 2.490000  1.245000 2.660000 1.445000 ;
+      RECT 2.785000  2.135000 3.035000 2.635000 ;
+      RECT 2.825000  0.085000 2.995000 0.550000 ;
+      RECT 3.625000  1.795000 3.875000 2.635000 ;
+      RECT 3.665000  0.085000 3.835000 0.550000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_4
+MACRO sky130_fd_sc_hd__a2111oi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.035000 1.070000 2.625000 1.400000 ;
+        RECT 2.355000 0.660000 2.625000 1.070000 ;
+        RECT 2.355000 1.400000 2.625000 1.735000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.650000 3.135000 1.735000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 1.055000 1.845000 1.735000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.055000 1.325000 2.360000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.730000 0.435000 1.655000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.424000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.825000 0.785000 2.465000 ;
+        RECT 0.605000 0.635000 2.040000 0.885000 ;
+        RECT 0.605000 0.885000 0.785000 1.825000 ;
+        RECT 0.785000 0.255000 1.040000 0.615000 ;
+        RECT 0.785000 0.615000 2.040000 0.635000 ;
+        RECT 1.710000 0.280000 2.040000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.465000 ;
+      RECT 1.210000  0.085000 1.540000 0.445000 ;
+      RECT 1.540000  1.905000 2.870000 2.085000 ;
+      RECT 1.540000  2.085000 1.870000 2.465000 ;
+      RECT 2.040000  2.255000 2.370000 2.635000 ;
+      RECT 2.470000  0.085000 2.800000 0.480000 ;
+      RECT 2.540000  2.085000 2.870000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_0
+MACRO sky130_fd_sc_hd__a2111oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.465000 0.985000 3.715000 1.445000 ;
+        RECT 3.465000 1.445000 5.290000 1.675000 ;
+        RECT 4.895000 0.995000 5.290000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.970000 1.015000 4.725000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.185000 1.030000 2.855000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.045000 0.455000 1.445000 ;
+        RECT 0.125000 1.445000 1.800000 1.680000 ;
+        RECT 1.615000 1.030000 1.975000 1.275000 ;
+        RECT 1.615000 1.275000 1.800000 1.445000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.755000 1.075000 1.425000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.212750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.255000 0.380000 0.615000 ;
+        RECT 0.120000 0.615000 5.355000 0.805000 ;
+        RECT 0.120000 0.805000 3.255000 0.845000 ;
+        RECT 0.900000 1.850000 2.140000 2.105000 ;
+        RECT 1.050000 0.255000 1.295000 0.615000 ;
+        RECT 1.965000 0.255000 2.295000 0.615000 ;
+        RECT 1.970000 1.445000 3.255000 1.625000 ;
+        RECT 1.970000 1.625000 2.140000 1.850000 ;
+        RECT 2.965000 0.275000 3.295000 0.615000 ;
+        RECT 3.025000 0.845000 3.255000 1.445000 ;
+        RECT 5.020000 0.295000 5.355000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  1.870000 0.460000 2.275000 ;
+      RECT 0.100000  2.275000 2.185000 2.295000 ;
+      RECT 0.100000  2.295000 2.985000 2.465000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.465000  0.085000 1.795000 0.445000 ;
+      RECT 2.310000  1.795000 3.335000 1.845000 ;
+      RECT 2.310000  1.845000 5.400000 1.965000 ;
+      RECT 2.310000  1.965000 2.640000 2.060000 ;
+      RECT 2.465000  0.085000 2.795000 0.445000 ;
+      RECT 2.815000  2.135000 2.985000 2.295000 ;
+      RECT 3.155000  1.965000 5.400000 2.095000 ;
+      RECT 3.155000  2.095000 3.520000 2.465000 ;
+      RECT 3.690000  2.275000 4.020000 2.635000 ;
+      RECT 4.125000  0.085000 4.455000 0.445000 ;
+      RECT 4.190000  2.095000 5.400000 2.105000 ;
+      RECT 4.190000  2.105000 4.400000 2.465000 ;
+      RECT 4.570000  2.275000 4.900000 2.635000 ;
+      RECT 5.070000  2.105000 5.400000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_2
+MACRO sky130_fd_sc_hd__a2111oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.020000 7.745000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 1.020000 9.990000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.955000 1.020000 5.650000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.055000 1.020000 3.745000 1.275000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 1.020000 1.845000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.009500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 7.620000 0.785000 ;
+        RECT 0.145000 0.785000 0.320000 1.475000 ;
+        RECT 0.145000 1.475000 1.720000 1.655000 ;
+        RECT 0.530000 1.655000 1.720000 1.685000 ;
+        RECT 0.530000 1.685000 0.860000 2.085000 ;
+        RECT 0.615000 0.455000 0.790000 0.615000 ;
+        RECT 1.390000 1.685000 1.720000 2.085000 ;
+        RECT 1.460000 0.455000 1.650000 0.615000 ;
+        RECT 2.400000 0.455000 2.590000 0.615000 ;
+        RECT 3.260000 0.455000 3.510000 0.615000 ;
+        RECT 4.180000 0.455000 4.420000 0.615000 ;
+        RECT 5.090000 0.455000 5.275000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.100000  1.835000  0.360000 2.255000 ;
+      RECT 0.100000  2.255000  3.870000 2.445000 ;
+      RECT 0.115000  0.085000  0.445000 0.445000 ;
+      RECT 0.960000  0.085000  1.290000 0.445000 ;
+      RECT 1.030000  1.855000  1.220000 2.255000 ;
+      RECT 1.820000  0.085000  2.230000 0.445000 ;
+      RECT 1.890000  1.855000  2.080000 2.255000 ;
+      RECT 2.250000  1.475000  5.680000 1.655000 ;
+      RECT 2.250000  1.655000  3.440000 1.685000 ;
+      RECT 2.250000  1.685000  2.580000 2.085000 ;
+      RECT 2.750000  1.855000  2.940000 2.255000 ;
+      RECT 2.760000  0.085000  3.090000 0.445000 ;
+      RECT 3.110000  1.685000  3.440000 2.085000 ;
+      RECT 3.610000  1.835000  3.870000 2.255000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 4.060000  1.835000  4.320000 2.255000 ;
+      RECT 4.060000  2.255000  5.180000 2.275000 ;
+      RECT 4.060000  2.275000  6.050000 2.445000 ;
+      RECT 4.490000  1.655000  5.680000 1.685000 ;
+      RECT 4.490000  1.685000  4.820000 2.085000 ;
+      RECT 4.590000  0.085000  4.920000 0.445000 ;
+      RECT 4.990000  1.855000  5.180000 2.255000 ;
+      RECT 5.350000  1.685000  5.680000 2.085000 ;
+      RECT 5.445000  0.085000  5.780000 0.445000 ;
+      RECT 5.860000  1.445000  9.770000 1.615000 ;
+      RECT 5.860000  1.615000  6.050000 2.275000 ;
+      RECT 5.980000  0.275000  8.075000 0.445000 ;
+      RECT 6.220000  1.785000  6.550000 2.635000 ;
+      RECT 6.720000  1.615000  6.910000 2.315000 ;
+      RECT 7.080000  1.805000  7.410000 2.635000 ;
+      RECT 7.580000  1.615000  9.770000 1.665000 ;
+      RECT 7.580000  1.665000  7.910000 2.315000 ;
+      RECT 7.885000  0.445000  8.075000 0.615000 ;
+      RECT 7.885000  0.615000  9.865000 0.785000 ;
+      RECT 8.080000  1.895000  8.410000 2.635000 ;
+      RECT 8.245000  0.085000  8.575000 0.445000 ;
+      RECT 8.580000  1.665000  9.770000 1.670000 ;
+      RECT 8.580000  1.670000  8.840000 2.290000 ;
+      RECT 8.745000  0.300000  8.935000 0.615000 ;
+      RECT 9.030000  1.915000  9.360000 2.635000 ;
+      RECT 9.105000  0.085000  9.435000 0.445000 ;
+      RECT 9.530000  1.670000  9.770000 2.260000 ;
+      RECT 9.605000  0.290000  9.865000 0.615000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_4
+MACRO sky130_fd_sc_hd__a2111oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.725000 1.400000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.350000 3.090000 1.020000 ;
+        RECT 2.905000 1.020000 3.540000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.050000 2.270000 1.400000 ;
+        RECT 1.940000 1.400000 2.215000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.050000 1.770000 2.455000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.785000 1.050000 1.235000 2.455000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.388750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.700000 1.375000 0.705000 ;
+        RECT 0.145000 0.705000 2.420000 0.815000 ;
+        RECT 0.145000 0.815000 2.300000 0.880000 ;
+        RECT 0.145000 0.880000 0.530000 2.460000 ;
+        RECT 1.045000 0.260000 1.375000 0.700000 ;
+        RECT 2.090000 0.305000 2.420000 0.705000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.315000  0.085000 0.630000 0.525000 ;
+      RECT 1.550000  0.085000 1.880000 0.535000 ;
+      RECT 2.395000  1.580000 3.505000 1.750000 ;
+      RECT 2.395000  1.750000 2.625000 2.460000 ;
+      RECT 2.800000  1.920000 3.130000 2.635000 ;
+      RECT 3.270000  0.085000 3.510000 0.760000 ;
+      RECT 3.310000  1.750000 3.505000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_1
+MACRO sky130_fd_sc_hd__or3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 1.415000 2.720000 1.700000 ;
+        RECT 2.535000 0.995000 2.720000 1.415000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.890000 0.995000 3.200000 1.700000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.735000 2.025000 0.905000 ;
+        RECT 0.935000 0.905000 1.105000 1.415000 ;
+        RECT 0.935000 1.415000 2.220000 1.700000 ;
+        RECT 1.000000 0.285000 1.330000 0.735000 ;
+        RECT 1.855000 0.255000 2.090000 0.585000 ;
+        RECT 1.855000 0.585000 2.025000 0.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 3.620000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 0.620000  0.085000 0.790000 0.565000 ;
+      RECT 1.275000  1.075000 2.365000 1.245000 ;
+      RECT 1.420000  2.210000 1.750000 2.635000 ;
+      RECT 1.500000  0.085000 1.670000 0.565000 ;
+      RECT 2.195000  0.720000 4.055000 0.825000 ;
+      RECT 2.195000  0.825000 2.400000 0.890000 ;
+      RECT 2.195000  0.890000 2.365000 1.075000 ;
+      RECT 2.250000  0.655000 4.055000 0.720000 ;
+      RECT 2.255000  2.210000 2.595000 2.635000 ;
+      RECT 2.260000  0.085000 2.590000 0.485000 ;
+      RECT 2.760000  0.305000 2.930000 0.655000 ;
+      RECT 3.100000  0.085000 3.490000 0.485000 ;
+      RECT 3.390000  0.995000 3.680000 1.325000 ;
+      RECT 3.390000  1.325000 3.620000 1.870000 ;
+      RECT 3.520000  2.210000 4.055000 2.425000 ;
+      RECT 3.660000  0.305000 3.915000 0.605000 ;
+      RECT 3.660000  0.605000 4.055000 0.655000 ;
+      RECT 3.850000  0.825000 4.055000 2.210000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_4
+MACRO sky130_fd_sc_hd__or3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 2.350000 1.325000 ;
+        RECT 1.525000 1.325000 1.770000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.585000 2.125000 2.200000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 0.415000 3.135000 0.760000 ;
+        RECT 2.860000 1.495000 3.135000 2.465000 ;
+        RECT 2.965000 0.760000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.310000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 1.025000  0.255000 1.285000 0.655000 ;
+      RECT 1.025000  0.655000 2.690000 0.825000 ;
+      RECT 1.025000  1.495000 1.355000 1.785000 ;
+      RECT 1.025000  1.785000 2.200000 1.955000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.955000  0.305000 2.125000 0.655000 ;
+      RECT 2.030000  1.495000 2.690000 1.665000 ;
+      RECT 2.030000  1.665000 2.200000 1.785000 ;
+      RECT 2.295000  0.085000 2.670000 0.485000 ;
+      RECT 2.370000  1.835000 2.650000 2.635000 ;
+      RECT 2.520000  0.825000 2.690000 0.995000 ;
+      RECT 2.520000  0.995000 2.795000 1.325000 ;
+      RECT 2.520000  1.325000 2.690000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_1
+MACRO sky130_fd_sc_hd__or3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 1.075000 2.230000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 2.125000 3.135000 2.365000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.265000 1.285000 0.595000 ;
+        RECT 0.935000 0.595000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.330000 1.700000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 2.660000 1.955000 ;
+      RECT 0.085000  1.955000 1.720000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 1.275000  0.765000 3.135000 0.825000 ;
+      RECT 1.275000  0.825000 2.160000 0.905000 ;
+      RECT 1.275000  0.905000 1.595000 0.935000 ;
+      RECT 1.275000  0.935000 1.445000 1.325000 ;
+      RECT 1.425000  0.735000 3.135000 0.765000 ;
+      RECT 1.425000  2.210000 1.755000 2.635000 ;
+      RECT 1.520000  0.085000 1.690000 0.565000 ;
+      RECT 1.550000  1.785000 2.660000 1.870000 ;
+      RECT 1.990000  0.305000 2.160000 0.655000 ;
+      RECT 1.990000  0.655000 3.135000 0.735000 ;
+      RECT 2.330000  0.085000 2.660000 0.485000 ;
+      RECT 2.490000  0.995000 2.790000 1.325000 ;
+      RECT 2.490000  1.325000 2.660000 1.785000 ;
+      RECT 2.830000  0.305000 3.085000 0.605000 ;
+      RECT 2.830000  0.605000 3.135000 0.655000 ;
+      RECT 2.830000  1.495000 3.135000 1.925000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_2
+MACRO sky130_fd_sc_hd__sdfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.915000 0.275000 13.255000 0.825000 ;
+        RECT 12.915000 1.495000 13.255000 2.450000 ;
+        RECT 13.070000 0.825000 13.255000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.500000 0.255000 11.830000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.345000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.765000 0.825000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.610000 1.105000 0.780000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.550000 1.075000 0.840000 1.120000 ;
+        RECT 0.550000 1.120000 2.675000 1.260000 ;
+        RECT 0.550000 1.260000 0.840000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.015000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.885000 1.415000  9.110000 1.525000 ;
+        RECT 8.885000 1.525000 10.075000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.885000 1.445000 9.055000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.115000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.825000 1.415000 9.115000 1.460000 ;
+        RECT 8.825000 1.600000 9.115000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.590000 ;
+        RECT 2.905000 1.590000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.085000  0.085000  0.480000 0.595000 ;
+      RECT  0.085000  1.845000  1.105000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.765000 2.635000 ;
+      RECT  0.875000  0.280000  1.655000 0.560000 ;
+      RECT  0.935000  2.025000  1.105000 2.255000 ;
+      RECT  0.935000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.870000  1.695000 2.075000 ;
+      RECT  1.380000  0.560000  1.655000 0.590000 ;
+      RECT  1.380000  0.590000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.670000 0.620000 ;
+      RECT  1.440000  0.620000  1.670000 0.630000 ;
+      RECT  1.445000  0.630000  1.670000 0.635000 ;
+      RECT  1.460000  0.635000  1.670000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.655000 ;
+      RECT  1.475000  0.655000  1.695000 0.665000 ;
+      RECT  1.495000  0.665000  1.695000 0.705000 ;
+      RECT  1.505000  0.705000  1.695000 1.870000 ;
+      RECT  1.825000  0.085000  2.005000 0.545000 ;
+      RECT  1.865000  0.715000  2.515000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.515000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.030000 0.555000 ;
+      RECT  2.690000  2.140000  3.030000 2.635000 ;
+      RECT  3.255000  1.775000  3.995000 1.955000 ;
+      RECT  3.255000  1.955000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.630000  0.085000  3.940000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.775000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.610000  1.590000  4.915000 1.615000 ;
+      RECT  4.610000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.660000 1.275000 ;
+      RECT  5.030000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.435000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.610000  0.635000  6.535000 0.805000 ;
+      RECT  5.610000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.765000 2.105000 ;
+      RECT  5.945000  2.275000  6.275000 2.635000 ;
+      RECT  6.250000  0.975000  7.660000 1.225000 ;
+      RECT  6.275000  0.255000  6.535000 0.635000 ;
+      RECT  6.550000  2.105000  6.765000 2.450000 ;
+      RECT  6.735000  0.085000  7.630000 0.805000 ;
+      RECT  7.005000  2.125000  7.960000 2.635000 ;
+      RECT  7.190000  1.495000  8.005000 1.955000 ;
+      RECT  7.300000  1.275000  7.660000 1.325000 ;
+      RECT  7.835000  0.695000  9.040000 0.895000 ;
+      RECT  7.835000  0.895000  8.005000 1.495000 ;
+      RECT  8.130000  2.125000  8.935000 2.460000 ;
+      RECT  8.365000  1.075000  8.595000 1.905000 ;
+      RECT  8.410000  0.275000  9.825000 0.445000 ;
+      RECT  8.765000  1.895000 10.465000 2.065000 ;
+      RECT  8.765000  2.065000  8.935000 2.125000 ;
+      RECT  8.810000  0.895000  9.040000 1.245000 ;
+      RECT  9.195000  2.235000  9.525000 2.635000 ;
+      RECT  9.290000  0.855000  9.465000 1.185000 ;
+      RECT  9.290000  1.185000 10.895000 1.355000 ;
+      RECT  9.655000  0.445000  9.825000 0.845000 ;
+      RECT  9.655000  0.845000 10.545000 1.015000 ;
+      RECT  9.695000  2.065000  9.910000 2.450000 ;
+      RECT 10.135000  2.235000 10.465000 2.635000 ;
+      RECT 10.220000  0.085000 10.390000 0.545000 ;
+      RECT 10.245000  1.525000 10.465000 1.895000 ;
+      RECT 10.560000  0.255000 10.895000 0.540000 ;
+      RECT 10.635000  1.355000 10.895000 2.465000 ;
+      RECT 10.715000  0.540000 10.895000 1.185000 ;
+      RECT 11.120000  0.085000 11.330000 0.885000 ;
+      RECT 11.120000  1.485000 11.330000 2.635000 ;
+      RECT 12.060000  0.255000 12.270000 0.995000 ;
+      RECT 12.060000  0.995000 12.900000 1.325000 ;
+      RECT 12.060000  1.325000 12.270000 2.465000 ;
+      RECT 12.540000  0.085000 12.745000 0.825000 ;
+      RECT 12.575000  1.575000 12.745000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  1.785000  7.675000 1.955000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.735000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.655000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.445000 1.755000 7.735000 1.800000 ;
+      RECT 7.445000 1.940000 7.735000 1.985000 ;
+      RECT 8.365000 1.075000 8.655000 1.120000 ;
+      RECT 8.365000 1.260000 8.655000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_1
+MACRO sky130_fd_sc_hd__sdfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.410000 0.275000 13.740000 0.825000 ;
+        RECT 13.410000 1.495000 13.740000 2.450000 ;
+        RECT 13.515000 0.825000 13.740000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.460000 0.255000 11.855000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 1.590000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.435000 9.115000 1.525000 ;
+        RECT 8.880000 1.525000 9.935000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.100000 1.970000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.185000 2.075000 ;
+      RECT  0.085000  2.075000  0.345000 2.465000 ;
+      RECT  0.515000  2.275000  0.845000 2.635000 ;
+      RECT  0.870000  0.255000  1.670000 0.595000 ;
+      RECT  1.015000  2.075000  1.185000 2.255000 ;
+      RECT  1.015000  2.255000  2.105000 2.465000 ;
+      RECT  1.355000  1.845000  1.695000 2.085000 ;
+      RECT  1.495000  0.595000  1.670000 0.645000 ;
+      RECT  1.495000  0.645000  1.695000 0.705000 ;
+      RECT  1.500000  0.705000  1.695000 0.720000 ;
+      RECT  1.505000  0.720000  1.695000 1.845000 ;
+      RECT  1.840000  0.085000  2.090000 0.545000 ;
+      RECT  1.980000  0.715000  2.530000 0.905000 ;
+      RECT  1.980000  0.905000  2.235000 1.760000 ;
+      RECT  1.980000  1.760000  2.535000 2.085000 ;
+      RECT  2.260000  0.255000  2.530000 0.715000 ;
+      RECT  2.275000  2.085000  2.535000 2.465000 ;
+      RECT  2.700000  0.085000  3.100000 0.555000 ;
+      RECT  2.705000  2.140000  3.100000 2.635000 ;
+      RECT  3.270000  0.255000  3.470000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.270000  1.830000  3.995000 2.000000 ;
+      RECT  3.270000  2.000000  3.475000 2.325000 ;
+      RECT  3.640000  0.085000  3.940000 0.545000 ;
+      RECT  3.645000  2.275000  3.975000 2.635000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.145000  2.135000  4.440000 2.465000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.885000 0.920000 ;
+      RECT  4.665000  1.590000  4.970000 1.615000 ;
+      RECT  4.665000  1.615000  4.890000 2.465000 ;
+      RECT  4.715000  0.920000  4.885000 1.445000 ;
+      RECT  4.715000  1.445000  4.970000 1.590000 ;
+      RECT  5.055000  0.255000  5.450000 1.225000 ;
+      RECT  5.055000  1.225000  7.705000 1.275000 ;
+      RECT  5.060000  2.135000  5.805000 2.465000 ;
+      RECT  5.140000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.465000 1.955000 ;
+      RECT  5.620000  0.635000  6.550000 0.805000 ;
+      RECT  5.620000  0.805000  6.015000 1.015000 ;
+      RECT  5.635000  1.395000  5.805000 2.135000 ;
+      RECT  5.665000  0.085000  6.165000 0.465000 ;
+      RECT  5.975000  1.575000  6.145000 1.935000 ;
+      RECT  5.975000  1.935000  6.820000 2.105000 ;
+      RECT  6.000000  2.275000  6.330000 2.635000 ;
+      RECT  6.305000  0.975000  7.705000 1.225000 ;
+      RECT  6.335000  0.255000  6.550000 0.635000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.720000  0.085000  7.705000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.355000  1.275000  7.705000 1.325000 ;
+      RECT  7.385000  1.705000  8.055000 1.955000 ;
+      RECT  7.885000  0.695000  9.085000 0.895000 ;
+      RECT  7.885000  0.895000  8.055000 1.705000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.420000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.855000 0.515000 ;
+      RECT  8.820000  1.895000 10.430000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  8.830000  0.895000  9.085000 1.265000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.285000  0.855000  9.515000 1.185000 ;
+      RECT  9.285000  1.185000 10.910000 1.355000 ;
+      RECT  9.660000  2.065000  9.930000 2.450000 ;
+      RECT  9.685000  0.515000  9.855000 0.845000 ;
+      RECT  9.685000  0.845000 10.560000 1.015000 ;
+      RECT 10.035000  0.085000 10.285000 0.545000 ;
+      RECT 10.100000  2.235000 10.430000 2.635000 ;
+      RECT 10.105000  1.525000 10.430000 1.895000 ;
+      RECT 10.465000  0.255000 10.910000 0.585000 ;
+      RECT 10.600000  1.355000 10.845000 2.465000 ;
+      RECT 10.730000  0.585000 10.910000 1.185000 ;
+      RECT 11.080000  1.485000 11.290000 2.635000 ;
+      RECT 11.120000  0.085000 11.290000 0.885000 ;
+      RECT 12.025000  0.085000 12.315000 0.885000 ;
+      RECT 12.025000  1.485000 12.315000 2.635000 ;
+      RECT 12.530000  0.255000 12.715000 0.995000 ;
+      RECT 12.530000  0.995000 13.345000 1.325000 ;
+      RECT 12.530000  1.325000 12.715000 2.465000 ;
+      RECT 12.885000  0.085000 13.240000 0.825000 ;
+      RECT 12.885000  1.635000 13.240000 2.635000 ;
+      RECT 13.910000  0.085000 14.175000 0.885000 ;
+      RECT 13.910000  1.485000 14.175000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.260000  1.785000  5.430000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 5.030000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.200000 1.755000 5.490000 1.800000 ;
+      RECT 5.200000 1.940000 5.490000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_2
+MACRO sky130_fd_sc_hd__tapvgnd2_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.755000 0.375000 1.985000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  1.785000 0.315000 1.955000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd2_1
+MACRO sky130_fd_sc_hd__macro_sparecell
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN LO
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.215000 1.075000 4.965000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.775000 1.105000 4.945000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.135000 1.075000 5.895000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.705000 1.105000 5.875000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.755000 0.915000 7.275000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.765000 1.105000 6.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.445000 1.075000 8.205000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.625000 1.105000 7.795000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.375000 1.075000 9.125000 1.325000 ;
+      LAYER mcon ;
+        RECT 8.485000 1.105000 8.655000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.715000 1.075000 5.005000 1.120000 ;
+        RECT 4.715000 1.120000 8.715000 1.260000 ;
+        RECT 4.715000 1.260000 5.005000 1.305000 ;
+        RECT 5.645000 1.075000 5.935000 1.120000 ;
+        RECT 5.645000 1.260000 5.935000 1.305000 ;
+        RECT 6.705000 1.075000 6.995000 1.120000 ;
+        RECT 6.705000 1.260000 6.995000 1.305000 ;
+        RECT 7.565000 1.075000 7.855000 1.120000 ;
+        RECT 7.565000 1.260000 7.855000 1.305000 ;
+        RECT 8.425000 1.075000 8.715000 1.120000 ;
+        RECT 8.425000 1.260000 8.715000 1.305000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 -0.085000 13.340000 0.085000 ;
+        RECT  0.145000  0.085000  0.355000 0.905000 ;
+        RECT  1.025000  0.085000  1.255000 0.905000 ;
+        RECT  1.515000  0.085000  1.805000 0.555000 ;
+        RECT  2.475000  0.085000  2.645000 0.555000 ;
+        RECT  3.315000  0.085000  3.590000 0.905000 ;
+        RECT  5.215000  0.085000  5.385000 0.545000 ;
+        RECT  6.755000  0.085000  7.095000 0.745000 ;
+        RECT  7.955000  0.085000  8.125000 0.545000 ;
+        RECT  9.750000  0.085000 10.025000 0.905000 ;
+        RECT 10.695000  0.085000 10.865000 0.555000 ;
+        RECT 11.535000  0.085000 11.825000 0.555000 ;
+        RECT 12.085000  0.085000 12.315000 0.905000 ;
+        RECT 12.985000  0.085000 13.195000 0.905000 ;
+      LAYER mcon ;
+        RECT  0.145000 -0.085000  0.315000 0.085000 ;
+        RECT  0.605000 -0.085000  0.775000 0.085000 ;
+        RECT  1.065000 -0.085000  1.235000 0.085000 ;
+        RECT  1.525000 -0.085000  1.695000 0.085000 ;
+        RECT  1.985000 -0.085000  2.155000 0.085000 ;
+        RECT  2.445000 -0.085000  2.615000 0.085000 ;
+        RECT  2.905000 -0.085000  3.075000 0.085000 ;
+        RECT  3.365000 -0.085000  3.535000 0.085000 ;
+        RECT  3.825000 -0.085000  3.995000 0.085000 ;
+        RECT  4.285000 -0.085000  4.455000 0.085000 ;
+        RECT  4.745000 -0.085000  4.915000 0.085000 ;
+        RECT  5.205000 -0.085000  5.375000 0.085000 ;
+        RECT  5.665000 -0.085000  5.835000 0.085000 ;
+        RECT  6.125000 -0.085000  6.295000 0.085000 ;
+        RECT  6.585000 -0.085000  6.755000 0.085000 ;
+        RECT  7.045000 -0.085000  7.215000 0.085000 ;
+        RECT  7.505000 -0.085000  7.675000 0.085000 ;
+        RECT  7.965000 -0.085000  8.135000 0.085000 ;
+        RECT  8.425000 -0.085000  8.595000 0.085000 ;
+        RECT  8.885000 -0.085000  9.055000 0.085000 ;
+        RECT  9.345000 -0.085000  9.515000 0.085000 ;
+        RECT  9.805000 -0.085000  9.975000 0.085000 ;
+        RECT 10.265000 -0.085000 10.435000 0.085000 ;
+        RECT 10.725000 -0.085000 10.895000 0.085000 ;
+        RECT 11.185000 -0.085000 11.355000 0.085000 ;
+        RECT 11.645000 -0.085000 11.815000 0.085000 ;
+        RECT 12.105000 -0.085000 12.275000 0.085000 ;
+        RECT 12.565000 -0.085000 12.735000 0.085000 ;
+        RECT 13.025000 -0.085000 13.195000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 2.635000 13.340000 2.805000 ;
+        RECT  0.145000 1.495000  0.355000 2.635000 ;
+        RECT  1.025000 1.495000  1.255000 2.635000 ;
+        RECT  2.815000 1.835000  3.145000 2.635000 ;
+        RECT  3.870000 1.835000  4.125000 2.635000 ;
+        RECT  4.795000 1.835000  4.965000 2.635000 ;
+        RECT  5.635000 1.495000  5.895000 2.635000 ;
+        RECT  6.255000 1.910000  6.585000 2.635000 ;
+        RECT  7.445000 1.495000  7.705000 2.635000 ;
+        RECT  8.375000 1.835000  8.545000 2.635000 ;
+        RECT  9.215000 1.835000  9.470000 2.635000 ;
+        RECT 10.195000 1.835000 10.525000 2.635000 ;
+        RECT 12.085000 1.495000 12.315000 2.635000 ;
+        RECT 12.985000 1.495000 13.195000 2.635000 ;
+      LAYER mcon ;
+        RECT  0.145000 2.635000  0.315000 2.805000 ;
+        RECT  0.605000 2.635000  0.775000 2.805000 ;
+        RECT  1.065000 2.635000  1.235000 2.805000 ;
+        RECT  1.525000 2.635000  1.695000 2.805000 ;
+        RECT  1.985000 2.635000  2.155000 2.805000 ;
+        RECT  2.445000 2.635000  2.615000 2.805000 ;
+        RECT  2.905000 2.635000  3.075000 2.805000 ;
+        RECT  3.365000 2.635000  3.535000 2.805000 ;
+        RECT  3.825000 2.635000  3.995000 2.805000 ;
+        RECT  4.285000 2.635000  4.455000 2.805000 ;
+        RECT  4.745000 2.635000  4.915000 2.805000 ;
+        RECT  5.205000 2.635000  5.375000 2.805000 ;
+        RECT  5.665000 2.635000  5.835000 2.805000 ;
+        RECT  6.125000 2.635000  6.295000 2.805000 ;
+        RECT  6.585000 2.635000  6.755000 2.805000 ;
+        RECT  7.045000 2.635000  7.215000 2.805000 ;
+        RECT  7.505000 2.635000  7.675000 2.805000 ;
+        RECT  7.965000 2.635000  8.135000 2.805000 ;
+        RECT  8.425000 2.635000  8.595000 2.805000 ;
+        RECT  8.885000 2.635000  9.055000 2.805000 ;
+        RECT  9.345000 2.635000  9.515000 2.805000 ;
+        RECT  9.805000 2.635000  9.975000 2.805000 ;
+        RECT 10.265000 2.635000 10.435000 2.805000 ;
+        RECT 10.725000 2.635000 10.895000 2.805000 ;
+        RECT 11.185000 2.635000 11.355000 2.805000 ;
+        RECT 11.645000 2.635000 11.815000 2.805000 ;
+        RECT 12.105000 2.635000 12.275000 2.805000 ;
+        RECT 12.565000 2.635000 12.735000 2.805000 ;
+        RECT 13.025000 2.635000 13.195000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.525000 0.255000  0.855000 0.885000 ;
+      RECT  0.525000 0.885000  0.775000 1.485000 ;
+      RECT  0.525000 1.485000  0.855000 2.465000 ;
+      RECT  0.945000 1.075000  1.275000 1.325000 ;
+      RECT  1.505000 1.835000  1.805000 2.295000 ;
+      RECT  1.505000 2.295000  2.645000 2.465000 ;
+      RECT  1.545000 0.735000  3.145000 0.905000 ;
+      RECT  1.545000 0.905000  1.760000 1.445000 ;
+      RECT  1.545000 1.445000  2.305000 1.665000 ;
+      RECT  1.930000 1.075000  2.700000 1.275000 ;
+      RECT  1.975000 0.255000  2.305000 0.725000 ;
+      RECT  1.975000 0.725000  3.145000 0.735000 ;
+      RECT  1.975000 1.665000  2.305000 2.125000 ;
+      RECT  2.475000 1.455000  3.590000 1.665000 ;
+      RECT  2.475000 1.665000  2.645000 2.295000 ;
+      RECT  2.815000 0.255000  3.145000 0.725000 ;
+      RECT  2.870000 1.075000  3.590000 1.275000 ;
+      RECT  3.315000 1.665000  3.590000 2.465000 ;
+      RECT  3.765000 0.655000  4.625000 0.905000 ;
+      RECT  3.765000 0.905000  4.045000 1.495000 ;
+      RECT  3.765000 1.495000  5.465000 1.665000 ;
+      RECT  3.875000 0.255000  5.045000 0.465000 ;
+      RECT  3.875000 0.465000  4.205000 0.485000 ;
+      RECT  4.295000 1.665000  4.625000 2.465000 ;
+      RECT  4.795000 0.465000  5.045000 0.715000 ;
+      RECT  4.795000 0.715000  5.895000 0.885000 ;
+      RECT  5.135000 1.665000  5.465000 2.465000 ;
+      RECT  5.555000 0.255000  5.895000 0.715000 ;
+      RECT  6.065000 0.255000  6.585000 1.740000 ;
+      RECT  7.445000 0.255000  7.785000 0.715000 ;
+      RECT  7.445000 0.715000  8.545000 0.885000 ;
+      RECT  7.875000 1.495000  9.575000 1.665000 ;
+      RECT  7.875000 1.665000  8.205000 2.465000 ;
+      RECT  8.295000 0.255000  9.465000 0.465000 ;
+      RECT  8.295000 0.465000  8.545000 0.715000 ;
+      RECT  8.715000 0.655000  9.575000 0.905000 ;
+      RECT  8.715000 1.665000  9.045000 2.465000 ;
+      RECT  9.135000 0.465000  9.465000 0.485000 ;
+      RECT  9.295000 0.905000  9.575000 1.495000 ;
+      RECT  9.750000 1.075000 10.470000 1.275000 ;
+      RECT  9.750000 1.455000 10.865000 1.665000 ;
+      RECT  9.750000 1.665000 10.025000 2.465000 ;
+      RECT 10.195000 0.255000 10.525000 0.725000 ;
+      RECT 10.195000 0.725000 11.365000 0.735000 ;
+      RECT 10.195000 0.735000 11.795000 0.905000 ;
+      RECT 10.640000 1.075000 11.410000 1.275000 ;
+      RECT 10.695000 1.665000 10.865000 2.295000 ;
+      RECT 10.695000 2.295000 11.835000 2.465000 ;
+      RECT 11.035000 0.255000 11.365000 0.725000 ;
+      RECT 11.035000 1.445000 11.795000 1.665000 ;
+      RECT 11.035000 1.665000 11.365000 2.125000 ;
+      RECT 11.535000 1.835000 11.835000 2.295000 ;
+      RECT 11.580000 0.905000 11.795000 1.445000 ;
+      RECT 12.065000 1.075000 12.395000 1.325000 ;
+      RECT 12.485000 0.255000 12.815000 0.885000 ;
+      RECT 12.485000 1.485000 12.815000 2.465000 ;
+      RECT 12.565000 0.885000 12.815000 1.485000 ;
+    LAYER mcon ;
+      RECT  0.565000 1.105000  0.735000 1.275000 ;
+      RECT  1.085000 1.105000  1.255000 1.275000 ;
+      RECT  1.570000 1.105000  1.740000 1.275000 ;
+      RECT  2.100000 1.105000  2.270000 1.275000 ;
+      RECT  2.960000 1.105000  3.130000 1.275000 ;
+      RECT  3.820000 1.105000  3.990000 1.275000 ;
+      RECT  9.345000 1.105000  9.515000 1.275000 ;
+      RECT 10.205000 1.105000 10.375000 1.275000 ;
+      RECT 11.065000 1.105000 11.235000 1.275000 ;
+      RECT 11.605000 1.105000 11.775000 1.275000 ;
+      RECT 12.090000 1.105000 12.260000 1.275000 ;
+      RECT 12.605000 1.105000 12.775000 1.275000 ;
+    LAYER met1 ;
+      RECT  0.505000 1.075000  0.875000 1.305000 ;
+      RECT  1.025000 1.075000  1.315000 1.120000 ;
+      RECT  1.025000 1.120000  1.800000 1.260000 ;
+      RECT  1.025000 1.260000  1.315000 1.305000 ;
+      RECT  1.510000 1.075000  1.800000 1.120000 ;
+      RECT  1.510000 1.260000  1.800000 1.305000 ;
+      RECT  2.040000 1.075000  2.330000 1.120000 ;
+      RECT  2.040000 1.120000  4.050000 1.260000 ;
+      RECT  2.040000 1.260000  2.330000 1.305000 ;
+      RECT  2.900000 1.075000  3.190000 1.120000 ;
+      RECT  2.900000 1.260000  3.190000 1.305000 ;
+      RECT  3.760000 1.075000  4.050000 1.120000 ;
+      RECT  3.760000 1.260000  4.050000 1.305000 ;
+      RECT  9.285000 1.075000  9.575000 1.120000 ;
+      RECT  9.285000 1.120000 11.295000 1.260000 ;
+      RECT  9.285000 1.260000  9.575000 1.305000 ;
+      RECT 10.145000 1.075000 10.435000 1.120000 ;
+      RECT 10.145000 1.260000 10.435000 1.305000 ;
+      RECT 11.005000 1.075000 11.295000 1.120000 ;
+      RECT 11.005000 1.260000 11.295000 1.305000 ;
+      RECT 11.545000 1.075000 11.835000 1.120000 ;
+      RECT 11.545000 1.120000 12.320000 1.260000 ;
+      RECT 11.545000 1.260000 11.835000 1.305000 ;
+      RECT 12.030000 1.075000 12.320000 1.120000 ;
+      RECT 12.030000 1.260000 12.320000 1.305000 ;
+      RECT 12.470000 1.075000 12.835000 1.305000 ;
+    LAYER pwell ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  3.360000 -0.085000  3.530000 0.085000 ;
+      RECT  5.660000 -0.085000  5.830000 0.085000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  7.510000 -0.085000  7.680000 0.085000 ;
+      RECT  9.810000 -0.085000  9.980000 0.085000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+  END
+END sky130_fd_sc_hd__macro_sparecell
+MACRO sky130_fd_sc_hd__o41a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.515000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.325000 1.075000 3.655000 2.335000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.825000 1.075000 3.155000 2.340000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.075000 2.655000 2.340000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.155000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.880000 ;
+        RECT 0.515000 0.880000 0.790000 1.495000 ;
+        RECT 0.515000 1.495000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.960000  1.075000 1.600000 1.325000 ;
+      RECT 1.015000  0.085000 1.260000 0.885000 ;
+      RECT 1.015000  1.495000 1.185000 1.835000 ;
+      RECT 1.015000  1.835000 1.525000 2.635000 ;
+      RECT 1.355000  1.325000 1.600000 1.495000 ;
+      RECT 1.355000  1.495000 2.145000 1.665000 ;
+      RECT 1.430000  0.255000 1.785000 0.850000 ;
+      RECT 1.430000  0.850000 1.600000 1.075000 ;
+      RECT 1.695000  1.665000 2.145000 2.465000 ;
+      RECT 1.985000  0.255000 2.315000 0.715000 ;
+      RECT 1.985000  0.715000 4.395000 0.905000 ;
+      RECT 2.485000  0.085000 2.750000 0.545000 ;
+      RECT 2.955000  0.255000 3.285000 0.715000 ;
+      RECT 3.505000  0.085000 3.775000 0.545000 ;
+      RECT 4.065000  0.255000 4.395000 0.715000 ;
+      RECT 4.065000  1.495000 4.395000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_2
+MACRO sky130_fd_sc_hd__o41a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.650000 1.075000 7.735000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 6.360000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.330000 1.075000 4.960000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 4.040000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.835000 1.075000 3.165000 1.275000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.685000 0.905000 ;
+        RECT 0.085000 0.905000 0.345000 1.465000 ;
+        RECT 0.085000 1.465000 1.685000 1.665000 ;
+        RECT 0.515000 0.255000 0.845000 0.715000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.715000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.545000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.075000 2.665000 1.245000 ;
+      RECT 0.515000  1.245000 2.545000 1.295000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.855000  0.085000 2.105000 0.885000 ;
+      RECT 1.855000  1.465000 2.025000 2.635000 ;
+      RECT 2.195000  1.295000 2.545000 1.445000 ;
+      RECT 2.195000  1.445000 3.825000 1.615000 ;
+      RECT 2.195000  1.615000 2.545000 2.465000 ;
+      RECT 2.295000  0.255000 3.485000 0.465000 ;
+      RECT 2.295000  0.635000 3.045000 0.905000 ;
+      RECT 2.295000  0.905000 2.665000 1.075000 ;
+      RECT 2.715000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  1.835000 3.405000 2.295000 ;
+      RECT 3.135000  2.295000 4.325000 2.465000 ;
+      RECT 3.235000  0.465000 3.485000 0.735000 ;
+      RECT 3.235000  0.735000 7.595000 0.905000 ;
+      RECT 3.575000  1.615000 3.825000 2.125000 ;
+      RECT 3.655000  0.085000 3.875000 0.545000 ;
+      RECT 3.995000  1.445000 5.165000 1.615000 ;
+      RECT 3.995000  1.615000 4.325000 2.295000 ;
+      RECT 4.075000  0.255000 4.245000 0.735000 ;
+      RECT 4.445000  0.085000 4.715000 0.545000 ;
+      RECT 4.495000  1.785000 4.665000 2.295000 ;
+      RECT 4.495000  2.295000 6.145000 2.465000 ;
+      RECT 4.835000  1.615000 5.165000 2.115000 ;
+      RECT 4.915000  0.255000 5.085000 0.735000 ;
+      RECT 5.305000  0.085000 5.915000 0.545000 ;
+      RECT 5.395000  1.445000 7.595000 1.615000 ;
+      RECT 5.395000  1.615000 5.645000 2.115000 ;
+      RECT 5.815000  1.785000 6.145000 2.295000 ;
+      RECT 6.240000  0.255000 6.410000 0.735000 ;
+      RECT 6.315000  1.615000 6.485000 2.455000 ;
+      RECT 6.655000  1.785000 6.985000 2.635000 ;
+      RECT 6.685000  0.085000 6.955000 0.545000 ;
+      RECT 7.265000  0.255000 7.595000 0.735000 ;
+      RECT 7.265000  1.615000 7.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_4
+MACRO sky130_fd_sc_hd__o41a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.485000 1.075000 3.995000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 1.075000 3.275000 2.390000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 2.390000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 1.075000 2.195000 2.390000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.075000 1.695000 1.285000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.672000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.355000 1.455000 ;
+        RECT 0.085000 1.455000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  1.075000 1.105000 1.285000 ;
+      RECT 0.715000  0.085000 0.885000 0.545000 ;
+      RECT 0.735000  0.715000 1.485000 0.905000 ;
+      RECT 0.735000  0.905000 1.105000 1.075000 ;
+      RECT 0.845000  1.285000 1.105000 1.455000 ;
+      RECT 0.845000  1.455000 1.595000 1.745000 ;
+      RECT 0.845000  1.915000 1.175000 2.635000 ;
+      RECT 1.155000  0.270000 1.485000 0.715000 ;
+      RECT 1.345000  1.745000 1.595000 2.465000 ;
+      RECT 1.655000  0.415000 1.825000 0.735000 ;
+      RECT 1.655000  0.735000 3.955000 0.905000 ;
+      RECT 2.050000  0.085000 2.380000 0.545000 ;
+      RECT 2.580000  0.255000 2.910000 0.735000 ;
+      RECT 3.125000  0.085000 3.455000 0.545000 ;
+      RECT 3.605000  1.515000 3.935000 2.635000 ;
+      RECT 3.625000  0.255000 3.955000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_1
+MACRO sky130_fd_sc_hd__o32ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 1.075000 5.865000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 1.075000 4.480000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 3.065000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.705000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.845000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 2.045000 0.905000 ;
+        RECT 0.515000 1.495000 3.105000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.095000 ;
+        RECT 1.875000 0.905000 2.045000 1.105000 ;
+        RECT 1.875000 1.105000 2.170000 1.495000 ;
+        RECT 2.775000 1.665000 3.105000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.255000 2.405000 0.485000 ;
+      RECT 0.090000  0.485000 0.345000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.295000 ;
+      RECT 0.090000  2.295000 1.265000 2.465000 ;
+      RECT 1.015000  1.835000 2.105000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.295000 ;
+      RECT 1.435000  2.175000 1.605000 2.635000 ;
+      RECT 1.775000  2.005000 2.105000 2.455000 ;
+      RECT 2.235000  0.485000 2.405000 0.715000 ;
+      RECT 2.235000  0.715000 5.755000 0.905000 ;
+      RECT 2.335000  1.835000 2.585000 2.255000 ;
+      RECT 2.335000  2.255000 4.385000 2.445000 ;
+      RECT 2.620000  0.085000 2.950000 0.545000 ;
+      RECT 3.135000  0.255000 3.465000 0.715000 ;
+      RECT 3.275000  1.495000 3.445000 2.255000 ;
+      RECT 3.615000  1.495000 5.325000 1.665000 ;
+      RECT 3.615000  1.665000 3.945000 2.085000 ;
+      RECT 3.635000  0.085000 3.805000 0.545000 ;
+      RECT 4.055000  0.255000 4.725000 0.715000 ;
+      RECT 4.135000  1.835000 4.385000 2.255000 ;
+      RECT 4.620000  1.835000 4.825000 2.635000 ;
+      RECT 4.905000  0.085000 5.235000 0.545000 ;
+      RECT 4.995000  1.665000 5.325000 2.460000 ;
+      RECT 5.425000  0.255000 5.755000 0.715000 ;
+      RECT 5.495000  1.495000 5.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_2
+MACRO sky130_fd_sc_hd__o32ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.575000 0.995000 3.135000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.930000 0.995000 2.225000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.685000 0.345000 0.995000 ;
+        RECT 0.090000 0.995000 0.360000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.870000 0.995000 1.240000 1.615000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.821250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 0.845000 0.825000 ;
+        RECT 0.530000 0.825000 0.700000 1.785000 ;
+        RECT 0.530000 1.785000 1.545000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.255000 1.345000 0.485000 ;
+      RECT 0.090000  1.495000 0.360000 2.635000 ;
+      RECT 1.015000  0.485000 1.345000 0.655000 ;
+      RECT 1.015000  0.655000 2.525000 0.825000 ;
+      RECT 1.515000  0.085000 2.185000 0.485000 ;
+      RECT 2.355000  0.375000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.135000 0.825000 ;
+      RECT 2.695000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_1
+MACRO sky130_fd_sc_hd__o32ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.290000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.090000 1.075000 7.260000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.770000 1.075000 5.380000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 3.540000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.685000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 3.380000 0.905000 ;
+        RECT 0.515000 1.495000 5.580000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.085000 ;
+        RECT 1.355000 1.665000 1.700000 2.085000 ;
+        RECT 1.855000 0.905000 2.035000 1.495000 ;
+        RECT 4.410000 1.665000 4.740000 2.085000 ;
+        RECT 5.250000 1.665000 5.580000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.255000  3.800000 0.465000 ;
+      RECT 0.090000  0.465000  0.345000 0.905000 ;
+      RECT 0.090000  1.495000  0.345000 2.255000 ;
+      RECT 0.090000  2.255000  2.040000 2.465000 ;
+      RECT 1.015000  1.835000  1.185000 2.255000 ;
+      RECT 1.870000  1.835000  3.800000 2.005000 ;
+      RECT 1.870000  2.005000  2.040000 2.255000 ;
+      RECT 2.210000  2.175000  2.540000 2.635000 ;
+      RECT 2.710000  2.005000  2.880000 2.425000 ;
+      RECT 3.050000  2.175000  3.380000 2.635000 ;
+      RECT 3.550000  0.465000  3.800000 0.735000 ;
+      RECT 3.550000  0.735000 10.035000 0.905000 ;
+      RECT 3.550000  2.005000  3.800000 2.465000 ;
+      RECT 3.970000  0.085000  4.140000 0.545000 ;
+      RECT 3.990000  1.835000  4.240000 2.255000 ;
+      RECT 3.990000  2.255000  7.680000 2.465000 ;
+      RECT 4.310000  0.255000  4.640000 0.735000 ;
+      RECT 4.810000  0.085000  5.140000 0.545000 ;
+      RECT 4.910000  1.835000  5.080000 2.255000 ;
+      RECT 5.310000  0.255000  5.980000 0.735000 ;
+      RECT 5.750000  1.835000  5.920000 2.255000 ;
+      RECT 6.090000  1.495000  9.460000 1.665000 ;
+      RECT 6.090000  1.665000  6.420000 2.085000 ;
+      RECT 6.170000  0.085000  6.340000 0.545000 ;
+      RECT 6.510000  0.255000  6.840000 0.735000 ;
+      RECT 6.590000  1.835000  6.760000 2.255000 ;
+      RECT 6.930000  1.665000  7.260000 2.085000 ;
+      RECT 7.010000  0.085000  7.180000 0.545000 ;
+      RECT 7.350000  0.255000  8.040000 0.735000 ;
+      RECT 7.430000  1.835000  7.680000 2.255000 ;
+      RECT 7.870000  1.835000  8.120000 2.635000 ;
+      RECT 8.290000  1.665000  8.620000 2.465000 ;
+      RECT 8.370000  0.085000  8.540000 0.545000 ;
+      RECT 8.710000  0.255000  9.040000 0.735000 ;
+      RECT 8.790000  1.835000  8.960000 2.635000 ;
+      RECT 9.130000  1.665000  9.460000 2.465000 ;
+      RECT 9.210000  0.085000  9.470000 0.545000 ;
+      RECT 9.630000  1.495000 10.035000 2.635000 ;
+      RECT 9.645000  0.255000 10.035000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_4
+MACRO sky130_fd_sc_hd__o21ba_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.950000 1.075000 3.595000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.780000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.030000 0.995000 1.360000 1.325000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.450000 0.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.340000 1.480000 ;
+        RECT 0.085000 1.480000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.510000  0.995000 0.860000 1.325000 ;
+      RECT 0.595000  1.325000 0.860000 1.865000 ;
+      RECT 0.595000  1.865000 2.575000 2.035000 ;
+      RECT 0.595000  2.205000 1.005000 2.635000 ;
+      RECT 0.710000  0.085000 0.880000 0.825000 ;
+      RECT 1.075000  1.525000 1.700000 1.695000 ;
+      RECT 1.160000  0.450000 1.330000 0.655000 ;
+      RECT 1.160000  0.655000 1.700000 0.825000 ;
+      RECT 1.530000  0.825000 1.700000 1.525000 ;
+      RECT 1.750000  2.215000 2.080000 2.635000 ;
+      RECT 1.870000  0.255000 2.040000 1.455000 ;
+      RECT 1.870000  1.455000 2.575000 1.865000 ;
+      RECT 2.250000  2.035000 2.575000 2.465000 ;
+      RECT 2.270000  0.255000 2.600000 0.735000 ;
+      RECT 2.270000  0.735000 3.440000 0.905000 ;
+      RECT 2.770000  0.085000 2.940000 0.555000 ;
+      RECT 3.050000  1.535000 3.380000 2.635000 ;
+      RECT 3.110000  0.270000 3.440000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_1
+MACRO sky130_fd_sc_hd__o21ba_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.990000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.820000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.885000 1.285000 ;
+        RECT 0.605000 1.285000 0.885000 1.705000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.255000 1.385000 0.725000 ;
+        RECT 1.055000 0.725000 2.225000 0.905000 ;
+        RECT 1.055000 0.905000 1.455000 1.445000 ;
+        RECT 1.055000 1.445000 2.225000 1.705000 ;
+        RECT 1.895000 0.255000 2.225000 0.725000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.265000 0.545000 0.855000 ;
+      RECT 0.085000  0.855000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.435000 1.875000 ;
+      RECT 0.085000  1.875000 2.565000 2.045000 ;
+      RECT 0.085000  2.045000 0.435000 2.465000 ;
+      RECT 0.635000  2.215000 0.965000 2.635000 ;
+      RECT 0.715000  0.085000 0.885000 0.905000 ;
+      RECT 1.475000  2.215000 1.805000 2.635000 ;
+      RECT 1.555000  0.085000 1.725000 0.555000 ;
+      RECT 1.625000  1.075000 2.565000 1.275000 ;
+      RECT 2.315000  2.215000 2.645000 2.635000 ;
+      RECT 2.395000  0.085000 2.565000 0.555000 ;
+      RECT 2.395000  0.725000 3.585000 0.895000 ;
+      RECT 2.395000  0.895000 2.565000 1.075000 ;
+      RECT 2.395000  1.445000 2.905000 1.615000 ;
+      RECT 2.395000  1.615000 2.565000 1.875000 ;
+      RECT 2.735000  1.075000 3.135000 1.245000 ;
+      RECT 2.735000  1.245000 2.905000 1.445000 ;
+      RECT 2.805000  0.255000 4.005000 0.475000 ;
+      RECT 2.815000  1.795000 4.380000 1.965000 ;
+      RECT 2.815000  1.965000 2.985000 2.465000 ;
+      RECT 3.200000  2.135000 3.450000 2.635000 ;
+      RECT 3.235000  0.645000 3.585000 0.725000 ;
+      RECT 3.395000  0.895000 3.585000 1.795000 ;
+      RECT 3.685000  2.135000 3.925000 2.295000 ;
+      RECT 3.685000  2.295000 4.765000 2.465000 ;
+      RECT 3.755000  0.475000 4.005000 0.725000 ;
+      RECT 3.755000  0.725000 5.710000 0.905000 ;
+      RECT 4.135000  1.445000 4.380000 1.795000 ;
+      RECT 4.135000  1.965000 4.380000 2.125000 ;
+      RECT 4.175000  0.085000 4.345000 0.555000 ;
+      RECT 4.515000  0.255000 4.845000 0.725000 ;
+      RECT 4.595000  1.455000 5.710000 1.665000 ;
+      RECT 4.595000  1.665000 4.765000 2.295000 ;
+      RECT 4.935000  1.835000 5.265000 2.635000 ;
+      RECT 5.015000  0.085000 5.185000 0.555000 ;
+      RECT 5.355000  0.265000 5.710000 0.725000 ;
+      RECT 5.435000  1.665000 5.710000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_4
+MACRO sky130_fd_sc_hd__o21ba_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.100000 1.075000 3.595000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 2.930000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+        RECT 0.595000 1.325000 0.775000 1.695000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.255000 1.240000 0.595000 ;
+        RECT 0.945000 0.595000 1.115000 1.495000 ;
+        RECT 0.945000 1.495000 1.350000 1.695000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.430000 0.345000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 0.395000 1.865000 ;
+      RECT 0.085000  1.865000 1.935000 2.035000 ;
+      RECT 0.520000  2.205000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.775000 0.825000 ;
+      RECT 1.285000  0.890000 1.595000 1.060000 ;
+      RECT 1.285000  1.060000 1.455000 1.325000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.415000  2.205000 2.230000 2.635000 ;
+      RECT 1.425000  0.655000 2.275000 0.825000 ;
+      RECT 1.425000  0.825000 1.595000 0.890000 ;
+      RECT 1.765000  0.995000 1.935000 1.865000 ;
+      RECT 1.940000  0.255000 2.275000 0.655000 ;
+      RECT 2.105000  0.825000 2.275000 1.455000 ;
+      RECT 2.105000  1.455000 2.725000 2.035000 ;
+      RECT 2.400000  2.035000 2.725000 2.465000 ;
+      RECT 2.445000  0.365000 2.745000 0.735000 ;
+      RECT 2.445000  0.735000 3.590000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.200000  1.875000 3.530000 2.635000 ;
+      RECT 3.255000  0.270000 3.590000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_2
+MACRO sky130_fd_sc_hd__dlygate4sd2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.625000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.570000 0.255000 3.135000 0.825000 ;
+        RECT 2.570000 1.495000 3.135000 2.465000 ;
+        RECT 2.675000 0.825000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.715000 ;
+      RECT 0.085000  0.715000 1.030000 0.885000 ;
+      RECT 0.085000  1.785000 1.030000 2.005000 ;
+      RECT 0.085000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.795000  0.885000 1.030000 0.995000 ;
+      RECT 0.795000  0.995000 1.085000 1.325000 ;
+      RECT 0.795000  1.325000 1.030000 1.785000 ;
+      RECT 1.155000  0.255000 1.425000 0.585000 ;
+      RECT 1.155000  2.135000 1.425000 2.465000 ;
+      RECT 1.255000  0.585000 1.425000 1.055000 ;
+      RECT 1.255000  1.055000 2.030000 1.615000 ;
+      RECT 1.255000  1.615000 1.425000 2.135000 ;
+      RECT 1.615000  0.255000 1.875000 0.715000 ;
+      RECT 1.615000  0.715000 2.400000 0.885000 ;
+      RECT 1.615000  1.785000 2.400000 2.005000 ;
+      RECT 1.615000  2.005000 1.875000 2.465000 ;
+      RECT 2.075000  0.085000 2.400000 0.545000 ;
+      RECT 2.075000  2.175000 2.400000 2.635000 ;
+      RECT 2.200000  0.885000 2.400000 0.995000 ;
+      RECT 2.200000  0.995000 2.505000 1.325000 ;
+      RECT 2.200000  1.325000 2.400000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd2_1
+MACRO sky130_fd_sc_hd__dfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.575000 1.615000 ;
+        RECT 8.810000 1.615000 9.140000 2.460000 ;
+        RECT 8.890000 0.265000 9.135000 0.765000 ;
+        RECT 8.890000 0.765000 9.575000 0.825000 ;
+        RECT 8.975000 0.825000 9.575000 0.855000 ;
+        RECT 8.975000 1.445000 9.575000 1.495000 ;
+        RECT 8.990000 0.855000 9.575000 0.895000 ;
+        RECT 9.020000 0.895000 9.575000 1.445000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.340000 1.005000 ;
+        RECT 6.660000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.835000 0.805000 ;
+      RECT 0.085000  1.795000 0.835000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.835000 1.795000 ;
+      RECT 1.005000  0.565000 1.235000 2.045000 ;
+      RECT 1.015000  0.345000 1.235000 0.565000 ;
+      RECT 1.015000  2.045000 1.235000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.710000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.710000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.710000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.880000  0.725000 6.150000 0.895000 ;
+      RECT 4.880000  0.895000 5.050000 1.655000 ;
+      RECT 4.880000  1.655000 5.400000 1.965000 ;
+      RECT 5.110000  2.165000 5.740000 2.415000 ;
+      RECT 5.220000  1.065000 5.400000 1.475000 ;
+      RECT 5.570000  1.235000 7.490000 1.405000 ;
+      RECT 5.570000  1.405000 5.740000 1.915000 ;
+      RECT 5.570000  1.915000 6.780000 2.085000 ;
+      RECT 5.570000  2.085000 5.740000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.800000  0.895000 6.150000 1.015000 ;
+      RECT 5.910000  1.575000 7.880000 1.745000 ;
+      RECT 5.920000  2.255000 6.340000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.540000  2.085000 6.780000 2.375000 ;
+      RECT 6.690000  0.085000 7.330000 0.565000 ;
+      RECT 7.010000  1.945000 7.340000 2.635000 ;
+      RECT 7.140000  1.175000 7.490000 1.235000 ;
+      RECT 7.510000  1.745000 7.880000 1.765000 ;
+      RECT 7.510000  1.765000 7.680000 2.375000 ;
+      RECT 7.530000  0.350000 7.880000 0.680000 ;
+      RECT 7.690000  0.680000 7.880000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.220000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.470000  1.495000 8.640000 2.635000 ;
+      RECT 9.305000  0.085000 9.575000 0.595000 ;
+      RECT 9.310000  1.785000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.785000 0.775000 1.955000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  0.765000 1.235000 0.935000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  0.765000 3.075000 0.935000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.105000 5.395000 1.275000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.755000 0.835000 1.800000 ;
+      RECT 0.545000 1.800000 5.435000 1.940000 ;
+      RECT 0.545000 1.940000 0.835000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_2
+MACRO sky130_fd_sc_hd__dfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.320000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.925000 0.265000  9.170000 0.715000 ;
+        RECT  8.925000 0.715000 10.955000 0.885000 ;
+        RECT  8.925000 1.470000 10.955000 1.640000 ;
+        RECT  8.925000 1.640000  9.170000 2.465000 ;
+        RECT  9.765000 0.265000  9.935000 0.715000 ;
+        RECT  9.765000 1.640000  9.935000 2.465000 ;
+        RECT 10.605000 0.265000 10.955000 0.715000 ;
+        RECT 10.605000 1.640000 10.955000 2.465000 ;
+        RECT 10.725000 0.885000 10.955000 1.470000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.880000  0.725000  6.150000 0.895000 ;
+      RECT  4.880000  0.895000  5.050000 1.655000 ;
+      RECT  4.880000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.740000 2.415000 ;
+      RECT  5.220000  1.065000  5.400000 1.475000 ;
+      RECT  5.570000  1.235000  7.470000 1.405000 ;
+      RECT  5.570000  1.405000  5.740000 1.915000 ;
+      RECT  5.570000  1.915000  6.780000 2.085000 ;
+      RECT  5.570000  2.085000  5.740000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.910000  1.575000  7.850000 1.745000 ;
+      RECT  5.920000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.850000 0.680000 ;
+      RECT  7.510000  1.745000  7.850000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.850000 1.575000 ;
+      RECT  7.950000  1.915000  8.280000 2.425000 ;
+      RECT  8.030000  0.345000  8.280000 1.055000 ;
+      RECT  8.030000  1.055000 10.555000 1.275000 ;
+      RECT  8.030000  1.275000  8.280000 1.915000 ;
+      RECT  8.460000  0.085000  8.745000 0.545000 ;
+      RECT  8.460000  1.835000  8.745000 2.635000 ;
+      RECT  9.340000  0.085000  9.595000 0.545000 ;
+      RECT  9.340000  1.810000  9.595000 2.635000 ;
+      RECT 10.105000  0.085000 10.435000 0.545000 ;
+      RECT 10.105000  1.810000 10.435000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.225000  1.105000  5.395000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 5.435000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_4
+MACRO sky130_fd_sc_hd__dfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.945000 0.265000 9.200000 0.795000 ;
+        RECT 8.945000 1.655000 9.200000 2.325000 ;
+        RECT 9.020000 0.795000 9.200000 1.655000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.850000 0.765000 4.020000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.680000 0.735000 7.340000 1.005000 ;
+        RECT 6.680000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.110000 0.765000 7.280000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.790000 0.735000 4.080000 0.780000 ;
+        RECT 3.790000 0.780000 7.340000 0.920000 ;
+        RECT 3.790000 0.920000 4.080000 0.965000 ;
+        RECT 7.050000 0.735000 7.340000 0.780000 ;
+        RECT 7.050000 0.920000 7.340000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.730000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.730000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.730000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.900000  0.725000 6.150000 0.895000 ;
+      RECT 4.900000  0.895000 5.070000 1.655000 ;
+      RECT 4.900000  1.655000 5.420000 1.965000 ;
+      RECT 5.130000  2.165000 5.760000 2.415000 ;
+      RECT 5.240000  1.065000 5.420000 1.475000 ;
+      RECT 5.590000  1.235000 7.490000 1.405000 ;
+      RECT 5.590000  1.405000 5.760000 1.915000 ;
+      RECT 5.590000  1.915000 6.800000 2.085000 ;
+      RECT 5.590000  2.085000 5.760000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.820000  0.895000 6.150000 1.015000 ;
+      RECT 5.930000  1.575000 7.850000 1.745000 ;
+      RECT 5.940000  2.255000 6.360000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.560000  2.085000 6.800000 2.375000 ;
+      RECT 6.690000  0.085000 7.350000 0.565000 ;
+      RECT 7.030000  1.945000 7.360000 2.635000 ;
+      RECT 7.160000  1.175000 7.490000 1.235000 ;
+      RECT 7.530000  0.350000 7.850000 0.680000 ;
+      RECT 7.530000  1.745000 7.850000 1.765000 ;
+      RECT 7.530000  1.765000 7.700000 2.375000 ;
+      RECT 7.660000  0.680000 7.850000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.300000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.480000  0.085000 8.765000 0.545000 ;
+      RECT 8.480000  1.835000 8.765000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  0.765000 3.100000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.250000  1.105000 5.420000 1.275000 ;
+      RECT 5.250000  1.785000 5.420000 1.955000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 5.480000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.160000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 0.735000 3.160000 0.780000 ;
+      RECT 2.870000 0.920000 3.160000 0.965000 ;
+      RECT 2.945000 0.965000 3.160000 1.120000 ;
+      RECT 2.945000 1.120000 5.480000 1.260000 ;
+      RECT 5.190000 1.075000 5.480000 1.120000 ;
+      RECT 5.190000 1.260000 5.480000 1.305000 ;
+      RECT 5.190000 1.755000 5.480000 1.800000 ;
+      RECT 5.190000 1.940000 5.480000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfstp_1
+MACRO sky130_fd_sc_hd__o211ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.395000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+        RECT 0.605000 1.325000 0.775000 2.250000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 0.995000 1.795000 1.325000 ;
+        RECT 1.470000 1.325000 1.795000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.075000 2.300000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.418250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.595000 1.275000 1.815000 ;
+        RECT 0.945000 1.815000 2.675000 2.045000 ;
+        RECT 0.945000 2.045000 1.275000 2.445000 ;
+        RECT 1.965000 0.255000 2.675000 0.845000 ;
+        RECT 1.975000 2.045000 2.675000 2.465000 ;
+        RECT 2.470000 0.845000 2.675000 1.815000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.455000 0.825000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.595000  0.085000 0.925000 0.445000 ;
+      RECT 1.125000  0.255000 1.455000 0.615000 ;
+      RECT 1.445000  2.275000 1.775000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_1
+MACRO sky130_fd_sc_hd__o211ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.505000 1.075000 4.455000 1.245000 ;
+        RECT 3.560000 1.245000 4.455000 1.295000 ;
+        RECT 4.115000 0.765000 4.455000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 1.075000 3.335000 1.355000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.905000 1.365000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.375000 1.970000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.022000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.670000 0.875000 1.540000 ;
+        RECT 0.545000 1.540000 3.155000 1.710000 ;
+        RECT 0.545000 1.710000 0.805000 2.465000 ;
+        RECT 1.475000 1.710000 1.665000 2.465000 ;
+        RECT 2.825000 1.710000 3.155000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 2.165000 0.445000 ;
+      RECT 0.115000  2.175000 0.375000 2.635000 ;
+      RECT 0.975000  1.915000 1.305000 2.635000 ;
+      RECT 1.045000  0.445000 2.165000 0.465000 ;
+      RECT 1.045000  0.465000 1.235000 0.890000 ;
+      RECT 1.405000  0.635000 3.945000 0.845000 ;
+      RECT 1.835000  1.915000 2.165000 2.635000 ;
+      RECT 2.395000  0.085000 2.725000 0.445000 ;
+      RECT 2.395000  2.100000 2.655000 2.295000 ;
+      RECT 2.395000  2.295000 3.515000 2.465000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.325000  1.525000 4.445000 1.695000 ;
+      RECT 3.325000  1.695000 3.515000 2.295000 ;
+      RECT 3.685000  1.865000 4.015000 2.635000 ;
+      RECT 3.755000  0.515000 3.945000 0.635000 ;
+      RECT 4.115000  0.085000 4.445000 0.445000 ;
+      RECT 4.185000  1.695000 4.445000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_2
+MACRO sky130_fd_sc_hd__o211ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.400000 1.075000 1.410000 1.330000 ;
+        RECT 0.965000 1.330000 1.410000 1.515000 ;
+        RECT 0.965000 1.515000 3.630000 1.685000 ;
+        RECT 3.350000 0.995000 3.630000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 3.180000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 0.995000 4.975000 1.410000 ;
+        RECT 4.260000 1.410000 4.975000 1.515000 ;
+        RECT 4.260000 1.515000 7.000000 1.685000 ;
+        RECT 6.830000 0.995000 7.000000 1.515000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.370000 1.075000 6.440000 1.345000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.001000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 1.855000 7.680000 2.025000 ;
+        RECT 1.805000 2.025000 3.470000 2.105000 ;
+        RECT 4.045000 2.025000 7.680000 2.105000 ;
+        RECT 5.280000 0.270000 6.735000 0.450000 ;
+        RECT 6.565000 0.450000 6.735000 0.655000 ;
+        RECT 6.565000 0.655000 7.350000 0.825000 ;
+        RECT 7.170000 0.825000 7.350000 1.340000 ;
+        RECT 7.170000 1.340000 7.680000 1.855000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.665000 0.385000 2.635000 ;
+      RECT 0.155000  0.535000 0.355000 0.625000 ;
+      RECT 0.155000  0.625000 1.240000 0.695000 ;
+      RECT 0.155000  0.695000 3.835000 0.795000 ;
+      RECT 0.155000  0.795000 3.130000 0.865000 ;
+      RECT 0.155000  0.865000 1.795000 0.905000 ;
+      RECT 0.525000  0.085000 0.855000 0.445000 ;
+      RECT 0.555000  1.860000 0.775000 1.935000 ;
+      RECT 0.555000  1.935000 1.635000 2.105000 ;
+      RECT 0.555000  2.105000 0.775000 2.190000 ;
+      RECT 0.955000  2.275000 1.285000 2.635000 ;
+      RECT 1.025000  0.425000 1.240000 0.625000 ;
+      RECT 1.455000  2.105000 1.635000 2.275000 ;
+      RECT 1.455000  2.275000 3.435000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.525000 ;
+      RECT 1.775000  0.625000 3.835000 0.695000 ;
+      RECT 2.245000  0.085000 2.575000 0.445000 ;
+      RECT 3.105000  0.085000 3.435000 0.445000 ;
+      RECT 3.605000  0.255000 4.920000 0.455000 ;
+      RECT 3.605000  0.455000 3.835000 0.625000 ;
+      RECT 3.615000  2.195000 3.885000 2.635000 ;
+      RECT 4.005000  0.635000 6.170000 0.815000 ;
+      RECT 4.435000  2.275000 4.765000 2.635000 ;
+      RECT 5.280000  2.275000 5.610000 2.635000 ;
+      RECT 6.120000  2.275000 6.455000 2.635000 ;
+      RECT 6.980000  0.310000 7.680000 0.480000 ;
+      RECT 7.355000  2.275000 7.685000 2.635000 ;
+      RECT 7.510000  0.480000 7.680000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.425000 1.240000 0.595000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.510000  0.425000 7.680000 0.595000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.395000 1.300000 0.440000 ;
+      RECT 1.010000 0.440000 7.740000 0.580000 ;
+      RECT 1.010000 0.580000 1.300000 0.625000 ;
+      RECT 7.450000 0.395000 7.740000 0.440000 ;
+      RECT 7.450000 0.580000 7.740000 0.625000 ;
+  END
+END sky130_fd_sc_hd__o211ai_4
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  16.56000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.315000 0.995000 ;
+        RECT 0.085000 0.995000 0.665000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.450000 1.075000 15.650000 1.285000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  4.968000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  2.925000 0.255000  3.255000 0.725000 ;
+        RECT  2.925000 0.725000 16.475000 0.905000 ;
+        RECT  3.765000 0.255000  4.095000 0.725000 ;
+        RECT  4.605000 0.255000  4.935000 0.725000 ;
+        RECT  5.445000 0.255000  5.775000 0.725000 ;
+        RECT  6.285000 0.255000  6.615000 0.725000 ;
+        RECT  7.125000 0.255000  7.455000 0.725000 ;
+        RECT  7.965000 0.255000  8.295000 0.725000 ;
+        RECT  8.805000 0.255000  9.135000 0.725000 ;
+        RECT  9.645000 0.255000  9.975000 0.725000 ;
+        RECT  9.685000 1.455000 16.475000 1.625000 ;
+        RECT  9.685000 1.625000  9.935000 2.125000 ;
+        RECT 10.485000 0.255000 10.815000 0.725000 ;
+        RECT 10.525000 1.625000 10.775000 2.125000 ;
+        RECT 11.325000 0.255000 11.655000 0.725000 ;
+        RECT 11.365000 1.625000 11.615000 2.125000 ;
+        RECT 12.165000 0.255000 12.495000 0.725000 ;
+        RECT 12.205000 1.625000 12.455000 2.125000 ;
+        RECT 13.005000 0.255000 13.335000 0.725000 ;
+        RECT 13.045000 1.625000 13.295000 2.125000 ;
+        RECT 13.845000 0.255000 14.175000 0.725000 ;
+        RECT 13.885000 1.625000 14.135000 2.125000 ;
+        RECT 14.685000 0.255000 15.015000 0.725000 ;
+        RECT 14.725000 1.625000 14.975000 2.125000 ;
+        RECT 15.525000 0.255000 15.855000 0.725000 ;
+        RECT 15.565000 1.625000 15.815000 2.125000 ;
+        RECT 15.820000 0.905000 16.475000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 16.560000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 16.750000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 16.560000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 16.560000 0.085000 ;
+      RECT  0.000000  2.635000 16.560000 2.805000 ;
+      RECT  0.300000  1.495000  0.515000 2.635000 ;
+      RECT  0.485000  0.085000  0.815000 0.825000 ;
+      RECT  0.685000  1.495000  1.015000 2.465000 ;
+      RECT  0.835000  1.065000  2.035000 1.075000 ;
+      RECT  0.835000  1.075000  9.280000 1.285000 ;
+      RECT  0.835000  1.285000  1.015000 1.495000 ;
+      RECT  0.985000  0.255000  1.195000 1.065000 ;
+      RECT  1.185000  1.455000  1.355000 2.635000 ;
+      RECT  1.365000  0.085000  1.615000 0.895000 ;
+      RECT  1.525000  1.285000  1.855000 2.465000 ;
+      RECT  1.785000  0.255000  2.035000 1.065000 ;
+      RECT  2.025000  1.455000  2.270000 2.635000 ;
+      RECT  2.205000  0.085000  2.755000 0.905000 ;
+      RECT  2.475000  1.455000  9.515000 1.665000 ;
+      RECT  2.475000  1.665000  2.795000 2.465000 ;
+      RECT  2.965000  1.835000  3.215000 2.635000 ;
+      RECT  3.385000  1.665000  3.635000 2.465000 ;
+      RECT  3.425000  0.085000  3.595000 0.555000 ;
+      RECT  3.805000  1.835000  4.055000 2.635000 ;
+      RECT  4.225000  1.665000  4.475000 2.465000 ;
+      RECT  4.265000  0.085000  4.435000 0.555000 ;
+      RECT  4.645000  1.835000  4.895000 2.635000 ;
+      RECT  5.065000  1.665000  5.315000 2.465000 ;
+      RECT  5.105000  0.085000  5.275000 0.555000 ;
+      RECT  5.485000  1.835000  5.735000 2.635000 ;
+      RECT  5.905000  1.665000  6.155000 2.465000 ;
+      RECT  5.945000  0.085000  6.115000 0.555000 ;
+      RECT  6.325000  1.835000  6.575000 2.635000 ;
+      RECT  6.745000  1.665000  6.995000 2.465000 ;
+      RECT  6.785000  0.085000  6.955000 0.555000 ;
+      RECT  7.165000  1.835000  7.415000 2.635000 ;
+      RECT  7.585000  1.665000  7.835000 2.465000 ;
+      RECT  7.625000  0.085000  7.795000 0.555000 ;
+      RECT  8.005000  1.835000  8.255000 2.635000 ;
+      RECT  8.425000  1.665000  8.675000 2.465000 ;
+      RECT  8.465000  0.085000  8.635000 0.555000 ;
+      RECT  8.845000  1.835000  9.095000 2.635000 ;
+      RECT  9.265000  1.665000  9.515000 2.295000 ;
+      RECT  9.265000  2.295000 16.235000 2.465000 ;
+      RECT  9.305000  0.085000  9.475000 0.555000 ;
+      RECT 10.105000  1.795000 10.355000 2.295000 ;
+      RECT 10.145000  0.085000 10.315000 0.555000 ;
+      RECT 10.945000  1.795000 11.195000 2.295000 ;
+      RECT 10.985000  0.085000 11.155000 0.555000 ;
+      RECT 11.785000  1.795000 12.035000 2.295000 ;
+      RECT 11.825000  0.085000 11.995000 0.555000 ;
+      RECT 12.625000  1.795000 12.875000 2.295000 ;
+      RECT 12.665000  0.085000 12.835000 0.555000 ;
+      RECT 13.465000  1.795000 13.715000 2.295000 ;
+      RECT 13.505000  0.085000 13.675000 0.555000 ;
+      RECT 14.305000  1.795000 14.555000 2.295000 ;
+      RECT 14.345000  0.085000 14.515000 0.555000 ;
+      RECT 15.145000  1.795000 15.395000 2.295000 ;
+      RECT 15.185000  0.085000 15.355000 0.555000 ;
+      RECT 15.985000  1.795000 16.235000 2.295000 ;
+      RECT 16.025000  0.085000 16.295000 0.555000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+      RECT 15.325000 -0.085000 15.495000 0.085000 ;
+      RECT 15.325000  2.635000 15.495000 2.805000 ;
+      RECT 15.785000 -0.085000 15.955000 0.085000 ;
+      RECT 15.785000  2.635000 15.955000 2.805000 ;
+      RECT 16.245000 -0.085000 16.415000 0.085000 ;
+      RECT 16.245000  2.635000 16.415000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_16
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_4
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.265000 1.065000 ;
+        RECT 0.085000 1.065000 0.575000 1.285000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.270000 1.075000 8.010000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.335000 0.725000 ;
+        RECT 2.005000 0.725000 8.655000 0.905000 ;
+        RECT 2.845000 0.255000 3.175000 0.725000 ;
+        RECT 3.685000 0.255000 4.015000 0.725000 ;
+        RECT 4.525000 0.255000 4.855000 0.725000 ;
+        RECT 5.365000 0.255000 5.695000 0.725000 ;
+        RECT 5.405000 1.445000 8.655000 1.615000 ;
+        RECT 5.405000 1.615000 5.655000 2.125000 ;
+        RECT 6.205000 0.255000 6.535000 0.725000 ;
+        RECT 6.245000 1.615000 6.495000 2.125000 ;
+        RECT 7.045000 0.255000 7.375000 0.725000 ;
+        RECT 7.085000 1.615000 7.335000 2.125000 ;
+        RECT 7.885000 0.255000 8.215000 0.725000 ;
+        RECT 7.925000 1.615000 8.175000 2.125000 ;
+        RECT 8.180000 0.905000 8.655000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.195000  1.455000 0.415000 2.635000 ;
+      RECT 0.435000  0.085000 0.655000 0.895000 ;
+      RECT 0.585000  1.455000 0.915000 2.465000 ;
+      RECT 0.745000  1.065000 1.155000 1.075000 ;
+      RECT 0.745000  1.075000 5.000000 1.285000 ;
+      RECT 0.745000  1.285000 0.915000 1.455000 ;
+      RECT 0.825000  0.255000 1.155000 1.065000 ;
+      RECT 1.085000  1.455000 1.330000 2.635000 ;
+      RECT 1.325000  0.085000 1.835000 0.905000 ;
+      RECT 1.555000  1.455000 5.235000 1.665000 ;
+      RECT 1.555000  1.665000 1.875000 2.465000 ;
+      RECT 2.045000  1.835000 2.295000 2.635000 ;
+      RECT 2.465000  1.665000 2.715000 2.465000 ;
+      RECT 2.505000  0.085000 2.675000 0.555000 ;
+      RECT 2.885000  1.835000 3.135000 2.635000 ;
+      RECT 3.305000  1.665000 3.555000 2.465000 ;
+      RECT 3.345000  0.085000 3.515000 0.555000 ;
+      RECT 3.725000  1.835000 3.975000 2.635000 ;
+      RECT 4.145000  1.665000 4.395000 2.465000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.565000  1.835000 4.815000 2.635000 ;
+      RECT 4.985000  1.665000 5.235000 2.295000 ;
+      RECT 4.985000  2.295000 8.595000 2.465000 ;
+      RECT 5.025000  0.085000 5.195000 0.555000 ;
+      RECT 5.825000  1.785000 6.075000 2.295000 ;
+      RECT 5.865000  0.085000 6.035000 0.555000 ;
+      RECT 6.665000  1.785000 6.915000 2.295000 ;
+      RECT 6.705000  0.085000 6.875000 0.555000 ;
+      RECT 7.505000  1.785000 7.755000 2.295000 ;
+      RECT 7.545000  0.085000 7.715000 0.555000 ;
+      RECT 8.345000  1.785000 8.595000 2.295000 ;
+      RECT 8.385000  0.085000 8.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_8
+MACRO sky130_fd_sc_hd__o22a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 5.270000 1.955000 ;
+      RECT 1.900000  2.125000 2.670000 2.635000 ;
+      RECT 1.940000  0.085000 2.110000 0.555000 ;
+      RECT 1.940000  0.735000 3.970000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.380000  0.255000 4.470000 0.475000 ;
+      RECT 2.415000  0.645000 3.970000 0.735000 ;
+      RECT 2.840000  2.125000 3.090000 2.295000 ;
+      RECT 2.840000  2.295000 3.930000 2.465000 ;
+      RECT 3.260000  1.955000 3.510000 2.125000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 4.100000  2.125000 4.430000 2.635000 ;
+      RECT 4.140000  0.475000 4.470000 0.735000 ;
+      RECT 4.140000  0.735000 6.150000 0.905000 ;
+      RECT 4.600000  2.125000 4.850000 2.295000 ;
+      RECT 4.600000  2.295000 5.690000 2.465000 ;
+      RECT 4.640000  0.085000 4.810000 0.555000 ;
+      RECT 4.980000  0.255000 5.310000 0.725000 ;
+      RECT 4.980000  0.725000 6.150000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.125000 ;
+      RECT 5.440000  1.785000 5.690000 2.295000 ;
+      RECT 5.480000  0.085000 5.650000 0.555000 ;
+      RECT 5.820000  0.255000 6.150000 0.725000 ;
+      RECT 5.905000  1.455000 6.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_4
+MACRO sky130_fd_sc_hd__o22a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.670000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.495000 1.325000 ;
+        RECT 2.315000 1.325000 2.495000 1.445000 ;
+        RECT 2.315000 1.445000 2.645000 1.615000 ;
+        RECT 2.445000 1.615000 2.645000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.335000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.075000 1.995000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.535000  0.715000 1.785000 0.895000 ;
+      RECT 0.535000  0.895000 0.810000 1.495000 ;
+      RECT 0.535000  1.495000 2.145000 1.705000 ;
+      RECT 0.555000  1.875000 1.340000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 1.035000  0.295000 2.285000 0.475000 ;
+      RECT 1.420000  0.645000 1.785000 0.715000 ;
+      RECT 1.735000  1.705000 2.145000 1.805000 ;
+      RECT 1.735000  1.805000 2.120000 2.465000 ;
+      RECT 1.955000  0.475000 2.285000 0.695000 ;
+      RECT 1.955000  0.695000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.815000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_1
+MACRO sky130_fd_sc_hd__o22a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.075000 3.590000 1.275000 ;
+        RECT 3.270000 1.275000 3.590000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 2.925000 1.325000 ;
+        RECT 2.745000 1.325000 2.925000 1.445000 ;
+        RECT 2.745000 1.445000 3.100000 1.615000 ;
+        RECT 2.900000 1.615000 3.100000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.790000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.425000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.365000 0.805000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.085000 0.300000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.115000  1.445000 0.365000 2.635000 ;
+      RECT 0.185000  0.085000 0.355000 0.885000 ;
+      RECT 0.975000  0.715000 2.215000 0.895000 ;
+      RECT 0.975000  0.895000 1.255000 1.495000 ;
+      RECT 0.975000  1.495000 2.575000 1.705000 ;
+      RECT 0.995000  1.875000 1.795000 2.635000 ;
+      RECT 1.025000  0.085000 1.205000 0.545000 ;
+      RECT 1.465000  0.295000 2.730000 0.475000 ;
+      RECT 1.850000  0.645000 2.215000 0.715000 ;
+      RECT 2.190000  1.705000 2.575000 2.465000 ;
+      RECT 2.390000  0.475000 2.730000 0.695000 ;
+      RECT 2.390000  0.695000 3.590000 0.825000 ;
+      RECT 2.560000  0.825000 3.590000 0.865000 ;
+      RECT 2.915000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.280000 3.590000 0.695000 ;
+      RECT 3.270000  1.795000 3.590000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_2
+MACRO sky130_fd_sc_hd__nor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.075000 1.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.775000 1.665000 ;
+        RECT 0.095000 1.665000 0.425000 2.450000 ;
+        RECT 0.515000 0.255000 0.845000 0.895000 ;
+        RECT 0.605000 0.895000 0.775000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.895000 ;
+      RECT 0.955000  1.495000 1.285000 2.635000 ;
+      RECT 1.015000  0.085000 1.285000 0.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_1
+MACRO sky130_fd_sc_hd__nor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.120000 1.075000 3.485000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.055000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 1.445000 4.055000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+        RECT 3.655000 0.905000 4.055000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.890000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.475000  1.915000 3.890000 2.295000 ;
+      RECT 3.555000  0.085000 3.840000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_4
+MACRO sky130_fd_sc_hd__nor2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 3.530000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 1.075000 6.540000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.275000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 3.935000 1.445000 7.275000 1.615000 ;
+        RECT 3.935000 1.615000 4.185000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 4.775000 1.615000 5.025000 2.125000 ;
+        RECT 5.575000 0.255000 5.905000 0.725000 ;
+        RECT 5.615000 1.615000 5.865000 2.125000 ;
+        RECT 6.415000 0.255000 6.745000 0.725000 ;
+        RECT 6.455000 1.615000 6.705000 2.125000 ;
+        RECT 6.710000 0.905000 7.275000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 3.765000 1.665000 ;
+      RECT 0.090000  1.665000 0.405000 2.465000 ;
+      RECT 0.575000  1.835000 0.825000 2.635000 ;
+      RECT 0.995000  1.665000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.835000 1.665000 2.635000 ;
+      RECT 1.835000  1.665000 2.085000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.835000 2.505000 2.635000 ;
+      RECT 2.675000  1.665000 2.925000 2.465000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.835000 3.345000 2.635000 ;
+      RECT 3.515000  1.665000 3.765000 2.295000 ;
+      RECT 3.515000  2.295000 7.125000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 4.355000  1.785000 4.605000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 5.195000  1.785000 5.445000 2.295000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+      RECT 6.035000  1.785000 6.285000 2.295000 ;
+      RECT 6.075000  0.085000 6.245000 0.555000 ;
+      RECT 6.875000  1.785000 7.125000 2.295000 ;
+      RECT 6.915000  0.085000 7.205000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_8
+MACRO sky130_fd_sc_hd__nor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.810000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.750000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.735000 ;
+        RECT 0.535000 0.735000 2.135000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.375000 1.445000 2.135000 1.665000 ;
+        RECT 1.375000 1.665000 1.705000 2.125000 ;
+        RECT 1.920000 0.905000 2.135000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 1.205000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.175000 2.465000 ;
+      RECT 1.875000  0.085000 2.165000 0.555000 ;
+      RECT 1.875000  1.835000 2.175000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_2
+MACRO sky130_fd_sc_hd__a211o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.995000 2.060000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.995000 1.305000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.125000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.265000 0.425000 1.685000 ;
+        RECT 0.090000 1.685000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.525000  1.915000 0.855000 2.635000 ;
+      RECT 0.600000  0.625000 3.085000 0.815000 ;
+      RECT 0.600000  0.815000 0.825000 1.505000 ;
+      RECT 0.600000  1.505000 3.095000 1.685000 ;
+      RECT 0.605000  0.085000 1.350000 0.455000 ;
+      RECT 1.045000  1.865000 2.235000 2.095000 ;
+      RECT 1.045000  2.095000 1.305000 2.455000 ;
+      RECT 1.475000  2.265000 1.805000 2.635000 ;
+      RECT 1.915000  0.265000 2.170000 0.625000 ;
+      RECT 1.975000  2.095000 2.235000 2.455000 ;
+      RECT 2.350000  0.085000 2.680000 0.455000 ;
+      RECT 2.805000  1.685000 3.095000 2.455000 ;
+      RECT 2.860000  0.265000 3.085000 0.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_1
+MACRO sky130_fd_sc_hd__a211o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.035000 1.020000 5.380000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.495000 1.020000 4.825000 1.510000 ;
+        RECT 4.495000 1.510000 5.845000 1.700000 ;
+        RECT 5.635000 1.020000 6.225000 1.320000 ;
+        RECT 5.635000 1.320000 5.845000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.985000 2.805000 1.325000 ;
+        RECT 2.625000 1.325000 2.805000 1.445000 ;
+        RECT 2.625000 1.445000 4.175000 1.700000 ;
+        RECT 3.845000 0.985000 4.175000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.975000 0.985000 3.645000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.933750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 2.025000 0.875000 ;
+        RECT 0.085000 0.875000 0.340000 1.495000 ;
+        RECT 0.085000 1.495000 1.640000 1.705000 ;
+        RECT 0.595000 1.705000 0.780000 2.465000 ;
+        RECT 0.985000 0.255000 1.175000 0.615000 ;
+        RECT 0.985000 0.615000 2.025000 0.635000 ;
+        RECT 1.450000 1.705000 1.640000 2.465000 ;
+        RECT 1.845000 0.255000 2.025000 0.615000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  1.875000 0.425000 2.635000 ;
+      RECT 0.485000  0.085000 0.815000 0.465000 ;
+      RECT 0.525000  1.045000 2.370000 1.325000 ;
+      RECT 0.950000  1.875000 1.280000 2.635000 ;
+      RECT 1.345000  0.085000 1.675000 0.445000 ;
+      RECT 1.810000  1.835000 2.060000 2.635000 ;
+      RECT 2.185000  1.325000 2.370000 1.505000 ;
+      RECT 2.185000  1.505000 2.455000 1.675000 ;
+      RECT 2.195000  0.615000 5.490000 0.805000 ;
+      RECT 2.195000  0.805000 2.370000 1.045000 ;
+      RECT 2.220000  0.085000 2.555000 0.445000 ;
+      RECT 2.280000  1.675000 2.455000 1.870000 ;
+      RECT 2.280000  1.870000 3.510000 2.040000 ;
+      RECT 2.320000  2.210000 4.450000 2.465000 ;
+      RECT 2.725000  0.255000 2.970000 0.615000 ;
+      RECT 3.140000  0.085000 3.470000 0.445000 ;
+      RECT 3.640000  0.255000 4.020000 0.615000 ;
+      RECT 4.120000  1.880000 6.345000 2.105000 ;
+      RECT 4.120000  2.105000 4.450000 2.210000 ;
+      RECT 4.190000  0.085000 4.560000 0.445000 ;
+      RECT 4.620000  2.275000 4.950000 2.635000 ;
+      RECT 5.160000  0.275000 5.490000 0.615000 ;
+      RECT 5.160000  2.105000 5.420000 2.465000 ;
+      RECT 5.590000  2.275000 5.920000 2.635000 ;
+      RECT 6.015000  0.085000 6.345000 0.805000 ;
+      RECT 6.015000  1.535000 6.345000 1.880000 ;
+      RECT 6.090000  2.105000 6.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_4
+MACRO sky130_fd_sc_hd__a211o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.045000 2.450000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.045000 1.810000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.045000 3.070000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.045000 3.595000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.452000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.255000 0.775000 0.635000 ;
+        RECT 0.555000 0.635000 0.785000 2.335000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.385000 0.905000 ;
+      RECT 0.090000  1.490000 0.385000 2.635000 ;
+      RECT 0.945000  0.085000 1.795000 0.445000 ;
+      RECT 1.000000  0.695000 3.585000 0.875000 ;
+      RECT 1.000000  0.875000 1.310000 1.490000 ;
+      RECT 1.000000  1.490000 3.585000 1.660000 ;
+      RECT 1.000000  1.830000 1.255000 2.635000 ;
+      RECT 1.455000  1.840000 2.795000 2.020000 ;
+      RECT 1.455000  2.020000 1.785000 2.465000 ;
+      RECT 1.955000  2.190000 2.230000 2.635000 ;
+      RECT 2.275000  0.275000 2.605000 0.695000 ;
+      RECT 2.465000  2.020000 2.795000 2.465000 ;
+      RECT 2.810000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.275000 3.585000 0.695000 ;
+      RECT 3.255000  1.660000 3.585000 2.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_2
+MACRO sky130_fd_sc_hd__xor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 0.875000 1.275000 ;
+        RECT 0.705000 1.275000 0.875000 1.445000 ;
+        RECT 0.705000 1.445000 1.880000 1.615000 ;
+        RECT 1.710000 1.075000 3.230000 1.275000 ;
+        RECT 1.710000 1.275000 1.880000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.540000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.105000 1.235000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.420000 1.075000 4.090000 1.275000 ;
+      LAYER mcon ;
+        RECT 3.825000 1.105000 3.995000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.005000 1.075000 1.295000 1.120000 ;
+        RECT 1.005000 1.120000 4.055000 1.260000 ;
+        RECT 1.005000 1.260000 1.295000 1.305000 ;
+        RECT 3.765000 1.075000 4.055000 1.120000 ;
+        RECT 3.765000 1.260000 4.055000 1.305000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.656750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.625000 0.645000 3.955000 0.725000 ;
+        RECT 3.625000 0.725000 5.895000 0.905000 ;
+        RECT 4.985000 0.645000 5.315000 0.725000 ;
+        RECT 5.025000 1.415000 5.895000 1.625000 ;
+        RECT 5.025000 1.625000 5.275000 2.125000 ;
+        RECT 5.485000 0.905000 5.895000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.725000 1.700000 0.905000 ;
+      RECT 0.120000  0.905000 0.290000 1.785000 ;
+      RECT 0.120000  1.785000 2.220000 1.955000 ;
+      RECT 0.120000  2.135000 0.400000 2.465000 ;
+      RECT 0.145000  2.125000 0.315000 2.135000 ;
+      RECT 0.190000  0.085000 0.360000 0.555000 ;
+      RECT 0.530000  0.255000 0.860000 0.725000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  2.135000 1.240000 2.295000 ;
+      RECT 0.990000  2.295000 2.080000 2.465000 ;
+      RECT 1.030000  0.085000 1.200000 0.555000 ;
+      RECT 1.065000  2.125000 1.235000 2.135000 ;
+      RECT 1.370000  0.255000 1.700000 0.725000 ;
+      RECT 1.410000  1.955000 1.660000 2.125000 ;
+      RECT 1.830000  2.135000 2.080000 2.295000 ;
+      RECT 1.870000  0.085000 2.040000 0.555000 ;
+      RECT 2.050000  1.445000 4.785000 1.615000 ;
+      RECT 2.050000  1.615000 2.220000 1.785000 ;
+      RECT 2.285000  2.125000 2.600000 2.465000 ;
+      RECT 2.310000  0.255000 2.640000 0.725000 ;
+      RECT 2.310000  0.725000 3.400000 0.905000 ;
+      RECT 2.390000  1.785000 4.855000 1.955000 ;
+      RECT 2.390000  1.955000 2.600000 2.125000 ;
+      RECT 2.770000  2.135000 3.020000 2.635000 ;
+      RECT 2.810000  0.085000 2.980000 0.555000 ;
+      RECT 3.150000  0.255000 4.380000 0.475000 ;
+      RECT 3.150000  0.475000 3.400000 0.725000 ;
+      RECT 3.190000  1.955000 3.440000 2.465000 ;
+      RECT 3.610000  2.135000 3.915000 2.635000 ;
+      RECT 4.085000  1.955000 4.855000 2.295000 ;
+      RECT 4.085000  2.295000 5.695000 2.465000 ;
+      RECT 4.615000  1.075000 5.275000 1.245000 ;
+      RECT 4.615000  1.245000 4.785000 1.445000 ;
+      RECT 4.645000  0.085000 4.815000 0.555000 ;
+      RECT 5.445000  1.795000 5.695000 2.295000 ;
+      RECT 5.485000  0.085000 5.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 2.095000 0.375000 2.140000 ;
+      RECT 0.085000 2.140000 1.295000 2.280000 ;
+      RECT 0.085000 2.280000 0.375000 2.325000 ;
+      RECT 1.005000 2.095000 1.295000 2.140000 ;
+      RECT 1.005000 2.280000 1.295000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xor2_2
+MACRO sky130_fd_sc_hd__xor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 1.075000 1.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.730000 1.615000 ;
+        RECT 1.560000 1.075000 1.935000 1.245000 ;
+        RECT 1.560000 1.245000 1.730000 1.445000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.800500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.720000 0.315000 2.675000 0.485000 ;
+        RECT 2.505000 0.485000 2.675000 1.365000 ;
+        RECT 2.505000 1.365000 3.135000 1.535000 ;
+        RECT 2.815000 1.535000 3.135000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.655000 2.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 0.465000 2.465000 ;
+      RECT 0.135000  0.085000 0.465000 0.475000 ;
+      RECT 0.635000  0.335000 0.805000 0.655000 ;
+      RECT 0.975000  0.085000 1.305000 0.475000 ;
+      RECT 1.055000  1.785000 1.225000 2.635000 ;
+      RECT 1.395000  1.785000 2.635000 1.955000 ;
+      RECT 1.395000  1.955000 1.725000 2.465000 ;
+      RECT 1.895000  2.125000 2.065000 2.635000 ;
+      RECT 2.105000  0.825000 2.335000 1.325000 ;
+      RECT 2.235000  1.955000 2.635000 2.465000 ;
+      RECT 2.845000  0.085000 3.135000 0.920000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xor2_1
+MACRO sky130_fd_sc_hd__xor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 2.800000 1.275000 ;
+        RECT 2.630000 1.275000 2.800000 1.445000 ;
+        RECT 2.630000 1.445000 6.165000 1.615000 ;
+        RECT 5.995000 1.075000 7.370000 1.275000 ;
+        RECT 5.995000 1.275000 6.165000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 5.000000 1.105000 ;
+        RECT 2.970000 1.105000 5.740000 1.275000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  1.524450 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 0.645000 5.580000 0.905000 ;
+        RECT 5.150000 0.905000 5.580000 0.935000 ;
+      LAYER mcon ;
+        RECT 5.205000 0.765000 5.375000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.850000 0.725000  8.630000 0.735000 ;
+        RECT 7.850000 0.735000 10.035000 0.905000 ;
+        RECT 7.850000 0.905000  8.305000 0.935000 ;
+        RECT 7.880000 1.445000 10.035000 1.625000 ;
+        RECT 7.880000 1.625000  9.010000 1.665000 ;
+        RECT 7.880000 1.665000  8.170000 2.125000 ;
+        RECT 8.300000 0.255000  8.630000 0.725000 ;
+        RECT 8.760000 1.665000  9.010000 2.125000 ;
+        RECT 9.140000 0.255000  9.470000 0.735000 ;
+        RECT 9.600000 1.625000 10.035000 2.465000 ;
+        RECT 9.735000 0.905000 10.035000 1.445000 ;
+      LAYER mcon ;
+        RECT 7.965000 0.765000 8.135000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.145000 0.735000 5.435000 0.780000 ;
+        RECT 5.145000 0.780000 8.195000 0.920000 ;
+        RECT 5.145000 0.920000 5.435000 0.965000 ;
+        RECT 7.905000 0.735000 8.195000 0.780000 ;
+        RECT 7.905000 0.920000 8.195000 0.965000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.085000  0.360000 0.565000 ;
+      RECT 0.085000  0.735000  3.380000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.445000 ;
+      RECT 0.085000  1.445000  2.420000 1.615000 ;
+      RECT 0.085000  1.785000  2.080000 2.005000 ;
+      RECT 0.085000  2.005000  0.400000 2.465000 ;
+      RECT 0.530000  0.255000  0.860000 0.725000 ;
+      RECT 0.530000  0.725000  3.380000 0.735000 ;
+      RECT 0.570000  2.175000  0.820000 2.635000 ;
+      RECT 0.990000  2.005000  1.240000 2.465000 ;
+      RECT 1.030000  0.085000  1.200000 0.555000 ;
+      RECT 1.370000  0.255000  1.700000 0.725000 ;
+      RECT 1.410000  2.175000  1.660000 2.635000 ;
+      RECT 1.830000  2.005000  2.080000 2.295000 ;
+      RECT 1.830000  2.295000  3.760000 2.465000 ;
+      RECT 1.870000  0.085000  2.040000 0.555000 ;
+      RECT 2.210000  0.255000  2.540000 0.725000 ;
+      RECT 2.250000  1.615000  2.420000 1.785000 ;
+      RECT 2.250000  1.785000  3.340000 1.955000 ;
+      RECT 2.250000  1.955000  2.500000 2.125000 ;
+      RECT 2.670000  2.125000  2.920000 2.295000 ;
+      RECT 2.710000  0.085000  2.880000 0.555000 ;
+      RECT 3.050000  0.255000  3.380000 0.725000 ;
+      RECT 3.090000  1.955000  3.340000 2.125000 ;
+      RECT 3.510000  1.795000  3.760000 2.295000 ;
+      RECT 3.550000  0.085000  3.820000 0.895000 ;
+      RECT 3.990000  0.255000  6.000000 0.475000 ;
+      RECT 4.030000  1.785000  7.640000 2.005000 ;
+      RECT 4.030000  2.005000  4.280000 2.465000 ;
+      RECT 4.450000  2.175000  4.700000 2.635000 ;
+      RECT 4.870000  2.005000  5.120000 2.465000 ;
+      RECT 5.290000  2.175000  5.540000 2.635000 ;
+      RECT 5.710000  2.005000  5.960000 2.465000 ;
+      RECT 5.750000  0.475000  6.000000 0.725000 ;
+      RECT 5.750000  0.725000  7.680000 0.905000 ;
+      RECT 6.130000  2.175000  6.380000 2.635000 ;
+      RECT 6.170000  0.085000  6.340000 0.555000 ;
+      RECT 6.510000  0.255000  6.840000 0.725000 ;
+      RECT 6.550000  1.455000  6.800000 1.785000 ;
+      RECT 6.550000  2.005000  6.800000 2.465000 ;
+      RECT 6.970000  2.175000  7.220000 2.635000 ;
+      RECT 7.010000  0.085000  7.180000 0.555000 ;
+      RECT 7.260000  1.445000  7.710000 1.615000 ;
+      RECT 7.350000  0.255000  7.680000 0.725000 ;
+      RECT 7.390000  2.005000  7.640000 2.295000 ;
+      RECT 7.390000  2.295000  9.430000 2.465000 ;
+      RECT 7.540000  1.105000  9.565000 1.275000 ;
+      RECT 7.540000  1.275000  7.710000 1.445000 ;
+      RECT 7.960000  0.085000  8.130000 0.555000 ;
+      RECT 8.340000  1.835000  8.590000 2.295000 ;
+      RECT 8.540000  1.075000  9.565000 1.105000 ;
+      RECT 8.800000  0.085000  8.970000 0.555000 ;
+      RECT 9.180000  1.795000  9.430000 2.295000 ;
+      RECT 9.640000  0.085000  9.810000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  1.445000 2.155000 1.615000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  1.445000 7.675000 1.615000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 1.415000 2.215000 1.460000 ;
+      RECT 1.925000 1.460000 7.735000 1.600000 ;
+      RECT 1.925000 1.600000 2.215000 1.645000 ;
+      RECT 7.445000 1.415000 7.735000 1.460000 ;
+      RECT 7.445000 1.600000 7.735000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xor2_4
+MACRO sky130_fd_sc_hd__sdfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.675000  1.785000  0.845000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.145000  1.105000  1.315000 1.275000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.615000 1.755000 0.915000 1.800000 ;
+      RECT 0.615000 1.800000 8.675000 1.940000 ;
+      RECT 0.615000 1.940000 0.915000 1.985000 ;
+      RECT 1.085000 1.075000 1.375000 1.120000 ;
+      RECT 1.085000 1.120000 8.635000 1.260000 ;
+      RECT 1.085000 1.260000 1.375000 1.305000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtn_1
+MACRO sky130_fd_sc_hd__fill_1
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.055000 0.260000 0.055000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_1
+MACRO sky130_fd_sc_hd__fill_8
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.120000 0.350000 0.050000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_8
+MACRO sky130_fd_sc_hd__fill_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.050000 0.315000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_2
+MACRO sky130_fd_sc_hd__fill_4
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.175000 -0.060000 0.285000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_4
+MACRO sky130_fd_sc_hd__einvp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.020000 4.975000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.637500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.635000 4.975000 0.850000 ;
+        RECT 3.190000 0.850000 3.570000 1.445000 ;
+        RECT 3.190000 1.445000 4.360000 1.615000 ;
+        RECT 3.190000 1.615000 3.520000 2.125000 ;
+        RECT 4.030000 1.615000 4.360000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 3.020000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 3.020000 0.825000 ;
+      RECT 1.075000  1.555000 2.995000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.555000 0.485000 ;
+      RECT 2.295000  1.895000 2.655000 2.635000 ;
+      RECT 2.735000  0.255000 4.975000 0.465000 ;
+      RECT 2.735000  0.465000 3.020000 0.655000 ;
+      RECT 2.825000  1.725000 2.995000 2.295000 ;
+      RECT 2.825000  2.295000 4.975000 2.465000 ;
+      RECT 3.690000  1.785000 3.860000 2.295000 ;
+      RECT 4.530000  1.445000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_4
+MACRO sky130_fd_sc_hd__einvp_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.420000 1.020000 8.195000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  1.027500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.635000 8.195000 0.850000 ;
+        RECT 4.870000 0.850000 5.250000 1.445000 ;
+        RECT 4.870000 1.445000 7.720000 1.615000 ;
+        RECT 4.870000 1.615000 5.200000 2.125000 ;
+        RECT 5.710000 1.615000 6.040000 2.125000 ;
+        RECT 6.550000 1.615000 6.880000 2.125000 ;
+        RECT 7.390000 1.615000 7.720000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 4.700000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 4.700000 0.825000 ;
+      RECT 1.075000  1.555000 4.700000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.545000 0.485000 ;
+      RECT 2.295000  1.895000 2.625000 2.635000 ;
+      RECT 2.715000  0.255000 2.885000 0.655000 ;
+      RECT 2.795000  1.725000 2.965000 2.465000 ;
+      RECT 3.055000  0.085000 3.385000 0.485000 ;
+      RECT 3.135000  1.895000 3.465000 2.635000 ;
+      RECT 3.555000  0.255000 3.725000 0.655000 ;
+      RECT 3.635000  1.725000 3.805000 2.465000 ;
+      RECT 3.895000  0.085000 4.235000 0.485000 ;
+      RECT 3.975000  1.895000 4.305000 2.635000 ;
+      RECT 4.405000  0.255000 8.195000 0.465000 ;
+      RECT 4.405000  0.465000 4.700000 0.655000 ;
+      RECT 4.475000  1.725000 4.700000 2.295000 ;
+      RECT 4.475000  2.295000 8.195000 2.465000 ;
+      RECT 5.370000  1.785000 5.540000 2.295000 ;
+      RECT 6.210000  1.785000 6.380000 2.295000 ;
+      RECT 7.050000  1.785000 7.220000 2.295000 ;
+      RECT 7.890000  1.445000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_8
+MACRO sky130_fd_sc_hd__einvp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.975000 2.215000 1.955000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.223500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.545000 1.725000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.620000 0.255000 2.215000 0.805000 ;
+        RECT 1.620000 0.805000 1.795000 2.125000 ;
+        RECT 1.620000 2.125000 2.215000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 1.450000 0.825000 ;
+      RECT 0.085000  1.895000 1.450000 2.065000 ;
+      RECT 0.085000  2.065000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 1.450000 0.485000 ;
+      RECT 0.515000  2.235000 1.450000 2.635000 ;
+      RECT 0.715000  0.825000 1.450000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_1
+MACRO sky130_fd_sc_hd__einvp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.850000 0.765000 3.135000 1.615000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.354000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.595000 2.680000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.875000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.875000 0.995000 ;
+      RECT 0.500000  0.995000 2.180000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.875000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.045000  0.255000 1.240000 0.655000 ;
+      RECT 1.045000  0.655000 2.180000 0.825000 ;
+      RECT 1.045000  1.555000 2.155000 1.725000 ;
+      RECT 1.045000  1.725000 1.285000 2.465000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.940000  0.255000 3.135000 0.425000 ;
+      RECT 1.940000  0.425000 2.180000 0.655000 ;
+      RECT 1.985000  1.725000 2.155000 2.295000 ;
+      RECT 1.985000  2.295000 3.135000 2.465000 ;
+      RECT 2.850000  0.425000 3.135000 0.595000 ;
+      RECT 2.850000  1.785000 3.135000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+MACRO sky130_fd_sc_hd__ebufn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 0.620000 1.305000 0.995000 ;
+        RECT 0.970000 0.995000 1.430000 1.325000 ;
+        RECT 0.970000 1.325000 1.305000 1.695000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.995000 1.445000 9.575000 1.725000 ;
+        RECT 6.275000 0.615000 9.575000 0.855000 ;
+        RECT 9.325000 0.855000 9.575000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.445000 0.825000 ;
+      RECT 0.085000  1.785000 0.445000 2.635000 ;
+      RECT 0.600000  0.995000 0.800000 1.615000 ;
+      RECT 0.615000  0.280000 0.800000 0.995000 ;
+      RECT 0.615000  1.615000 0.800000 2.465000 ;
+      RECT 0.970000  0.085000 1.305000 0.445000 ;
+      RECT 0.970000  1.865000 1.305000 2.635000 ;
+      RECT 1.475000  0.255000 1.985000 0.825000 ;
+      RECT 1.475000  1.495000 1.825000 2.465000 ;
+      RECT 1.600000  0.825000 1.985000 1.025000 ;
+      RECT 1.600000  1.025000 5.925000 1.275000 ;
+      RECT 1.600000  1.275000 1.825000 1.495000 ;
+      RECT 1.995000  1.895000 9.575000 2.065000 ;
+      RECT 1.995000  2.065000 2.245000 2.465000 ;
+      RECT 2.155000  0.255000 2.485000 0.655000 ;
+      RECT 2.155000  0.655000 6.105000 0.855000 ;
+      RECT 2.415000  2.235000 2.745000 2.635000 ;
+      RECT 2.655000  0.085000 2.985000 0.485000 ;
+      RECT 2.915000  2.065000 3.085000 2.465000 ;
+      RECT 3.155000  0.275000 3.325000 0.655000 ;
+      RECT 3.255000  2.235000 3.585000 2.635000 ;
+      RECT 3.495000  0.085000 3.825000 0.485000 ;
+      RECT 3.755000  2.065000 3.925000 2.465000 ;
+      RECT 3.995000  0.255000 4.165000 0.655000 ;
+      RECT 4.095000  2.235000 4.425000 2.635000 ;
+      RECT 4.335000  0.085000 4.665000 0.485000 ;
+      RECT 4.595000  2.065000 4.765000 2.465000 ;
+      RECT 4.835000  0.275000 5.005000 0.655000 ;
+      RECT 4.935000  2.235000 5.265000 2.635000 ;
+      RECT 5.175000  0.085000 5.505000 0.485000 ;
+      RECT 5.435000  2.065000 9.575000 2.465000 ;
+      RECT 5.675000  0.255000 9.575000 0.445000 ;
+      RECT 5.675000  0.445000 6.105000 0.655000 ;
+      RECT 6.175000  1.025000 9.155000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.105000 0.775000 1.275000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.580000  1.105000 6.750000 1.275000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.075000 0.835000 1.120000 ;
+      RECT 0.545000 1.120000 6.810000 1.260000 ;
+      RECT 0.545000 1.260000 0.835000 1.305000 ;
+      RECT 6.520000 1.075000 6.810000 1.120000 ;
+      RECT 6.520000 1.260000 6.810000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_8
+MACRO sky130_fd_sc_hd__ebufn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.275000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 1.445000 4.055000 1.625000 ;
+        RECT 1.905000 1.625000 3.625000 1.765000 ;
+        RECT 3.295000 0.635000 4.055000 0.855000 ;
+        RECT 3.295000 1.765000 3.625000 2.125000 ;
+        RECT 3.825000 0.855000 4.055000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 0.320000 1.845000 ;
+      RECT 0.085000  1.845000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.850000 0.595000 ;
+      RECT 0.515000  1.845000 0.950000 2.635000 ;
+      RECT 1.020000  0.255000 1.730000 0.595000 ;
+      RECT 1.120000  1.445000 1.735000 1.765000 ;
+      RECT 1.120000  1.765000 1.410000 2.465000 ;
+      RECT 1.450000  0.595000 1.730000 1.025000 ;
+      RECT 1.450000  1.025000 2.965000 1.275000 ;
+      RECT 1.450000  1.275000 1.735000 1.445000 ;
+      RECT 1.600000  1.935000 3.125000 2.105000 ;
+      RECT 1.600000  2.105000 1.810000 2.465000 ;
+      RECT 1.900000  0.255000 2.170000 0.655000 ;
+      RECT 1.900000  0.655000 3.125000 0.855000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.340000  0.085000 2.670000 0.485000 ;
+      RECT 2.480000  2.105000 3.125000 2.295000 ;
+      RECT 2.480000  2.295000 4.055000 2.465000 ;
+      RECT 2.840000  0.275000 4.050000 0.465000 ;
+      RECT 2.840000  0.465000 3.125000 0.655000 ;
+      RECT 3.245000  1.025000 3.655000 1.275000 ;
+      RECT 3.795000  1.795000 4.055000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.380000  1.105000 3.550000 1.275000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 3.610000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 3.320000 1.075000 3.610000 1.120000 ;
+      RECT 3.320000 1.260000 3.610000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_2
+MACRO sky130_fd_sc_hd__ebufn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.355000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 1.075000 1.240000 1.630000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.601000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 1.495000 3.595000 2.465000 ;
+        RECT 3.125000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 0.825000 3.595000 1.495000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.185000 0.825000 ;
+      RECT 0.085000  1.785000 0.740000 2.005000 ;
+      RECT 0.085000  2.005000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 0.525000  0.825000 0.740000 1.785000 ;
+      RECT 1.015000  0.255000 2.025000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.615000 ;
+      RECT 1.015000  1.800000 1.805000 2.005000 ;
+      RECT 1.015000  2.005000 1.270000 2.460000 ;
+      RECT 1.355000  0.635000 1.685000 0.885000 ;
+      RECT 1.410000  0.885000 1.685000 1.075000 ;
+      RECT 1.410000  1.075000 2.535000 1.325000 ;
+      RECT 1.410000  1.325000 1.805000 1.800000 ;
+      RECT 1.440000  2.175000 1.805000 2.635000 ;
+      RECT 1.855000  0.465000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 2.955000 0.905000 ;
+      RECT 2.195000  0.085000 2.955000 0.565000 ;
+      RECT 2.705000  0.905000 2.955000 0.995000 ;
+      RECT 2.705000  0.995000 3.085000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ebufn_1
+MACRO sky130_fd_sc_hd__ebufn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.425000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 1.445000 5.895000 1.725000 ;
+        RECT 4.145000 0.615000 5.895000 0.855000 ;
+        RECT 5.675000 0.855000 5.895000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.665000 ;
+      RECT 0.085000  0.665000 0.320000 1.765000 ;
+      RECT 0.085000  1.765000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.930000 0.595000 ;
+      RECT 0.515000  1.845000 0.930000 2.635000 ;
+      RECT 1.100000  0.255000 1.725000 0.595000 ;
+      RECT 1.100000  1.595000 1.725000 1.765000 ;
+      RECT 1.100000  1.765000 1.355000 2.465000 ;
+      RECT 1.450000  0.595000 1.725000 1.025000 ;
+      RECT 1.450000  1.025000 3.810000 1.275000 ;
+      RECT 1.450000  1.275000 1.725000 1.595000 ;
+      RECT 1.565000  1.935000 5.895000 2.105000 ;
+      RECT 1.565000  2.105000 1.810000 2.465000 ;
+      RECT 1.895000  0.255000 2.175000 0.655000 ;
+      RECT 1.895000  0.655000 3.975000 0.855000 ;
+      RECT 1.895000  1.895000 5.895000 1.935000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.345000  0.085000 2.675000 0.485000 ;
+      RECT 2.480000  2.105000 2.650000 2.465000 ;
+      RECT 2.820000  2.275000 3.150000 2.635000 ;
+      RECT 2.845000  0.275000 3.015000 0.655000 ;
+      RECT 3.185000  0.085000 3.515000 0.485000 ;
+      RECT 3.320000  2.105000 5.895000 2.465000 ;
+      RECT 3.685000  0.255000 5.735000 0.445000 ;
+      RECT 3.685000  0.445000 3.975000 0.655000 ;
+      RECT 3.980000  1.025000 5.505000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.105000 4.480000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 4.540000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 4.250000 1.075000 4.540000 1.120000 ;
+      RECT 4.250000 1.260000 4.540000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_4
+MACRO sky130_fd_sc_hd__dlxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 0.955000 1.685000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.255000 5.490000 0.820000 ;
+        RECT 5.140000 1.670000 5.490000 2.455000 ;
+        RECT 5.320000 0.820000 5.490000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.445000 6.815000 2.465000 ;
+        RECT 6.600000 0.825000 6.815000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.795000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.135000 0.785000 ;
+      RECT 1.855000  0.785000 2.135000 0.875000 ;
+      RECT 1.855000  0.875000 2.335000 1.235000 ;
+      RECT 1.855000  1.235000 2.115000 1.495000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.965000  1.835000 2.245000 2.635000 ;
+      RECT 2.465000  1.355000 2.795000 1.685000 ;
+      RECT 2.580000  0.705000 3.135000 1.065000 ;
+      RECT 2.750000  2.255000 3.610000 2.425000 ;
+      RECT 2.800000  0.365000 3.475000 0.535000 ;
+      RECT 2.965000  1.065000 3.135000 1.575000 ;
+      RECT 2.965000  1.575000 3.290000 1.910000 ;
+      RECT 2.965000  1.910000 3.195000 1.995000 ;
+      RECT 3.305000  0.535000 3.475000 0.995000 ;
+      RECT 3.305000  0.995000 4.175000 1.165000 ;
+      RECT 3.425000  2.035000 3.650000 2.065000 ;
+      RECT 3.425000  2.065000 3.630000 2.090000 ;
+      RECT 3.425000  2.090000 3.610000 2.255000 ;
+      RECT 3.430000  2.020000 3.650000 2.035000 ;
+      RECT 3.435000  2.010000 3.650000 2.020000 ;
+      RECT 3.440000  1.995000 3.650000 2.010000 ;
+      RECT 3.460000  1.165000 4.175000 1.325000 ;
+      RECT 3.460000  1.325000 3.650000 1.995000 ;
+      RECT 3.700000  0.085000 4.045000 0.530000 ;
+      RECT 3.780000  2.175000 3.980000 2.635000 ;
+      RECT 3.820000  1.535000 4.515000 1.865000 ;
+      RECT 4.285000  0.415000 4.550000 0.745000 ;
+      RECT 4.285000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.550000 0.995000 ;
+      RECT 4.345000  0.995000 5.150000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.685000  1.570000 4.970000 2.635000 ;
+      RECT 4.720000  0.085000 4.970000 0.715000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.430000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.555000  1.445000 2.725000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.965000  1.785000 3.135000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.785000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.195000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.495000 1.415000 2.785000 1.460000 ;
+      RECT 2.495000 1.600000 2.785000 1.645000 ;
+      RECT 2.905000 1.755000 3.195000 1.800000 ;
+      RECT 2.905000 1.940000 3.195000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxbp_1
+MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0n_1
+MACRO sky130_fd_sc_hd__or2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_1
+MACRO sky130_fd_sc_hd__or2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.995000 1.240000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.265000 1.770000 0.735000 ;
+        RECT 1.440000 0.735000 3.135000 0.905000 ;
+        RECT 1.440000 1.835000 2.610000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 2.280000 0.265000 2.610000 0.735000 ;
+        RECT 2.280000 1.495000 3.135000 1.665000 ;
+        RECT 2.280000 1.665000 2.610000 1.835000 ;
+        RECT 2.280000 2.005000 2.610000 2.465000 ;
+        RECT 2.790000 0.905000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 2.465000 ;
+      RECT 0.515000  0.290000 0.845000 0.825000 ;
+      RECT 0.515000  0.825000 0.695000 1.495000 ;
+      RECT 1.060000  0.085000 1.230000 0.825000 ;
+      RECT 1.060000  1.835000 1.230000 2.635000 ;
+      RECT 1.410000  1.075000 2.620000 1.245000 ;
+      RECT 1.410000  1.245000 1.615000 1.495000 ;
+      RECT 1.940000  0.085000 2.110000 0.565000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+      RECT 2.780000  0.085000 2.950000 0.565000 ;
+      RECT 2.780000  1.835000 2.950000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_4
+MACRO sky130_fd_sc_hd__or2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.335000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.500000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.326800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.525000 2.180000 0.825000 ;
+        RECT 1.645000 2.135000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 2.135000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.825000 ;
+      RECT 0.270000  1.785000 1.695000 1.955000 ;
+      RECT 0.270000  1.955000 0.660000 2.130000 ;
+      RECT 0.670000  0.425000 0.950000 0.825000 ;
+      RECT 0.670000  0.825000 0.840000 1.785000 ;
+      RECT 1.145000  2.125000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.825000 ;
+      RECT 1.525000  0.995000 1.695000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_0
+MACRO sky130_fd_sc_hd__or2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.835000 2.215000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 1.520000 0.385000 1.690000 0.655000 ;
+        RECT 1.520000 0.655000 2.215000 0.825000 ;
+        RECT 1.785000 0.825000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 1.840000 ;
+      RECT 0.515000  0.255000 0.805000 0.595000 ;
+      RECT 0.515000  0.595000 0.695000 1.495000 ;
+      RECT 1.035000  0.085000 1.350000 0.595000 ;
+      RECT 1.100000  1.835000 1.270000 2.635000 ;
+      RECT 1.445000  0.995000 1.615000 1.495000 ;
+      RECT 1.860000  0.085000 2.190000 0.485000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.555000 1.625000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 0.255000 3.550000 0.640000 ;
+        RECT 3.070000 1.485000 3.550000 2.465000 ;
+        RECT 3.355000 0.640000 3.550000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.720000 ;
+      RECT 0.085000  0.720000 1.060000 0.890000 ;
+      RECT 0.085000  1.795000 1.060000 1.965000 ;
+      RECT 0.085000  1.965000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.550000 ;
+      RECT 0.600000  2.135000 0.930000 2.635000 ;
+      RECT 0.890000  0.890000 1.060000 1.075000 ;
+      RECT 0.890000  1.075000 1.320000 1.245000 ;
+      RECT 0.890000  1.245000 1.060000 1.795000 ;
+      RECT 1.230000  1.785000 1.660000 2.465000 ;
+      RECT 1.280000  0.255000 1.660000 0.905000 ;
+      RECT 1.490000  0.905000 1.660000 1.075000 ;
+      RECT 1.490000  1.075000 2.415000 1.485000 ;
+      RECT 1.490000  1.485000 1.660000 1.785000 ;
+      RECT 1.830000  0.255000 2.100000 0.735000 ;
+      RECT 1.830000  0.735000 2.900000 0.905000 ;
+      RECT 1.830000  1.790000 2.900000 1.965000 ;
+      RECT 1.830000  1.965000 2.100000 2.465000 ;
+      RECT 2.550000  0.085000 2.880000 0.565000 ;
+      RECT 2.550000  2.135000 2.880000 2.635000 ;
+      RECT 2.730000  0.905000 2.900000 1.075000 ;
+      RECT 2.730000  1.075000 3.185000 1.245000 ;
+      RECT 2.730000  1.245000 2.900000 1.790000 ;
+      RECT 3.720000  0.085000 4.055000 0.645000 ;
+      RECT 3.720000  1.485000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.560000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.285000 3.595000 0.545000 ;
+        RECT 3.210000 1.760000 3.595000 2.465000 ;
+        RECT 3.365000 0.545000 3.595000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.215000 0.885000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.730000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.410000 2.465000 ;
+      RECT 2.640000  1.760000 3.040000 2.635000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_1
+MACRO sky130_fd_sc_hd__decap_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+      RECT 0.085000  1.545000 3.595000 2.635000 ;
+      RECT 1.905000  1.025000 3.595000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_8
+MACRO sky130_fd_sc_hd__decap_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+      RECT 0.085000  1.545000 5.430000 2.635000 ;
+      RECT 2.835000  1.025000 5.430000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_12
+MACRO sky130_fd_sc_hd__decap_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+      RECT 0.085000  1.545000 1.755000 2.635000 ;
+      RECT 1.005000  1.025000 1.755000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_4
+MACRO sky130_fd_sc_hd__decap_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+      RECT 0.085000  1.545000 2.675000 2.635000 ;
+      RECT 1.465000  1.025000 2.675000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_6
+MACRO sky130_fd_sc_hd__decap_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+      RECT 0.085000  1.545000 1.295000 2.635000 ;
+      RECT 0.775000  1.005000 1.295000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_3
+MACRO sky130_fd_sc_hd__fah_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fah_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.440000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 2.495000 1.275000 ;
+        RECT 1.990000 1.275000 2.190000 1.410000 ;
+        RECT 2.015000 1.410000 2.190000 1.725000 ;
+      LAYER mcon ;
+        RECT 1.990000 1.105000 2.160000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.675000 0.995000 5.925000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.680000 1.105000 5.850000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.930000 1.075000 2.220000 1.120000 ;
+        RECT 1.930000 1.120000 5.910000 1.260000 ;
+        RECT 1.930000 1.260000 2.220000 1.305000 ;
+        RECT 5.620000 1.075000 5.910000 1.120000 ;
+        RECT 5.620000 1.260000 5.910000 1.305000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.475000 1.075000  9.865000 1.325000 ;
+        RECT 9.690000 0.735000 10.010000 0.935000 ;
+        RECT 9.690000 0.935000  9.865000 1.075000 ;
+    END
+  END CI
+  PIN COUT
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.870000 0.270000 11.310000 0.825000 ;
+        RECT 10.870000 0.825000 11.040000 1.495000 ;
+        RECT 10.870000 1.495000 11.390000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.506000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.980000 0.255000 12.335000 0.825000 ;
+        RECT 11.985000 1.785000 12.335000 2.465000 ;
+        RECT 12.110000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.255000  0.425000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.445000 1.895000 ;
+      RECT  0.085000  1.895000  2.805000 2.065000 ;
+      RECT  0.085000  2.065000  0.395000 2.465000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.565000  2.260000  0.930000 2.635000 ;
+      RECT  0.595000  0.085000  0.765000 0.545000 ;
+      RECT  0.595000  0.735000  1.320000 0.905000 ;
+      RECT  0.595000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.380000 ;
+      RECT  0.610000  1.380000  0.815000 1.445000 ;
+      RECT  0.610000  1.445000  1.315000 1.455000 ;
+      RECT  0.615000  1.455000  1.315000 1.615000 ;
+      RECT  0.985000  1.615000  1.315000 1.715000 ;
+      RECT  0.990000  0.255000  1.320000 0.735000 ;
+      RECT  1.490000  1.445000  1.820000 1.500000 ;
+      RECT  1.490000  1.500000  1.840000 1.725000 ;
+      RECT  1.500000  0.255000  1.840000 0.715000 ;
+      RECT  1.500000  0.715000  2.520000 0.885000 ;
+      RECT  1.500000  0.885000  1.820000 0.905000 ;
+      RECT  1.615000  0.905000  1.820000 1.445000 ;
+      RECT  2.010000  0.085000  2.180000 0.545000 ;
+      RECT  2.065000  2.235000  2.395000 2.635000 ;
+      RECT  2.350000  0.255000  4.840000 0.425000 ;
+      RECT  2.350000  0.425000  2.520000 0.715000 ;
+      RECT  2.360000  1.445000  2.860000 1.715000 ;
+      RECT  2.635000  2.065000  2.805000 2.295000 ;
+      RECT  2.635000  2.295000  4.950000 2.465000 ;
+      RECT  2.690000  0.595000  2.860000 1.445000 ;
+      RECT  3.030000  0.425000  4.840000 0.465000 ;
+      RECT  3.030000  0.465000  3.200000 1.955000 ;
+      RECT  3.030000  1.955000  4.320000 2.125000 ;
+      RECT  3.370000  0.635000  3.900000 0.805000 ;
+      RECT  3.370000  0.805000  3.540000 1.455000 ;
+      RECT  3.370000  1.455000  3.815000 1.785000 ;
+      RECT  3.985000  1.785000  4.320000 1.955000 ;
+      RECT  4.070000  0.645000  4.400000 0.735000 ;
+      RECT  4.070000  0.735000  4.560000 0.755000 ;
+      RECT  4.070000  0.755000  5.170000 0.780000 ;
+      RECT  4.070000  0.780000  5.155000 0.805000 ;
+      RECT  4.070000  0.805000  5.145000 0.905000 ;
+      RECT  4.070000  1.075000  4.400000 1.160000 ;
+      RECT  4.070000  1.160000  4.535000 1.615000 ;
+      RECT  4.480000  0.905000  5.145000 0.925000 ;
+      RECT  4.650000  0.465000  4.840000 0.585000 ;
+      RECT  4.705000  0.925000  4.875000 2.295000 ;
+      RECT  4.925000  0.735000  5.180000 0.740000 ;
+      RECT  4.925000  0.740000  5.170000 0.755000 ;
+      RECT  4.950000  0.715000  5.180000 0.735000 ;
+      RECT  4.980000  0.690000  5.180000 0.715000 ;
+      RECT  5.000000  0.655000  5.180000 0.690000 ;
+      RECT  5.010000  0.255000  6.100000 0.425000 ;
+      RECT  5.010000  0.425000  5.180000 0.655000 ;
+      RECT  5.125000  1.150000  5.505000 1.320000 ;
+      RECT  5.125000  1.320000  5.295000 2.295000 ;
+      RECT  5.125000  2.295000  7.560000 2.465000 ;
+      RECT  5.320000  0.865000  5.520000 0.925000 ;
+      RECT  5.320000  0.925000  5.505000 1.150000 ;
+      RECT  5.335000  0.840000  5.520000 0.865000 ;
+      RECT  5.350000  0.595000  5.520000 0.840000 ;
+      RECT  5.475000  1.700000  5.875000 2.030000 ;
+      RECT  5.750000  0.425000  6.100000 0.565000 ;
+      RECT  6.105000  0.740000  6.435000 1.275000 ;
+      RECT  6.105000  1.445000  6.460000 1.615000 ;
+      RECT  6.270000  0.255000  9.735000 0.425000 ;
+      RECT  6.270000  0.425000  6.600000 0.570000 ;
+      RECT  6.290000  1.615000  6.460000 1.955000 ;
+      RECT  6.290000  1.955000  7.220000 2.125000 ;
+      RECT  6.610000  0.755000  6.940000 0.925000 ;
+      RECT  6.610000  0.925000  6.880000 1.275000 ;
+      RECT  6.710000  1.275000  6.880000 1.785000 ;
+      RECT  6.770000  0.595000  6.940000 0.755000 ;
+      RECT  7.050000  1.060000  7.280000 1.130000 ;
+      RECT  7.050000  1.130000  7.245000 1.175000 ;
+      RECT  7.050000  1.175000  7.220000 1.955000 ;
+      RECT  7.065000  1.045000  7.280000 1.060000 ;
+      RECT  7.090000  1.010000  7.280000 1.045000 ;
+      RECT  7.110000  0.595000  7.445000 0.765000 ;
+      RECT  7.110000  0.765000  7.280000 1.010000 ;
+      RECT  7.390000  1.275000  7.620000 1.375000 ;
+      RECT  7.390000  1.375000  7.595000 1.400000 ;
+      RECT  7.390000  1.400000  7.575000 1.425000 ;
+      RECT  7.390000  1.425000  7.560000 2.295000 ;
+      RECT  7.450000  0.995000  7.620000 1.275000 ;
+      RECT  7.705000  0.425000  7.960000 0.825000 ;
+      RECT  7.730000  1.510000  7.960000 2.295000 ;
+      RECT  7.730000  2.295000  9.655000 2.465000 ;
+      RECT  7.790000  0.825000  7.960000 1.510000 ;
+      RECT  8.145000  1.955000  9.250000 2.125000 ;
+      RECT  8.155000  0.595000  8.405000 0.925000 ;
+      RECT  8.225000  0.925000  8.405000 1.445000 ;
+      RECT  8.225000  1.445000  8.910000 1.785000 ;
+      RECT  8.575000  0.595000  8.745000 1.105000 ;
+      RECT  8.575000  1.105000  9.250000 1.275000 ;
+      RECT  8.920000  0.685000  9.300000 0.935000 ;
+      RECT  9.080000  1.275000  9.250000 1.955000 ;
+      RECT  9.400000  0.425000  9.735000 0.515000 ;
+      RECT  9.420000  1.495000 10.350000 1.705000 ;
+      RECT  9.420000  1.705000  9.655000 2.295000 ;
+      RECT  9.840000  2.275000 10.175000 2.635000 ;
+      RECT  9.905000  0.085000 10.075000 0.565000 ;
+      RECT 10.180000  0.995000 10.350000 1.495000 ;
+      RECT 10.245000  0.285000 10.690000 0.825000 ;
+      RECT 10.345000  1.875000 10.690000 2.465000 ;
+      RECT 10.520000  0.825000 10.690000 1.875000 ;
+      RECT 11.210000  0.995000 11.460000 1.325000 ;
+      RECT 11.480000  0.085000 11.810000 0.825000 ;
+      RECT 11.560000  1.785000 11.815000 2.635000 ;
+      RECT 11.630000  0.995000 11.940000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.445000  2.620000 1.615000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.370000  0.765000  3.540000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.365000  1.445000  4.535000 1.615000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.570000  1.785000  5.740000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  0.765000  6.320000 0.935000 ;
+      RECT  6.150000  1.445000  6.320000 1.615000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.610000  1.105000  6.780000 1.275000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.460000  1.445000  8.630000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.920000  0.765000  9.090000 0.935000 ;
+      RECT  9.080000  1.785000  9.250000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.785000 10.690000 1.955000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  1.105000 11.390000 1.275000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  2.390000 1.415000  2.680000 1.460000 ;
+      RECT  2.390000 1.460000  6.380000 1.600000 ;
+      RECT  2.390000 1.600000  2.680000 1.645000 ;
+      RECT  3.310000 0.735000  3.600000 0.780000 ;
+      RECT  3.310000 0.780000  9.150000 0.920000 ;
+      RECT  3.310000 0.920000  3.600000 0.965000 ;
+      RECT  3.925000 1.755000  4.215000 1.800000 ;
+      RECT  3.925000 1.800000  5.800000 1.940000 ;
+      RECT  3.925000 1.940000  4.215000 1.985000 ;
+      RECT  4.305000 1.415000  4.595000 1.460000 ;
+      RECT  4.305000 1.600000  4.595000 1.645000 ;
+      RECT  5.510000 1.755000  5.800000 1.800000 ;
+      RECT  5.510000 1.940000  5.800000 1.985000 ;
+      RECT  6.090000 0.735000  6.380000 0.780000 ;
+      RECT  6.090000 0.920000  6.380000 0.965000 ;
+      RECT  6.090000 1.415000  6.380000 1.460000 ;
+      RECT  6.090000 1.600000  6.380000 1.645000 ;
+      RECT  6.550000 1.075000  6.840000 1.120000 ;
+      RECT  6.550000 1.120000 11.450000 1.260000 ;
+      RECT  6.550000 1.260000  6.840000 1.305000 ;
+      RECT  8.400000 1.415000  8.690000 1.460000 ;
+      RECT  8.400000 1.460000 11.910000 1.600000 ;
+      RECT  8.400000 1.600000  8.690000 1.645000 ;
+      RECT  8.860000 0.735000  9.150000 0.780000 ;
+      RECT  8.860000 0.920000  9.150000 0.965000 ;
+      RECT  9.020000 1.755000  9.310000 1.800000 ;
+      RECT  9.020000 1.800000 10.750000 1.940000 ;
+      RECT  9.020000 1.940000  9.310000 1.985000 ;
+      RECT 10.460000 1.755000 10.750000 1.800000 ;
+      RECT 10.460000 1.940000 10.750000 1.985000 ;
+      RECT 11.160000 1.075000 11.450000 1.120000 ;
+      RECT 11.160000 1.260000 11.450000 1.305000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fah_1
+MACRO sky130_fd_sc_hd__dfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.145000 2.465000 ;
+        RECT 8.890000 0.265000 9.145000 0.885000 ;
+        RECT 8.930000 0.885000 9.145000 1.495000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.390000  1.720000 7.565000 2.635000 ;
+      RECT 7.400000  0.085000 7.570000 0.600000 ;
+      RECT 7.905000  0.345000 8.165000 0.615000 ;
+      RECT 7.905000  1.715000 8.235000 2.445000 ;
+      RECT 7.965000  0.615000 8.165000 0.995000 ;
+      RECT 7.965000  0.995000 8.760000 1.325000 ;
+      RECT 7.965000  1.325000 8.235000 1.715000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.425000  1.495000 8.640000 2.635000 ;
+      RECT 9.315000  0.085000 9.565000 0.905000 ;
+      RECT 9.315000  1.495000 9.565000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_2
+MACRO sky130_fd_sc_hd__dfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.315000 1.480000 8.650000 2.465000 ;
+        RECT 8.395000 0.255000 8.650000 0.910000 ;
+        RECT 8.415000 0.910000 8.650000 1.480000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.410000  1.715000 7.740000 2.445000 ;
+      RECT 7.420000  0.345000 7.670000 0.615000 ;
+      RECT 7.470000  0.615000 7.670000 0.995000 ;
+      RECT 7.470000  0.995000 8.245000 1.325000 ;
+      RECT 7.470000  1.325000 7.740000 1.715000 ;
+      RECT 7.905000  0.085000 8.225000 0.545000 ;
+      RECT 7.930000  1.495000 8.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_1
+MACRO sky130_fd_sc_hd__or4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.640000 0.995000 3.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.505000 2.125000 3.145000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.415000 4.080000 0.760000 ;
+        RECT 3.805000 1.495000 4.080000 2.465000 ;
+        RECT 3.910000 0.760000 4.080000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.865000 ;
+      RECT 0.085000  1.865000 1.940000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.660000  0.085000 0.830000 0.825000 ;
+      RECT 0.995000  1.525000 1.600000 1.695000 ;
+      RECT 1.080000  0.450000 1.250000 0.655000 ;
+      RECT 1.080000  0.655000 1.600000 0.825000 ;
+      RECT 1.410000  0.825000 1.600000 1.075000 ;
+      RECT 1.410000  1.075000 1.855000 1.245000 ;
+      RECT 1.410000  1.245000 1.600000 1.525000 ;
+      RECT 1.495000  0.085000 1.850000 0.485000 ;
+      RECT 1.535000  2.205000 2.280000 2.375000 ;
+      RECT 1.770000  1.415000 2.420000 1.585000 ;
+      RECT 1.770000  1.585000 1.940000 1.865000 ;
+      RECT 2.025000  0.305000 2.195000 0.655000 ;
+      RECT 2.025000  0.655000 3.635000 0.825000 ;
+      RECT 2.110000  1.785000 3.145000 1.955000 ;
+      RECT 2.110000  1.955000 2.280000 2.205000 ;
+      RECT 2.250000  0.995000 2.420000 1.415000 ;
+      RECT 2.395000  0.085000 2.725000 0.485000 ;
+      RECT 2.895000  0.305000 3.065000 0.655000 ;
+      RECT 2.975000  1.495000 3.635000 1.665000 ;
+      RECT 2.975000  1.665000 3.145000 1.785000 ;
+      RECT 3.235000  0.085000 3.615000 0.485000 ;
+      RECT 3.315000  1.835000 3.595000 2.635000 ;
+      RECT 3.465000  0.825000 3.635000 0.995000 ;
+      RECT 3.465000  0.995000 3.740000 1.325000 ;
+      RECT 3.465000  1.325000 3.635000 1.495000 ;
+      RECT 4.250000  0.085000 4.420000 1.025000 ;
+      RECT 4.250000  1.440000 4.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_2
+MACRO sky130_fd_sc_hd__or4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.235000 0.995000 3.405000 1.445000 ;
+        RECT 3.235000 1.445000 3.670000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 0.995000 3.005000 1.450000 ;
+        RECT 2.795000 1.450000 3.005000 1.785000 ;
+        RECT 2.795000 1.785000 3.115000 2.375000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.875000 1.455000 5.435000 1.625000 ;
+        RECT 3.875000 1.625000 4.125000 2.465000 ;
+        RECT 3.915000 0.255000 4.165000 0.725000 ;
+        RECT 3.915000 0.725000 5.435000 0.905000 ;
+        RECT 4.675000 0.255000 5.005000 0.725000 ;
+        RECT 4.715000 1.625000 4.965000 2.465000 ;
+        RECT 5.205000 0.905000 5.435000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.295000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.595000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.595000 0.825000 ;
+      RECT 1.125000  2.035000 1.295000 2.295000 ;
+      RECT 1.125000  2.295000 2.445000 2.465000 ;
+      RECT 1.405000  0.825000 1.595000 0.995000 ;
+      RECT 1.405000  0.995000 1.695000 1.325000 ;
+      RECT 1.405000  1.325000 1.595000 1.525000 ;
+      RECT 1.510000  1.955000 2.105000 2.125000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 1.935000  0.655000 3.745000 0.825000 ;
+      RECT 1.935000  0.825000 2.105000 1.955000 ;
+      RECT 2.095000  0.305000 2.265000 0.655000 ;
+      RECT 2.275000  0.995000 2.445000 2.295000 ;
+      RECT 2.465000  0.085000 2.795000 0.485000 ;
+      RECT 2.965000  0.305000 3.135000 0.655000 ;
+      RECT 3.355000  0.085000 3.735000 0.485000 ;
+      RECT 3.400000  1.795000 3.650000 2.635000 ;
+      RECT 3.575000  0.825000 3.745000 1.075000 ;
+      RECT 3.575000  1.075000 5.035000 1.245000 ;
+      RECT 4.295000  1.795000 4.545000 2.635000 ;
+      RECT 4.335000  0.085000 4.505000 0.555000 ;
+      RECT 5.135000  1.795000 5.385000 2.635000 ;
+      RECT 5.175000  0.085000 5.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_4
+MACRO sky130_fd_sc_hd__or4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 3.270000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 2.125000 3.120000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 0.415000 4.055000 0.760000 ;
+        RECT 3.780000 1.495000 4.055000 2.465000 ;
+        RECT 3.885000 0.760000 4.055000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.915000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.575000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 1.830000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.470000  0.085000 1.845000 0.485000 ;
+      RECT 1.510000  2.205000 2.255000 2.375000 ;
+      RECT 1.745000  1.415000 2.395000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.865000 ;
+      RECT 2.015000  0.305000 2.185000 0.655000 ;
+      RECT 2.015000  0.655000 3.610000 0.825000 ;
+      RECT 2.085000  1.785000 3.120000 1.955000 ;
+      RECT 2.085000  1.955000 2.255000 2.205000 ;
+      RECT 2.225000  0.995000 2.395000 1.415000 ;
+      RECT 2.370000  0.085000 2.700000 0.485000 ;
+      RECT 2.870000  0.305000 3.040000 0.655000 ;
+      RECT 2.950000  1.495000 3.610000 1.665000 ;
+      RECT 2.950000  1.665000 3.120000 1.785000 ;
+      RECT 3.210000  0.085000 3.590000 0.485000 ;
+      RECT 3.290000  1.835000 3.570000 2.635000 ;
+      RECT 3.440000  0.825000 3.610000 0.995000 ;
+      RECT 3.440000  0.995000 3.715000 1.325000 ;
+      RECT 3.440000  1.325000 3.610000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_1
+MACRO sky130_fd_sc_hd__a32oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.230000 1.075000 1.595000 1.255000 ;
+        RECT 1.405000 0.345000 1.705000 0.765000 ;
+        RECT 1.405000 0.765000 1.595000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 0.995000 2.165000 1.325000 ;
+        RECT 1.965000 0.415000 2.165000 0.995000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.015000 2.750000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.995000 1.025000 1.425000 ;
+        RECT 0.855000 1.425000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.575500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 1.165000 0.805000 ;
+        RECT 0.515000 0.805000 0.685000 1.785000 ;
+        RECT 0.515000 1.785000 0.865000 2.085000 ;
+        RECT 0.915000 0.295000 1.165000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.835000 0.345000 2.255000 ;
+      RECT 0.085000  2.255000 1.345000 2.465000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 1.095000  1.785000 2.185000 1.955000 ;
+      RECT 1.095000  1.955000 1.345000 2.255000 ;
+      RECT 1.555000  2.135000 1.805000 2.635000 ;
+      RECT 2.015000  1.745000 2.185000 1.785000 ;
+      RECT 2.015000  1.955000 2.185000 2.465000 ;
+      RECT 2.355000  0.085000 2.695000 0.805000 ;
+      RECT 2.355000  1.495000 2.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_1
+MACRO sky130_fd_sc_hd__a32oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 5.465000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.075000 7.695000 1.300000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.295000 1.075000 9.985000 1.280000 ;
+        RECT 9.805000 0.755000 9.985000 1.075000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.585000 0.995000 3.555000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.750000 1.305000 ;
+        RECT 0.110000 1.305000 0.330000 1.965000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 3.365000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 1.355000 1.745000 1.685000 2.085000 ;
+        RECT 1.975000 0.990000 2.365000 1.575000 ;
+        RECT 1.975000 1.745000 2.525000 2.085000 ;
+        RECT 2.195000 0.635000 5.565000 0.805000 ;
+        RECT 2.195000 0.805000 2.365000 0.990000 ;
+        RECT 3.035000 1.745000 3.365000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.095000  2.255000  3.705000 2.425000 ;
+      RECT 0.175000  0.255000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  2.025000 0.805000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 1.015000  0.255000  1.185000 0.635000 ;
+      RECT 1.355000  0.085000  1.685000 0.465000 ;
+      RECT 1.855000  0.295000  3.785000 0.465000 ;
+      RECT 1.855000  0.465000  2.025000 0.635000 ;
+      RECT 3.535000  1.575000  9.925000 1.745000 ;
+      RECT 3.535000  1.745000  3.705000 2.255000 ;
+      RECT 3.895000  1.915000  4.225000 2.635000 ;
+      RECT 3.975000  0.295000  7.805000 0.465000 ;
+      RECT 4.395000  1.745000  4.565000 2.465000 ;
+      RECT 4.770000  1.915000  5.440000 2.635000 ;
+      RECT 5.640000  1.745000  5.810000 2.465000 ;
+      RECT 6.215000  0.635000  9.505000 0.805000 ;
+      RECT 6.215000  1.915000  6.545000 2.635000 ;
+      RECT 6.715000  1.745000  6.885000 2.465000 ;
+      RECT 7.055000  1.915000  7.385000 2.635000 ;
+      RECT 7.555000  1.745000  7.725000 2.465000 ;
+      RECT 7.995000  0.085000  8.325000 0.465000 ;
+      RECT 8.415000  1.915000  8.745000 2.635000 ;
+      RECT 8.495000  0.255000  8.665000 0.635000 ;
+      RECT 8.835000  0.085000  9.165000 0.465000 ;
+      RECT 8.915000  1.745000  9.085000 2.465000 ;
+      RECT 9.255000  1.915000  9.585000 2.635000 ;
+      RECT 9.335000  0.255000  9.505000 0.635000 ;
+      RECT 9.685000  0.085000 10.025000 0.465000 ;
+      RECT 9.755000  1.745000  9.925000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_4
+MACRO sky130_fd_sc_hd__a32oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.075000 3.220000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.075000 4.480000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.715000 1.075000 5.860000 1.625000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.080000 1.725000 1.285000 ;
+        RECT 1.175000 1.075000 1.505000 1.080000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.825000 1.285000 ;
+        RECT 0.145000 1.285000 0.325000 1.625000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.955000 0.845000 2.125000 ;
+        RECT 0.595000 1.455000 2.180000 1.625000 ;
+        RECT 0.595000 1.625000 0.765000 1.955000 ;
+        RECT 1.355000 0.655000 3.100000 0.825000 ;
+        RECT 1.435000 1.625000 1.605000 2.125000 ;
+        RECT 1.965000 0.825000 2.180000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.095000  0.295000 0.425000 0.465000 ;
+      RECT 0.175000  0.465000 0.345000 0.715000 ;
+      RECT 0.175000  0.715000 1.185000 0.885000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.295000 2.115000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.855000  1.795000 2.025000 1.915000 ;
+      RECT 1.855000  1.915000 5.805000 2.085000 ;
+      RECT 1.855000  2.085000 2.025000 2.295000 ;
+      RECT 2.270000  2.255000 2.940000 2.635000 ;
+      RECT 2.350000  0.295000 4.370000 0.465000 ;
+      RECT 3.180000  1.795000 3.350000 1.915000 ;
+      RECT 3.180000  2.085000 3.350000 2.465000 ;
+      RECT 3.550000  2.255000 4.220000 2.635000 ;
+      RECT 3.620000  0.635000 5.390000 0.805000 ;
+      RECT 4.390000  1.795000 4.560000 1.915000 ;
+      RECT 4.390000  2.085000 4.560000 2.465000 ;
+      RECT 4.555000  0.085000 4.890000 0.465000 ;
+      RECT 4.765000  2.255000 5.435000 2.635000 ;
+      RECT 5.060000  0.275000 5.390000 0.635000 ;
+      RECT 5.560000  0.085000 5.885000 0.885000 ;
+      RECT 5.635000  1.795000 5.805000 1.915000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_2
+MACRO sky130_fd_sc_hd__nand4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 1.170000 0.890000 1.340000 ;
+        RECT 0.610000 1.070000 0.890000 1.170000 ;
+        RECT 0.610000 1.340000 0.890000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.070000 0.330000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.720000 1.075000 4.615000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.945000 1.075000 5.875000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 0.655000 2.415000 1.445000 ;
+        RECT 2.085000 1.445000 5.455000 1.665000 ;
+        RECT 2.085000 1.665000 2.335000 2.465000 ;
+        RECT 2.925000 1.665000 3.255000 2.465000 ;
+        RECT 3.245000 1.075000 3.550000 1.445000 ;
+        RECT 4.285000 1.665000 4.615000 2.465000 ;
+        RECT 5.125000 1.665000 5.455000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.730000 ;
+      RECT 0.085000  0.730000 1.230000 0.900000 ;
+      RECT 0.085000  1.785000 1.230000 1.980000 ;
+      RECT 0.085000  1.980000 0.370000 2.440000 ;
+      RECT 0.515000  0.085000 0.765000 0.545000 ;
+      RECT 0.540000  2.195000 0.765000 2.635000 ;
+      RECT 0.935000  0.255000 1.575000 0.560000 ;
+      RECT 0.935000  2.150000 1.575000 2.465000 ;
+      RECT 1.060000  0.900000 1.230000 1.785000 ;
+      RECT 1.400000  0.560000 1.575000 0.715000 ;
+      RECT 1.400000  0.715000 1.580000 1.410000 ;
+      RECT 1.400000  1.410000 1.575000 2.150000 ;
+      RECT 1.745000  0.255000 3.675000 0.485000 ;
+      RECT 1.745000  0.485000 1.915000 0.585000 ;
+      RECT 1.745000  1.495000 1.915000 2.635000 ;
+      RECT 2.505000  1.835000 2.755000 2.635000 ;
+      RECT 2.745000  1.075000 3.075000 1.275000 ;
+      RECT 2.925000  0.655000 4.615000 0.905000 ;
+      RECT 3.425000  1.835000 4.115000 2.635000 ;
+      RECT 3.865000  0.255000 5.035000 0.485000 ;
+      RECT 4.785000  0.485000 5.035000 0.735000 ;
+      RECT 4.785000  0.735000 5.895000 0.905000 ;
+      RECT 4.785000  1.835000 4.955000 2.635000 ;
+      RECT 5.205000  0.085000 5.375000 0.565000 ;
+      RECT 5.545000  0.255000 5.895000 0.735000 ;
+      RECT 5.625000  1.445000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.060000  1.105000 1.230000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.105000 3.075000 1.275000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.000000 1.075000 3.135000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_2
+MACRO sky130_fd_sc_hd__nand4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.120000 1.075000 7.910000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.420000 1.075000 10.015000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.655000 3.990000 0.905000 ;
+        RECT 2.540000 1.445000 9.590000 1.665000 ;
+        RECT 2.540000 1.665000 2.790000 2.465000 ;
+        RECT 3.380000 1.665000 3.710000 2.465000 ;
+        RECT 3.700000 0.905000 3.990000 1.445000 ;
+        RECT 4.220000 1.665000 4.550000 2.465000 ;
+        RECT 5.060000 1.665000 5.390000 2.465000 ;
+        RECT 6.740000 1.665000 7.070000 2.465000 ;
+        RECT 7.580000 1.665000 7.910000 2.465000 ;
+        RECT 8.420000 1.665000 8.750000 2.465000 ;
+        RECT 9.260000 1.665000 9.590000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  0.345000 0.635000 ;
+      RECT 0.085000  0.635000  1.455000 0.805000 ;
+      RECT 0.085000  1.785000  1.455000 1.980000 ;
+      RECT 0.085000  1.980000  0.370000 2.440000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.540000  2.195000  0.765000 2.635000 ;
+      RECT 0.935000  2.150000  1.795000 2.465000 ;
+      RECT 1.015000  0.255000  1.795000 0.465000 ;
+      RECT 1.145000  0.805000  1.455000 1.785000 ;
+      RECT 1.625000  0.465000  1.795000 1.075000 ;
+      RECT 1.625000  1.075000  2.210000 1.305000 ;
+      RECT 1.625000  1.305000  1.795000 2.150000 ;
+      RECT 2.200000  0.255000  5.810000 0.485000 ;
+      RECT 2.200000  0.485000  2.370000 0.905000 ;
+      RECT 2.200000  1.495000  2.370000 2.635000 ;
+      RECT 2.540000  1.075000  3.285000 1.245000 ;
+      RECT 2.960000  1.835000  3.210000 2.635000 ;
+      RECT 3.880000  1.835000  4.050000 2.635000 ;
+      RECT 4.160000  1.075000  5.390000 1.275000 ;
+      RECT 4.220000  0.655000  5.390000 0.735000 ;
+      RECT 4.220000  0.735000  6.150000 0.905000 ;
+      RECT 4.720000  1.835000  4.890000 2.635000 ;
+      RECT 5.610000  1.835000  6.540000 2.635000 ;
+      RECT 5.980000  0.255000  7.910000 0.485000 ;
+      RECT 5.980000  0.485000  6.150000 0.735000 ;
+      RECT 6.320000  0.655000 10.035000 0.905000 ;
+      RECT 7.240000  1.835000  7.410000 2.635000 ;
+      RECT 8.080000  1.835000  8.250000 2.635000 ;
+      RECT 8.420000  0.085000  8.750000 0.485000 ;
+      RECT 8.920000  1.835000  9.090000 2.635000 ;
+      RECT 9.260000  0.085000  9.590000 0.485000 ;
+      RECT 9.760000  1.445000 10.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.980000  1.105000 2.150000 1.275000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.280000  1.105000 4.450000 1.275000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.920000 1.075000 2.210000 1.120000 ;
+      RECT 1.920000 1.120000 4.510000 1.260000 ;
+      RECT 1.920000 1.260000 2.210000 1.305000 ;
+      RECT 4.220000 1.075000 4.510000 1.120000 ;
+      RECT 4.220000 1.260000 4.510000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_4
+MACRO sky130_fd_sc_hd__nand4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 0.725000 3.640000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.655000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.735000 1.720000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 1.075000 1.320000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.909000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.120000 1.495000 2.670000 1.665000 ;
+        RECT 1.120000 1.665000 1.450000 2.465000 ;
+        RECT 2.140000 1.665000 2.470000 2.465000 ;
+        RECT 2.420000 0.255000 2.930000 0.825000 ;
+        RECT 2.420000 0.825000 2.670000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.485000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.270000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 2.065000 ;
+      RECT 0.085000  2.065000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.900000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 1.080000  0.365000 2.250000 0.555000 ;
+      RECT 1.080000  0.555000 1.270000 0.715000 ;
+      RECT 1.640000  1.835000 1.970000 2.635000 ;
+      RECT 1.970000  0.555000 2.250000 1.325000 ;
+      RECT 2.680000  2.175000 3.450000 2.635000 ;
+      RECT 2.840000  0.995000 3.090000 1.835000 ;
+      RECT 2.840000  1.835000 4.055000 2.005000 ;
+      RECT 3.100000  0.085000 3.450000 0.545000 ;
+      RECT 3.620000  0.255000 4.055000 0.545000 ;
+      RECT 3.635000  2.005000 4.055000 2.465000 ;
+      RECT 3.810000  0.545000 4.055000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_1
+MACRO sky130_fd_sc_hd__o21a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.345000 1.075000 2.675000 1.275000 ;
+        RECT 2.445000 1.275000 2.675000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 2.035000 1.095000 ;
+        RECT 1.705000 1.095000 2.155000 1.275000 ;
+        RECT 1.940000 1.275000 2.155000 2.390000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.075000 1.535000 1.305000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 1.030000 ;
+        RECT 0.085000 1.030000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.535000  1.860000 1.245000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.595000  0.715000 1.305000 0.905000 ;
+      RECT 0.595000  0.905000 0.880000 1.475000 ;
+      RECT 0.595000  1.475000 1.745000 1.690000 ;
+      RECT 1.005000  0.255000 1.365000 0.520000 ;
+      RECT 1.005000  0.520000 1.360000 0.525000 ;
+      RECT 1.005000  0.525000 1.355000 0.535000 ;
+      RECT 1.005000  0.535000 1.350000 0.540000 ;
+      RECT 1.005000  0.540000 1.345000 0.550000 ;
+      RECT 1.005000  0.550000 1.340000 0.555000 ;
+      RECT 1.005000  0.555000 1.330000 0.565000 ;
+      RECT 1.005000  0.565000 1.320000 0.575000 ;
+      RECT 1.005000  0.575000 1.305000 0.715000 ;
+      RECT 1.415000  1.690000 1.745000 2.465000 ;
+      RECT 1.495000  0.635000 1.825000 0.715000 ;
+      RECT 1.495000  0.715000 2.675000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.545000 ;
+      RECT 2.335000  0.255000 2.675000 0.715000 ;
+      RECT 2.335000  1.915000 2.665000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_1
+MACRO sky130_fd_sc_hd__o21a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.125000 1.450000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 1.025000 2.610000 1.400000 ;
+        RECT 2.405000 1.400000 2.610000 1.985000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 1.010000 1.855000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.530000 0.255000 0.775000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  1.635000 0.345000 2.635000 ;
+      RECT 0.105000  0.085000 0.345000 0.885000 ;
+      RECT 0.945000  0.085000 1.275000 0.465000 ;
+      RECT 0.945000  0.635000 1.795000 0.840000 ;
+      RECT 0.945000  0.840000 1.275000 1.330000 ;
+      RECT 0.945000  2.185000 1.795000 2.635000 ;
+      RECT 1.105000  1.330000 1.275000 1.785000 ;
+      RECT 1.105000  1.785000 2.225000 2.005000 ;
+      RECT 1.465000  0.255000 1.795000 0.635000 ;
+      RECT 1.965000  0.465000 2.175000 0.635000 ;
+      RECT 1.965000  0.635000 3.120000 0.825000 ;
+      RECT 1.965000  2.005000 2.225000 2.465000 ;
+      RECT 2.345000  0.085000 2.675000 0.465000 ;
+      RECT 2.795000  1.650000 3.120000 2.635000 ;
+      RECT 2.845000  0.495000 3.120000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_2
+MACRO sky130_fd_sc_hd__o21a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.480000 0.990000 3.785000 1.495000 ;
+        RECT 3.480000 1.495000 5.400000 1.705000 ;
+        RECT 5.030000 0.995000 5.400000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.140000 0.995000 4.690000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 1.075000 3.155000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.635000 1.715000 0.805000 ;
+        RECT 0.090000 0.805000 0.320000 1.530000 ;
+        RECT 0.090000 1.530000 1.955000 1.700000 ;
+        RECT 0.595000 0.615000 1.715000 0.635000 ;
+        RECT 0.915000 1.700000 1.105000 2.465000 ;
+        RECT 1.775000 1.700000 1.955000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.415000  1.870000 0.745000 2.635000 ;
+      RECT 0.490000  0.995000 2.315000 1.335000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 1.275000  1.870000 1.605000 2.635000 ;
+      RECT 1.815000  0.085000 2.145000 0.465000 ;
+      RECT 2.115000  0.655000 3.095000 0.870000 ;
+      RECT 2.115000  0.870000 2.315000 0.995000 ;
+      RECT 2.125000  1.335000 2.315000 1.830000 ;
+      RECT 2.125000  1.830000 2.845000 1.875000 ;
+      RECT 2.125000  1.875000 4.545000 2.085000 ;
+      RECT 2.135000  2.255000 2.485000 2.635000 ;
+      RECT 2.335000  0.255000 3.605000 0.485000 ;
+      RECT 2.655000  2.085000 4.545000 2.105000 ;
+      RECT 2.655000  2.105000 2.845000 2.465000 ;
+      RECT 3.015000  2.275000 3.685000 2.635000 ;
+      RECT 3.275000  0.485000 3.605000 0.615000 ;
+      RECT 3.275000  0.615000 5.405000 0.785000 ;
+      RECT 3.775000  0.085000 4.115000 0.445000 ;
+      RECT 4.215000  2.105000 4.545000 2.445000 ;
+      RECT 4.645000  0.085000 4.975000 0.445000 ;
+      RECT 5.075000  1.935000 5.435000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_4
+MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1n_1
+MACRO sky130_fd_sc_hd__and2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.995000 0.435000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.515000 1.720000 0.615000 ;
+        RECT 1.530000 0.615000 3.135000 0.845000 ;
+        RECT 1.530000 1.535000 3.135000 1.760000 ;
+        RECT 1.530000 1.760000 1.720000 2.465000 ;
+        RECT 2.390000 0.255000 2.580000 0.615000 ;
+        RECT 2.390000 1.760000 3.135000 1.765000 ;
+        RECT 2.390000 1.765000 2.580000 2.465000 ;
+        RECT 2.855000 0.845000 3.135000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.360000 0.805000 ;
+      RECT 0.095000  1.880000 0.425000 2.635000 ;
+      RECT 0.605000  1.580000 1.360000 1.750000 ;
+      RECT 0.605000  1.750000 0.785000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  1.935000 1.320000 2.635000 ;
+      RECT 1.150000  0.805000 1.360000 1.020000 ;
+      RECT 1.150000  1.020000 2.685000 1.355000 ;
+      RECT 1.150000  1.355000 1.360000 1.580000 ;
+      RECT 1.890000  0.085000 2.220000 0.445000 ;
+      RECT 1.890000  1.935000 2.220000 2.635000 ;
+      RECT 2.750000  0.085000 3.080000 0.445000 ;
+      RECT 2.750000  1.935000 3.080000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_4
+MACRO sky130_fd_sc_hd__and2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.185000 0.430000 1.955000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.080000 1.270000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.280900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.255000 2.215000 0.525000 ;
+        RECT 1.790000 1.835000 2.215000 2.465000 ;
+        RECT 1.950000 0.525000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.160000  2.175000 0.430000 2.635000 ;
+      RECT 0.185000  0.280000 0.490000 0.695000 ;
+      RECT 0.185000  0.695000 1.780000 0.910000 ;
+      RECT 0.185000  0.910000 0.770000 0.950000 ;
+      RECT 0.600000  0.950000 0.770000 2.135000 ;
+      RECT 0.600000  2.135000 0.865000 2.465000 ;
+      RECT 0.950000  0.085000 1.390000 0.525000 ;
+      RECT 1.110000  1.835000 1.620000 2.635000 ;
+      RECT 1.450000  0.910000 1.780000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_0
+MACRO sky130_fd_sc_hd__and2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_1
+MACRO sky130_fd_sc_hd__and2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.775000 1.325000 ;
+        RECT 0.085000 1.325000 0.400000 1.765000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.643500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.665000 0.255000 2.215000 0.545000 ;
+        RECT 1.765000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.245000  0.085000 1.495000 0.545000 ;
+      RECT 1.245000  1.915000 1.575000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+      RECT 2.385000  0.085000 2.675000 0.885000 ;
+      RECT 2.385000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0p_1
+MACRO sky130_fd_sc_hd__sdfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 0.995000 ;
+        RECT 11.190000 0.995000 12.240000 1.325000 ;
+        RECT 11.190000 1.325000 11.400000 1.445000 ;
+        RECT 11.990000 0.265000 12.240000 0.995000 ;
+        RECT 11.990000 1.325000 12.240000 2.325000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+      RECT 12.410000  0.085000 12.580000 0.545000 ;
+      RECT 12.410000  1.495000 12.660000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_4
+MACRO sky130_fd_sc_hd__sdfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_1
+MACRO sky130_fd_sc_hd__sdfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 12.150000 2.910000 ;
+        RECT  4.405000 1.305000 12.150000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_2
+MACRO sky130_fd_sc_hd__o2bb2ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.625000 1.445000 ;
+        RECT 0.090000 1.445000 1.945000 1.615000 ;
+        RECT 1.615000 1.075000 1.945000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.795000 1.075000 1.400000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 3.740000 1.445000 ;
+        RECT 3.410000 1.445000 5.435000 1.615000 ;
+        RECT 4.730000 1.075000 5.435000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.960000 1.075000 4.500000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.745000 0.645000 3.075000 1.075000 ;
+        RECT 2.745000 1.075000 3.215000 1.785000 ;
+        RECT 2.745000 1.785000 4.330000 1.955000 ;
+        RECT 2.745000 1.955000 3.035000 2.465000 ;
+        RECT 4.080000 1.955000 4.330000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.150000  1.795000 0.400000 2.635000 ;
+      RECT 0.195000  0.085000 0.365000 0.895000 ;
+      RECT 0.535000  0.305000 1.705000 0.475000 ;
+      RECT 0.535000  0.475000 0.785000 0.895000 ;
+      RECT 0.575000  1.785000 2.285000 1.965000 ;
+      RECT 0.575000  1.965000 0.825000 2.465000 ;
+      RECT 0.955000  0.645000 1.285000 0.725000 ;
+      RECT 0.955000  0.725000 2.285000 0.905000 ;
+      RECT 0.995000  2.135000 1.245000 2.635000 ;
+      RECT 1.415000  1.965000 1.665000 2.125000 ;
+      RECT 1.835000  2.135000 2.575000 2.635000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.115000  0.905000 2.285000 0.995000 ;
+      RECT 2.115000  0.995000 2.575000 1.325000 ;
+      RECT 2.115000  1.325000 2.285000 1.785000 ;
+      RECT 2.325000  0.255000 3.530000 0.475000 ;
+      RECT 2.325000  0.475000 2.575000 0.555000 ;
+      RECT 3.205000  2.125000 3.490000 2.635000 ;
+      RECT 3.245000  0.475000 3.530000 0.735000 ;
+      RECT 3.245000  0.735000 5.210000 0.905000 ;
+      RECT 3.660000  2.125000 3.910000 2.295000 ;
+      RECT 3.660000  2.295000 4.750000 2.465000 ;
+      RECT 3.700000  0.085000 3.870000 0.555000 ;
+      RECT 4.040000  0.255000 4.370000 0.725000 ;
+      RECT 4.040000  0.725000 5.210000 0.735000 ;
+      RECT 4.500000  1.785000 4.750000 2.295000 ;
+      RECT 4.540000  0.085000 4.710000 0.555000 ;
+      RECT 4.880000  0.255000 5.210000 0.725000 ;
+      RECT 4.965000  1.795000 5.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_2
+MACRO sky130_fd_sc_hd__o2bb2ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.435000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.280000 0.825000 0.995000 ;
+        RECT 0.605000 0.995000 1.000000 1.325000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.030000 1.075000 2.615000 1.325000 ;
+        RECT 2.445000 1.325000 2.615000 2.425000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.430000 1.810000 0.790000 ;
+        RECT 1.640000 0.790000 1.810000 1.495000 ;
+        RECT 1.640000 1.495000 2.270000 1.665000 ;
+        RECT 1.940000 1.665000 2.270000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.815000 ;
+      RECT 0.150000  1.455000 0.400000 2.635000 ;
+      RECT 0.570000  1.495000 1.340000 1.665000 ;
+      RECT 0.570000  1.665000 0.820000 2.465000 ;
+      RECT 0.990000  1.835000 1.770000 2.635000 ;
+      RECT 1.000000  0.280000 1.340000 0.825000 ;
+      RECT 1.170000  0.825000 1.340000 0.995000 ;
+      RECT 1.170000  0.995000 1.470000 1.325000 ;
+      RECT 1.170000  1.325000 1.340000 1.495000 ;
+      RECT 1.980000  0.425000 2.270000 0.725000 ;
+      RECT 1.980000  0.725000 3.110000 0.905000 ;
+      RECT 2.440000  0.085000 2.610000 0.555000 ;
+      RECT 2.780000  0.275000 3.110000 0.725000 ;
+      RECT 2.820000  1.455000 3.070000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_1
+MACRO sky130_fd_sc_hd__o2bb2ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.505000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 1.825000 1.285000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.045000 1.075000 10.005000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.875000 1.285000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.415000 0.645000 6.155000 0.905000 ;
+        RECT 4.425000 1.455000 7.715000 1.625000 ;
+        RECT 4.425000 1.625000 4.675000 2.465000 ;
+        RECT 5.265000 1.625000 5.515000 2.465000 ;
+        RECT 5.875000 0.905000 6.155000 1.455000 ;
+        RECT 6.625000 1.625000 6.875000 2.125000 ;
+        RECT 7.465000 1.625000 7.715000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.705000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.455000 ;
+      RECT 0.085000  1.455000  3.915000 1.625000 ;
+      RECT 0.100000  0.255000  2.125000 0.475000 ;
+      RECT 0.155000  1.795000  0.405000 2.635000 ;
+      RECT 0.575000  1.625000  0.825000 2.465000 ;
+      RECT 0.995000  1.795000  1.245000 2.635000 ;
+      RECT 1.415000  1.625000  1.665000 2.465000 ;
+      RECT 1.835000  1.795000  2.085000 2.635000 ;
+      RECT 1.875000  0.475000  2.125000 0.725000 ;
+      RECT 1.875000  0.725000  3.805000 0.905000 ;
+      RECT 2.255000  1.625000  2.505000 2.465000 ;
+      RECT 2.295000  0.085000  2.465000 0.555000 ;
+      RECT 2.635000  0.255000  2.965000 0.725000 ;
+      RECT 2.675000  1.795000  2.925000 2.635000 ;
+      RECT 3.095000  1.625000  3.345000 2.465000 ;
+      RECT 3.135000  0.085000  3.305000 0.555000 ;
+      RECT 3.475000  0.255000  3.805000 0.725000 ;
+      RECT 3.515000  1.795000  4.255000 2.635000 ;
+      RECT 3.745000  1.075000  5.705000 1.285000 ;
+      RECT 3.745000  1.285000  3.915000 1.455000 ;
+      RECT 4.060000  0.255000  6.495000 0.475000 ;
+      RECT 4.060000  0.475000  4.245000 0.835000 ;
+      RECT 4.845000  1.795000  5.095000 2.635000 ;
+      RECT 5.685000  1.795000  5.935000 2.635000 ;
+      RECT 6.175000  1.795000  6.455000 2.295000 ;
+      RECT 6.175000  2.295000  8.135000 2.465000 ;
+      RECT 6.325000  0.475000  6.495000 0.735000 ;
+      RECT 6.325000  0.735000  9.855000 0.905000 ;
+      RECT 6.665000  0.085000  6.835000 0.555000 ;
+      RECT 7.005000  0.255000  7.335000 0.725000 ;
+      RECT 7.005000  0.725000  9.855000 0.735000 ;
+      RECT 7.045000  1.795000  7.295000 2.295000 ;
+      RECT 7.505000  0.085000  7.675000 0.555000 ;
+      RECT 7.845000  0.255000  8.175000 0.725000 ;
+      RECT 7.885000  1.455000  9.875000 1.625000 ;
+      RECT 7.885000  1.625000  8.135000 2.295000 ;
+      RECT 8.305000  1.795000  8.555000 2.635000 ;
+      RECT 8.345000  0.085000  8.515000 0.555000 ;
+      RECT 8.685000  0.255000  9.015000 0.725000 ;
+      RECT 8.725000  1.625000  8.975000 2.465000 ;
+      RECT 9.145000  1.795000  9.395000 2.635000 ;
+      RECT 9.185000  0.085000  9.355000 0.555000 ;
+      RECT 9.525000  0.255000  9.855000 0.725000 ;
+      RECT 9.565000  1.625000  9.875000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_4
+MACRO sky130_fd_sc_hd__inv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.435000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.855000 0.885000 ;
+        RECT 0.525000 1.485000 0.855000 2.465000 ;
+        RECT 0.605000 0.885000 0.855000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.125000  0.085000 0.355000 0.905000 ;
+      RECT 0.125000  1.495000 0.355000 2.635000 ;
+      RECT 1.025000  0.085000 1.235000 0.905000 ;
+      RECT 1.025000  1.495000 1.235000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_2
+MACRO sky130_fd_sc_hd__inv_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.970000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 5.270000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 5.895000 0.905000 ;
+        RECT 0.085000 0.905000 0.510000 1.495000 ;
+        RECT 0.085000 1.495000 5.895000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 4.040000 0.255000 4.370000 0.715000 ;
+        RECT 4.040000 1.665000 4.370000 2.465000 ;
+        RECT 4.880000 0.255000 5.210000 0.715000 ;
+        RECT 4.880000 1.665000 5.210000 2.465000 ;
+        RECT 5.545000 0.905000 5.895000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 3.870000 0.545000 ;
+      RECT 3.700000  1.835000 3.870000 2.635000 ;
+      RECT 4.540000  0.085000 4.710000 0.545000 ;
+      RECT 4.540000  1.835000 4.710000 2.635000 ;
+      RECT 5.555000  0.085000 5.895000 0.545000 ;
+      RECT 5.555000  1.835000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_12
+MACRO sky130_fd_sc_hd__inv_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 2.615000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.685000 1.495000 3.135000 1.665000 ;
+        RECT 0.685000 1.665000 1.015000 2.465000 ;
+        RECT 0.765000 0.255000 0.935000 0.725000 ;
+        RECT 0.765000 0.725000 3.135000 0.905000 ;
+        RECT 1.525000 1.665000 1.855000 2.465000 ;
+        RECT 1.605000 0.255000 1.775000 0.725000 ;
+        RECT 2.365000 1.665000 3.135000 1.685000 ;
+        RECT 2.365000 1.685000 2.695000 2.465000 ;
+        RECT 2.445000 0.255000 2.615000 0.725000 ;
+        RECT 2.785000 0.905000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.425000 2.635000 ;
+      RECT 1.185000  0.085000 1.355000 0.545000 ;
+      RECT 1.185000  1.835000 1.355000 2.635000 ;
+      RECT 2.025000  0.085000 2.195000 0.545000 ;
+      RECT 2.025000  1.835000 2.195000 2.635000 ;
+      RECT 2.785000  0.085000 3.035000 0.550000 ;
+      RECT 2.865000  2.175000 3.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_6
+MACRO sky130_fd_sc_hd__inv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.320000 1.075000 0.650000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.720000 0.255000 1.050000 0.885000 ;
+        RECT 0.720000 1.485000 1.050000 2.465000 ;
+        RECT 0.820000 0.885000 1.050000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.320000  0.085000 0.550000 0.905000 ;
+      RECT 0.340000  1.495000 0.550000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_1
+MACRO sky130_fd_sc_hd__inv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 3.535000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 4.055000 0.905000 ;
+        RECT 0.085000 0.905000 0.430000 1.495000 ;
+        RECT 0.085000 1.495000 4.055000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 3.735000 0.905000 4.055000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 4.005000 0.545000 ;
+      RECT 3.700000  1.835000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_8
+MACRO sky130_fd_sc_hd__inv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.735000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.565000 0.255000 0.895000 0.725000 ;
+        RECT 0.565000 0.725000 2.170000 0.905000 ;
+        RECT 0.565000 1.495000 2.170000 1.665000 ;
+        RECT 0.565000 1.665000 0.895000 2.465000 ;
+        RECT 1.405000 0.255000 1.735000 0.725000 ;
+        RECT 1.405000 1.665000 2.170000 1.685000 ;
+        RECT 1.405000 1.685000 1.735000 2.465000 ;
+        RECT 1.905000 0.905000 2.170000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.395000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.545000 ;
+      RECT 1.065000  1.835000 1.235000 2.635000 ;
+      RECT 1.905000  0.085000 2.155000 0.550000 ;
+      RECT 1.905000  2.175000 2.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_4
+MACRO sky130_fd_sc_hd__inv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 5.525000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 0.255000 0.910000 0.715000 ;
+        RECT 0.580000 0.715000 6.790000 0.905000 ;
+        RECT 0.580000 1.495000 6.790000 1.665000 ;
+        RECT 0.580000 1.665000 0.910000 2.465000 ;
+        RECT 1.420000 0.255000 1.750000 0.715000 ;
+        RECT 1.420000 1.665000 1.750000 2.465000 ;
+        RECT 2.260000 0.255000 2.590000 0.715000 ;
+        RECT 2.260000 1.665000 2.590000 2.465000 ;
+        RECT 3.100000 0.255000 3.430000 0.715000 ;
+        RECT 3.100000 1.665000 3.430000 2.465000 ;
+        RECT 3.940000 0.255000 4.270000 0.715000 ;
+        RECT 3.940000 1.665000 4.270000 2.465000 ;
+        RECT 4.780000 0.255000 5.110000 0.715000 ;
+        RECT 4.780000 1.665000 5.110000 2.465000 ;
+        RECT 5.620000 0.255000 5.950000 0.715000 ;
+        RECT 5.620000 1.665000 5.950000 2.465000 ;
+        RECT 6.460000 0.255000 6.790000 0.715000 ;
+        RECT 6.460000 0.905000 6.790000 1.495000 ;
+        RECT 6.460000 1.665000 6.790000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.180000  0.085000 0.410000 0.885000 ;
+      RECT 0.200000  1.485000 0.410000 2.635000 ;
+      RECT 1.080000  0.085000 1.250000 0.545000 ;
+      RECT 1.080000  1.835000 1.250000 2.635000 ;
+      RECT 1.920000  0.085000 2.090000 0.545000 ;
+      RECT 1.920000  1.835000 2.090000 2.635000 ;
+      RECT 2.760000  0.085000 2.930000 0.545000 ;
+      RECT 2.760000  1.835000 2.930000 2.635000 ;
+      RECT 3.600000  0.085000 3.770000 0.545000 ;
+      RECT 3.600000  1.835000 3.770000 2.635000 ;
+      RECT 4.440000  0.085000 4.610000 0.545000 ;
+      RECT 4.440000  1.835000 4.610000 2.635000 ;
+      RECT 5.280000  0.085000 5.450000 0.545000 ;
+      RECT 5.280000  1.835000 5.450000 2.635000 ;
+      RECT 6.120000  0.085000 6.290000 0.545000 ;
+      RECT 6.120000  1.835000 6.290000 2.635000 ;
+      RECT 6.960000  0.085000 7.170000 0.885000 ;
+      RECT 6.960000  1.835000 7.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_16
+MACRO sky130_fd_sc_hd__lpflow_bleeder_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN SHORT
+    ANTENNAGATEAREA  0.270000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.275000 1.040000 1.975000 1.730000 ;
+    END
+  END SHORT
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.870000 ;
+      RECT 2.145000  0.540000 2.475000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_bleeder_1
+MACRO sky130_fd_sc_hd__dlymetal6s6s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.575000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.080000 0.255000 4.515000 0.825000 ;
+        RECT 4.080000 1.495000 4.515000 2.465000 ;
+        RECT 4.155000 0.825000 4.515000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 1.080000 0.825000 ;
+      RECT 0.085000  1.870000 1.080000 2.040000 ;
+      RECT 0.085000  2.040000 0.525000 2.465000 ;
+      RECT 0.695000  0.085000 1.080000 0.485000 ;
+      RECT 0.695000  2.210000 1.080000 2.635000 ;
+      RECT 0.745000  0.825000 1.080000 0.995000 ;
+      RECT 0.745000  0.995000 1.155000 1.325000 ;
+      RECT 0.745000  1.325000 1.080000 1.870000 ;
+      RECT 1.250000  0.255000 1.520000 0.825000 ;
+      RECT 1.250000  1.495000 1.975000 1.675000 ;
+      RECT 1.250000  1.675000 1.520000 2.465000 ;
+      RECT 1.325000  0.825000 1.520000 0.995000 ;
+      RECT 1.325000  0.995000 1.975000 1.495000 ;
+      RECT 1.690000  0.255000 1.940000 0.655000 ;
+      RECT 1.690000  0.655000 2.495000 0.825000 ;
+      RECT 1.690000  1.845000 2.495000 2.040000 ;
+      RECT 1.690000  2.040000 1.940000 2.465000 ;
+      RECT 2.110000  0.085000 2.495000 0.485000 ;
+      RECT 2.110000  2.210000 2.495000 2.635000 ;
+      RECT 2.145000  0.825000 2.495000 0.995000 ;
+      RECT 2.145000  0.995000 2.570000 1.325000 ;
+      RECT 2.145000  1.325000 2.495000 1.845000 ;
+      RECT 2.665000  0.255000 2.915000 0.825000 ;
+      RECT 2.665000  1.495000 3.390000 1.675000 ;
+      RECT 2.665000  1.675000 2.915000 2.465000 ;
+      RECT 2.740000  0.825000 2.915000 0.995000 ;
+      RECT 2.740000  0.995000 3.390000 1.495000 ;
+      RECT 3.085000  0.255000 3.355000 0.655000 ;
+      RECT 3.085000  0.655000 3.910000 0.825000 ;
+      RECT 3.085000  1.845000 3.910000 2.040000 ;
+      RECT 3.085000  2.040000 3.355000 2.465000 ;
+      RECT 3.525000  0.085000 3.910000 0.485000 ;
+      RECT 3.525000  2.210000 3.910000 2.635000 ;
+      RECT 3.560000  0.825000 3.910000 0.995000 ;
+      RECT 3.560000  0.995000 3.985000 1.325000 ;
+      RECT 3.560000  1.325000 3.910000 1.845000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s6s_1
+MACRO sky130_fd_sc_hd__o41ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.540000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 3.580000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 2.325000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 0.885000 ;
+        RECT 0.515000 1.505000 2.205000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 0.610000 0.885000 0.845000 1.445000 ;
+        RECT 0.610000 1.445000 2.205000 1.505000 ;
+        RECT 1.875000 1.665000 2.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 1.265000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.465000 1.265000 0.735000 ;
+      RECT 1.015000  0.735000 5.705000 0.905000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.455000  1.835000 1.705000 2.295000 ;
+      RECT 1.455000  2.295000 2.545000 2.465000 ;
+      RECT 1.875000  0.255000 2.205000 0.735000 ;
+      RECT 2.375000  0.085000 2.545000 0.545000 ;
+      RECT 2.375000  1.445000 3.465000 1.615000 ;
+      RECT 2.375000  1.615000 2.545000 2.295000 ;
+      RECT 2.715000  0.255000 3.045000 0.735000 ;
+      RECT 2.715000  1.835000 3.045000 2.295000 ;
+      RECT 2.715000  2.295000 4.445000 2.465000 ;
+      RECT 3.215000  0.085000 3.450000 0.545000 ;
+      RECT 3.215000  1.615000 3.465000 2.125000 ;
+      RECT 3.695000  0.255000 4.025000 0.735000 ;
+      RECT 3.695000  1.445000 5.705000 1.615000 ;
+      RECT 3.695000  1.615000 3.945000 2.125000 ;
+      RECT 4.115000  1.835000 4.445000 2.295000 ;
+      RECT 4.195000  0.085000 4.365000 0.545000 ;
+      RECT 4.535000  0.255000 4.865000 0.735000 ;
+      RECT 4.615000  1.615000 4.785000 2.465000 ;
+      RECT 4.955000  1.785000 5.285000 2.635000 ;
+      RECT 5.035000  0.085000 5.205000 0.545000 ;
+      RECT 5.375000  0.255000 5.705000 0.735000 ;
+      RECT 5.455000  1.615000 5.705000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_2
+MACRO sky130_fd_sc_hd__o41ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.500000 1.075000 3.080000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.415000 2.330000 2.355000 ;
+        RECT 2.000000 1.075000 2.330000 1.415000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 1.830000 1.245000 ;
+        RECT 1.500000 1.245000 1.820000 2.355000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.075000 1.320000 1.245000 ;
+        RECT 1.015000 1.245000 1.320000 2.355000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.735000 ;
+        RECT 0.085000 0.735000 0.780000 0.905000 ;
+        RECT 0.515000 1.485000 0.845000 2.465000 ;
+        RECT 0.610000 0.905000 0.780000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.445000 0.345000 2.635000 ;
+      RECT 0.790000  0.255000 1.120000 0.565000 ;
+      RECT 0.950000  0.565000 1.120000 0.735000 ;
+      RECT 0.950000  0.735000 2.960000 0.905000 ;
+      RECT 1.290000  0.085000 1.540000 0.565000 ;
+      RECT 1.710000  0.255000 2.040000 0.735000 ;
+      RECT 2.210000  0.085000 2.460000 0.565000 ;
+      RECT 2.630000  0.255000 2.960000 0.735000 ;
+      RECT 2.630000  1.495000 2.960000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_1
+MACRO sky130_fd_sc_hd__o41ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.155000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.170000 1.075000 7.940000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 1.075000 5.980000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.075000 4.020000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 2.160000 0.905000 ;
+        RECT 0.515000 1.445000 3.885000 1.615000 ;
+        RECT 0.515000 1.615000 0.845000 2.465000 ;
+        RECT 1.355000 1.615000 1.685000 2.465000 ;
+        RECT 1.870000 0.905000 2.160000 1.445000 ;
+        RECT 2.715000 1.615000 3.045000 2.125000 ;
+        RECT 3.555000 1.615000 3.885000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  2.625000 0.465000 ;
+      RECT 0.085000  0.465000  0.345000 0.905000 ;
+      RECT 0.085000  1.445000  0.345000 2.635000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.855000  1.835000  2.105000 2.635000 ;
+      RECT 2.295000  1.785000  2.545000 2.295000 ;
+      RECT 2.295000  2.295000  4.225000 2.465000 ;
+      RECT 2.350000  0.465000  2.625000 0.735000 ;
+      RECT 2.350000  0.735000  9.865000 0.905000 ;
+      RECT 2.795000  0.085000  2.965000 0.545000 ;
+      RECT 3.135000  0.255000  3.465000 0.735000 ;
+      RECT 3.215000  1.785000  3.385000 2.295000 ;
+      RECT 3.635000  0.085000  3.805000 0.545000 ;
+      RECT 3.975000  0.255000  4.305000 0.735000 ;
+      RECT 4.055000  1.445000  5.985000 1.615000 ;
+      RECT 4.055000  1.615000  4.225000 2.295000 ;
+      RECT 4.395000  1.785000  4.645000 2.295000 ;
+      RECT 4.395000  2.295000  7.685000 2.465000 ;
+      RECT 4.475000  0.085000  4.645000 0.545000 ;
+      RECT 4.815000  0.255000  5.145000 0.735000 ;
+      RECT 4.815000  1.615000  5.145000 2.125000 ;
+      RECT 5.315000  0.085000  5.485000 0.545000 ;
+      RECT 5.315000  1.785000  5.485000 2.295000 ;
+      RECT 5.655000  0.255000  5.985000 0.735000 ;
+      RECT 5.655000  1.615000  5.985000 2.125000 ;
+      RECT 6.175000  0.260000  6.505000 0.735000 ;
+      RECT 6.175000  1.445000  9.865000 1.615000 ;
+      RECT 6.175000  1.615000  6.505000 2.125000 ;
+      RECT 6.675000  0.085000  6.845000 0.545000 ;
+      RECT 6.675000  1.785000  6.845000 2.295000 ;
+      RECT 7.015000  0.260000  7.345000 0.735000 ;
+      RECT 7.015000  1.615000  7.345000 2.125000 ;
+      RECT 7.515000  0.085000  7.685000 0.545000 ;
+      RECT 7.515000  1.785000  7.685000 2.295000 ;
+      RECT 7.855000  0.260000  8.185000 0.735000 ;
+      RECT 7.855000  1.615000  8.185000 2.465000 ;
+      RECT 8.355000  0.085000  8.525000 0.545000 ;
+      RECT 8.355000  1.835000  8.525000 2.635000 ;
+      RECT 8.695000  0.260000  9.025000 0.735000 ;
+      RECT 8.695000  1.615000  9.025000 2.465000 ;
+      RECT 9.195000  0.085000  9.365000 0.545000 ;
+      RECT 9.195000  1.835000  9.365000 2.635000 ;
+      RECT 9.535000  0.260000  9.865000 0.735000 ;
+      RECT 9.535000  1.615000  9.865000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_4
+MACRO sky130_fd_sc_hd__clkbuf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.855000 1.725000 2.030000 ;
+        RECT 1.060000 2.030000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.855000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.435000 ;
+      RECT 0.525000  1.855000 0.855000 2.635000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.495000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+      RECT 1.415000  2.210000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_2
+MACRO sky130_fd_sc_hd__clkbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.095000  1.525000 0.390000 2.635000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.525000 1.250000 2.635000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 1.850000  1.905000 2.110000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.635000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.635000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+      RECT 4.430000  1.905000 4.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_8
+MACRO sky130_fd_sc_hd__clkbuf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_1
+MACRO sky130_fd_sc_hd__clkbuf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.045000 1.835000 2.165000 2.005000 ;
+        RECT 1.045000 2.005000 1.305000 2.465000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.835000 ;
+        RECT 1.905000 2.005000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.395000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.565000  1.835000 0.875000 2.635000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 1.475000  2.175000 1.730000 2.635000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+      RECT 2.335000  1.765000 2.620000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_4
+MACRO sky130_fd_sc_hd__clkbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.280000 1.495000 9.025000 1.720000 ;
+        RECT 2.280000 1.720000 7.685000 1.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.095000  1.825000 0.390000 2.635000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 7.690000 1.325000 ;
+      RECT 0.570000  1.325000 0.815000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.825000 1.250000 2.635000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.430000  1.325000 1.680000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 1.850000  1.835000 2.110000 2.630000 ;
+      RECT 1.850000  2.630000 8.125000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.630000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.630000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 4.430000  1.905000 4.690000 2.630000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 5.290000  1.905000 5.535000 2.630000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 6.150000  1.905000 6.395000 2.630000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.010000  1.905000 7.255000 2.630000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 7.870000  1.905000 8.125000 2.630000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+      RECT 8.755000  1.890000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_16
+MACRO sky130_fd_sc_hd__mux2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.255000 2.265000 1.415000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 0.815000 1.785000 1.615000 ;
+        RECT 1.615000 1.615000 2.625000 1.785000 ;
+        RECT 2.435000 0.255000 2.625000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.105000 1.325000 ;
+        RECT 0.935000 1.325000 1.105000 2.295000 ;
+        RECT 0.935000 2.295000 2.965000 2.465000 ;
+        RECT 2.795000 1.440000 3.545000 1.630000 ;
+        RECT 2.795000 1.630000 2.965000 2.295000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.255000 0.345000 0.825000 ;
+        RECT 0.090000 0.825000 0.260000 1.495000 ;
+        RECT 0.090000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.420000 -0.085000 0.590000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.655000 1.445000 0.825000 ;
+      RECT 0.515000  0.825000 0.685000 0.995000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 1.270000  0.255000 1.800000 0.620000 ;
+      RECT 1.270000  0.620000 1.445000 0.655000 ;
+      RECT 1.275000  0.825000 1.445000 1.955000 ;
+      RECT 1.275000  1.955000 2.400000 2.125000 ;
+      RECT 2.805000  0.085000 3.315000 0.620000 ;
+      RECT 2.825000  0.895000 4.055000 1.065000 ;
+      RECT 3.135000  1.875000 3.305000 2.635000 ;
+      RECT 3.535000  0.290000 3.780000 0.895000 ;
+      RECT 3.540000  1.875000 4.055000 2.285000 ;
+      RECT 3.715000  1.065000 4.055000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_1
+MACRO sky130_fd_sc_hd__mux2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.180000 0.645000 6.895000 0.815000 ;
+        RECT 5.180000 0.815000 5.350000 1.325000 ;
+        RECT 5.305000 0.425000 5.890000 0.645000 ;
+        RECT 6.725000 0.815000 6.895000 0.995000 ;
+        RECT 6.725000 0.995000 7.195000 1.165000 ;
+        RECT 7.025000 1.165000 7.195000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.105000 4.475000 1.275000 ;
+        RECT 4.305000 0.995000 4.475000 1.105000 ;
+        RECT 4.305000 1.275000 4.475000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.290000 1.105000 4.460000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 0.995000 8.245000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.960000 1.105000 8.130000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.230000 1.075000 4.520000 1.120000 ;
+        RECT 4.230000 1.120000 8.190000 1.260000 ;
+        RECT 4.230000 1.260000 4.520000 1.305000 ;
+        RECT 7.900000 1.075000 8.190000 1.120000 ;
+        RECT 7.900000 1.260000 8.190000 1.305000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.739500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.795000 0.995000 3.965000 1.495000 ;
+        RECT 3.795000 1.495000 6.035000 1.665000 ;
+        RECT 5.670000 0.995000 6.035000 1.495000 ;
+      LAYER mcon ;
+        RECT 5.670000 1.445000 5.840000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.215000 0.995000 9.510000 1.615000 ;
+      LAYER mcon ;
+        RECT 9.340000 1.445000 9.510000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.610000 1.415000 5.900000 1.460000 ;
+        RECT 5.610000 1.460000 9.570000 1.600000 ;
+        RECT 5.610000 1.600000 5.900000 1.645000 ;
+        RECT 9.280000 1.415000 9.570000 1.460000 ;
+        RECT 9.280000 1.600000 9.570000 1.645000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 3.285000 0.805000 ;
+        RECT 0.595000 0.805000 0.815000 1.575000 ;
+        RECT 0.595000 1.575000 3.285000 1.745000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.295000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+        RECT 2.275000 0.255000 2.445000 0.635000 ;
+        RECT 2.275000 1.745000 2.445000 2.465000 ;
+        RECT 3.115000 0.295000 3.285000 0.635000 ;
+        RECT 3.115000 1.745000 3.285000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.090000  1.915000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 0.985000  1.075000 3.625000 1.245000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.465000 ;
+      RECT 3.455000  0.635000 4.920000 0.805000 ;
+      RECT 3.455000  0.805000 3.625000 1.075000 ;
+      RECT 3.455000  1.245000 3.625000 1.835000 ;
+      RECT 3.455000  1.835000 8.225000 2.005000 ;
+      RECT 3.455000  2.255000 3.785000 2.635000 ;
+      RECT 3.955000  0.295000 5.125000 0.465000 ;
+      RECT 3.955000  2.255000 5.905000 2.425000 ;
+      RECT 4.750000  0.805000 4.920000 0.935000 ;
+      RECT 6.060000  0.085000 6.390000 0.465000 ;
+      RECT 6.075000  2.175000 6.245000 2.635000 ;
+      RECT 6.345000  0.995000 6.515000 1.495000 ;
+      RECT 6.345000  1.495000 8.855000 1.665000 ;
+      RECT 6.480000  2.255000 8.645000 2.425000 ;
+      RECT 6.575000  0.295000 7.865000 0.465000 ;
+      RECT 7.115000  0.635000 7.670000 0.805000 ;
+      RECT 7.500000  0.805000 7.670000 0.935000 ;
+      RECT 8.685000  0.645000 9.485000 0.815000 ;
+      RECT 8.685000  0.815000 8.855000 1.495000 ;
+      RECT 8.685000  1.665000 8.855000 1.915000 ;
+      RECT 8.685000  1.915000 9.485000 2.085000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 9.315000  0.295000 9.485000 0.645000 ;
+      RECT 9.315000  1.795000 9.485000 1.915000 ;
+      RECT 9.315000  2.085000 9.485000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 4.750000  0.765000 4.920000 0.935000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.500000  0.765000 7.670000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.690000 0.735000 4.980000 0.780000 ;
+      RECT 4.690000 0.780000 7.730000 0.920000 ;
+      RECT 4.690000 0.920000 4.980000 0.965000 ;
+      RECT 7.440000 0.735000 7.730000 0.780000 ;
+      RECT 7.440000 0.920000 7.730000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2_8
+MACRO sky130_fd_sc_hd__mux2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 0.765000 2.445000 1.280000 ;
+        RECT 2.275000 1.280000 2.445000 1.315000 ;
+        RECT 2.275000 1.315000 3.090000 1.625000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.625000 0.735000 3.090000 1.025000 ;
+        RECT 2.900000 0.420000 3.090000 0.735000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 0.755000 3.550000 1.625000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.765000 0.750000 ;
+        RECT 0.515000 0.750000 0.685000 1.595000 ;
+        RECT 0.515000 1.595000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.855000  0.995000 1.165000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.995000  0.635000 1.605000 0.805000 ;
+      RECT 0.995000  0.805000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.835000 ;
+      RECT 0.995000  1.835000 1.655000 2.005000 ;
+      RECT 1.025000  2.175000 1.315000 2.635000 ;
+      RECT 1.335000  0.995000 1.505000 1.495000 ;
+      RECT 1.335000  1.495000 1.995000 1.665000 ;
+      RECT 1.435000  0.295000 2.730000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.635000 ;
+      RECT 1.485000  2.005000 1.655000 2.255000 ;
+      RECT 1.485000  2.255000 2.795000 2.425000 ;
+      RECT 1.825000  1.665000 1.995000 1.835000 ;
+      RECT 1.825000  1.835000 4.050000 2.005000 ;
+      RECT 3.325000  2.175000 3.545000 2.635000 ;
+      RECT 3.350000  0.085000 3.550000 0.585000 ;
+      RECT 3.715000  2.005000 4.050000 2.465000 ;
+      RECT 3.720000  0.255000 4.050000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_2
+MACRO sky130_fd_sc_hd__mux2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.750000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.435000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.740000 1.325000 ;
+        RECT 0.570000 0.635000 2.850000 0.805000 ;
+        RECT 0.570000 0.805000 0.740000 0.995000 ;
+        RECT 2.680000 0.805000 2.850000 0.995000 ;
+        RECT 2.680000 0.995000 3.395000 1.325000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.915000 0.255000 4.085000 0.635000 ;
+        RECT 3.915000 0.635000 5.430000 0.805000 ;
+        RECT 3.915000 1.575000 5.430000 1.745000 ;
+        RECT 3.915000 1.745000 4.085000 2.465000 ;
+        RECT 4.755000 0.255000 4.925000 0.635000 ;
+        RECT 4.755000 1.745000 4.925000 2.465000 ;
+        RECT 5.200000 0.805000 5.430000 1.575000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.295000 0.345000 0.625000 ;
+      RECT 0.090000  0.625000 0.260000 1.495000 ;
+      RECT 0.090000  1.495000 1.080000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.835000 0.820000 2.635000 ;
+      RECT 0.910000  0.995000 1.080000 1.495000 ;
+      RECT 0.990000  1.935000 1.340000 2.275000 ;
+      RECT 0.990000  2.275000 2.770000 2.445000 ;
+      RECT 1.530000  1.935000 3.245000 2.105000 ;
+      RECT 1.975000  0.295000 3.230000 0.465000 ;
+      RECT 1.980000  1.595000 3.735000 1.765000 ;
+      RECT 3.060000  0.465000 3.230000 0.655000 ;
+      RECT 3.060000  0.655000 3.735000 0.825000 ;
+      RECT 3.075000  2.105000 3.245000 2.465000 ;
+      RECT 3.415000  0.085000 3.745000 0.465000 ;
+      RECT 3.415000  2.255000 3.745000 2.635000 ;
+      RECT 3.565000  0.825000 3.735000 1.075000 ;
+      RECT 3.565000  1.075000 5.030000 1.245000 ;
+      RECT 3.565000  1.245000 3.735000 1.595000 ;
+      RECT 3.565000  1.765000 3.735000 1.785000 ;
+      RECT 4.255000  0.085000 4.585000 0.465000 ;
+      RECT 4.255000  1.915000 4.585000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.465000 ;
+      RECT 5.095000  1.915000 5.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_4
+MACRO sky130_fd_sc_hd__nand4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.765000 2.185000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 0.765000 1.755000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.887500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 3.135000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 1.665000 2.415000 2.465000 ;
+        RECT 2.695000 0.255000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.445000 0.475000 0.655000 ;
+      RECT 0.085000  0.655000 1.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.155000  0.425000 2.525000 0.595000 ;
+      RECT 1.155000  0.595000 1.335000 0.655000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.355000  0.595000 2.525000 0.995000 ;
+      RECT 2.355000  0.995000 2.755000 1.325000 ;
+      RECT 2.705000  1.835000 2.920000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_1
+MACRO sky130_fd_sc_hd__nand4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 3.100000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 1.075000 4.450000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.620000 1.075000 5.430000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 1.785000 0.825000 ;
+        RECT 1.455000 1.445000 4.865000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 1.550000 0.825000 1.785000 1.445000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 3.605000 1.665000 3.935000 2.465000 ;
+        RECT 4.535000 1.665000 4.865000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.670000 0.805000 ;
+      RECT 0.090000  1.915000 0.670000 2.085000 ;
+      RECT 0.090000  2.085000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.075000 ;
+      RECT 0.500000  1.075000 1.380000 1.245000 ;
+      RECT 0.500000  1.245000 0.670000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 1.285000 2.635000 ;
+      RECT 1.035000  0.255000 2.125000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.035000  1.445000 1.285000 2.255000 ;
+      RECT 1.955000  0.465000 2.125000 0.635000 ;
+      RECT 1.955000  0.635000 3.045000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 3.985000 0.465000 ;
+      RECT 2.795000  1.835000 3.435000 2.635000 ;
+      RECT 3.235000  0.635000 4.455000 0.715000 ;
+      RECT 3.235000  0.715000 5.340000 0.905000 ;
+      RECT 4.105000  1.835000 4.365000 2.635000 ;
+      RECT 4.155000  0.255000 4.415000 0.615000 ;
+      RECT 4.155000  0.615000 4.455000 0.635000 ;
+      RECT 4.665000  0.085000 4.835000 0.545000 ;
+      RECT 5.005000  0.255000 5.340000 0.715000 ;
+      RECT 5.035000  1.495000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_2
+MACRO sky130_fd_sc_hd__nand4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.930000 1.075000 4.590000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.510000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.015000 1.075000 8.655000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 8.185000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.135000 1.665000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+        RECT 7.015000 1.665000 7.345000 2.465000 ;
+        RECT 7.855000 1.665000 8.185000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 0.805000 0.905000 ;
+      RECT 0.090000  1.495000 0.805000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.805000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.805000 1.495000 ;
+      RECT 0.995000  1.495000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.255000 6.925000 0.465000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.675000  0.465000 6.925000 0.735000 ;
+      RECT 6.675000  0.735000 8.610000 0.905000 ;
+      RECT 6.675000  1.835000 6.845000 2.635000 ;
+      RECT 7.095000  0.085000 7.265000 0.545000 ;
+      RECT 7.435000  0.255000 7.765000 0.735000 ;
+      RECT 7.515000  1.835000 7.685000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.545000 ;
+      RECT 8.275000  0.255000 8.610000 0.735000 ;
+      RECT 8.355000  1.445000 8.610000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_4
+MACRO sky130_fd_sc_hd__einvn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.275000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.325000 1.385000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.694800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.445000 3.135000 1.695000 ;
+        RECT 2.365000 0.595000 2.695000 0.845000 ;
+        RECT 2.365000 0.845000 2.615000 1.445000 ;
+        RECT 2.785000 1.695000 3.135000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.840000 0.825000 ;
+      RECT 0.085000  1.555000 0.895000 1.725000 ;
+      RECT 0.085000  1.725000 0.345000 2.465000 ;
+      RECT 0.495000  0.825000 0.840000 0.995000 ;
+      RECT 0.495000  0.995000 2.035000 1.275000 ;
+      RECT 0.495000  1.275000 0.895000 1.555000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  1.895000 0.895000 2.635000 ;
+      RECT 1.015000  0.255000 1.280000 0.655000 ;
+      RECT 1.015000  0.655000 2.195000 0.825000 ;
+      RECT 1.070000  1.445000 1.775000 1.865000 ;
+      RECT 1.070000  1.865000 2.615000 2.085000 ;
+      RECT 1.070000  2.085000 1.240000 2.465000 ;
+      RECT 1.410000  2.255000 2.275000 2.635000 ;
+      RECT 1.450000  0.085000 1.780000 0.485000 ;
+      RECT 1.950000  0.255000 3.135000 0.425000 ;
+      RECT 1.950000  0.425000 2.195000 0.655000 ;
+      RECT 2.445000  2.085000 2.615000 2.465000 ;
+      RECT 2.865000  0.425000 3.135000 0.775000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_2
+MACRO sky130_fd_sc_hd__einvn_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.765000 1.755000 1.955000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.650000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.275600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.160000 0.255000 1.755000 0.595000 ;
+        RECT 1.160000 0.595000 1.330000 2.125000 ;
+        RECT 1.160000 2.125000 1.755000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.360000 0.655000 ;
+      RECT 0.085000  0.655000 0.990000 0.825000 ;
+      RECT 0.085000  1.895000 0.990000 2.065000 ;
+      RECT 0.085000  2.065000 0.400000 2.465000 ;
+      RECT 0.530000  0.085000 0.990000 0.485000 ;
+      RECT 0.570000  2.235000 0.990000 2.635000 ;
+      RECT 0.820000  0.825000 0.990000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_0
+MACRO sky130_fd_sc_hd__einvn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.530000 0.620000 4.975000 1.325000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.620000 4.360000 1.480000 ;
+        RECT 3.190000 1.480000 3.520000 2.075000 ;
+        RECT 4.030000 1.480000 4.360000 2.075000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.845000 0.825000 ;
+      RECT 0.085000  1.495000 0.845000 1.665000 ;
+      RECT 0.085000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 3.020000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 2.995000 0.825000 ;
+      RECT 1.015000  1.495000 3.020000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.640000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.810000  1.665000 3.020000 2.295000 ;
+      RECT 2.810000  2.295000 4.975000 2.465000 ;
+      RECT 2.825000  0.255000 4.975000 0.450000 ;
+      RECT 2.825000  0.450000 2.995000 0.655000 ;
+      RECT 3.690000  1.650000 3.860000 2.295000 ;
+      RECT 4.530000  1.650000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_4
+MACRO sky130_fd_sc_hd__einvn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.765000 2.215000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.510000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 1.785000 2.215000 2.465000 ;
+        RECT 1.620000 0.255000 2.215000 0.595000 ;
+        RECT 1.620000 0.595000 1.800000 1.785000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.370000 0.615000 ;
+      RECT 0.085000  0.615000 1.450000 0.785000 ;
+      RECT 0.085000  1.895000 0.870000 2.065000 ;
+      RECT 0.085000  2.065000 0.370000 2.465000 ;
+      RECT 0.540000  0.085000 1.440000 0.445000 ;
+      RECT 0.540000  2.235000 0.870000 2.635000 ;
+      RECT 0.685000  0.785000 1.450000 1.615000 ;
+      RECT 0.685000  1.615000 0.870000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_1
+MACRO sky130_fd_sc_hd__einvn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 0.995000 7.800000 1.285000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.620000 8.195000 0.825000 ;
+        RECT 4.870000 1.455000 8.195000 1.625000 ;
+        RECT 4.870000 1.625000 5.200000 2.125000 ;
+        RECT 5.710000 1.625000 6.040000 2.125000 ;
+        RECT 6.550000 1.625000 6.880000 2.125000 ;
+        RECT 7.390000 1.625000 7.720000 2.125000 ;
+        RECT 7.970000 0.825000 8.195000 1.455000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 0.845000 0.825000 ;
+      RECT 0.090000  1.495000 0.845000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 4.475000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 4.700000 0.825000 ;
+      RECT 1.015000  1.495000 4.700000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.580000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.750000  1.665000 2.920000 2.465000 ;
+      RECT 2.795000  0.255000 2.965000 0.655000 ;
+      RECT 3.090000  1.835000 3.420000 2.635000 ;
+      RECT 3.135000  0.085000 3.465000 0.485000 ;
+      RECT 3.590000  1.665000 3.760000 2.465000 ;
+      RECT 3.635000  0.255000 3.805000 0.655000 ;
+      RECT 3.930000  1.835000 4.280000 2.635000 ;
+      RECT 3.975000  0.085000 4.315000 0.485000 ;
+      RECT 4.450000  1.665000 4.700000 2.295000 ;
+      RECT 4.450000  2.295000 8.195000 2.465000 ;
+      RECT 4.485000  0.255000 8.195000 0.450000 ;
+      RECT 4.485000  0.450000 4.700000 0.655000 ;
+      RECT 5.370000  1.795000 5.540000 2.295000 ;
+      RECT 6.210000  1.795000 6.380000 2.295000 ;
+      RECT 7.050000  1.795000 7.220000 2.295000 ;
+      RECT 7.890000  1.795000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_8
+MACRO sky130_fd_sc_hd__dfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.600000 1.455000 9.005000 2.465000 ;
+        RECT 8.675000 0.275000 9.005000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.180000 0.265000 10.435000 0.795000 ;
+        RECT 10.180000 1.445000 10.435000 2.325000 ;
+        RECT 10.225000 0.795000 10.435000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.090000  0.345000  0.345000 0.635000 ;
+      RECT 0.090000  0.635000  0.840000 0.805000 ;
+      RECT 0.090000  1.795000  0.840000 1.965000 ;
+      RECT 0.090000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.185000 2.465000 ;
+      RECT 1.545000  0.085000  1.875000 0.445000 ;
+      RECT 1.850000  2.175000  2.100000 2.635000 ;
+      RECT 2.045000  0.305000  2.540000 0.475000 ;
+      RECT 2.045000  0.475000  2.215000 1.835000 ;
+      RECT 2.045000  1.835000  2.440000 2.005000 ;
+      RECT 2.270000  2.005000  2.440000 2.135000 ;
+      RECT 2.270000  2.135000  2.520000 2.465000 ;
+      RECT 2.385000  0.765000  2.735000 1.385000 ;
+      RECT 2.610000  1.575000  3.075000 1.965000 ;
+      RECT 2.735000  2.135000  3.415000 2.465000 ;
+      RECT 2.745000  0.305000  3.600000 0.475000 ;
+      RECT 2.905000  0.765000  3.260000 0.985000 ;
+      RECT 2.905000  0.985000  3.075000 1.575000 ;
+      RECT 3.245000  1.185000  4.935000 1.355000 ;
+      RECT 3.245000  1.355000  3.415000 2.135000 ;
+      RECT 3.430000  0.475000  3.600000 1.185000 ;
+      RECT 3.585000  1.865000  4.660000 2.035000 ;
+      RECT 3.585000  2.035000  3.755000 2.375000 ;
+      RECT 3.775000  1.525000  5.275000 1.695000 ;
+      RECT 3.990000  2.205000  4.320000 2.635000 ;
+      RECT 4.475000  0.085000  4.805000 0.545000 ;
+      RECT 4.490000  2.035000  4.660000 2.375000 ;
+      RECT 4.765000  1.005000  4.935000 1.185000 ;
+      RECT 4.955000  2.175000  5.325000 2.635000 ;
+      RECT 5.015000  0.275000  5.365000 0.445000 ;
+      RECT 5.015000  0.445000  5.275000 0.835000 ;
+      RECT 5.105000  0.835000  5.275000 1.525000 ;
+      RECT 5.105000  1.695000  5.275000 1.835000 ;
+      RECT 5.105000  1.835000  5.665000 2.005000 ;
+      RECT 5.465000  0.705000  5.675000 1.495000 ;
+      RECT 5.465000  1.495000  6.140000 1.655000 ;
+      RECT 5.465000  1.655000  6.430000 1.665000 ;
+      RECT 5.495000  2.005000  5.665000 2.465000 ;
+      RECT 5.585000  0.255000  6.535000 0.535000 ;
+      RECT 5.845000  0.705000  6.195000 1.325000 ;
+      RECT 5.900000  2.125000  6.770000 2.465000 ;
+      RECT 5.970000  1.665000  6.430000 1.955000 ;
+      RECT 6.365000  0.535000  6.535000 1.315000 ;
+      RECT 6.365000  1.315000  6.770000 1.485000 ;
+      RECT 6.600000  1.485000  6.770000 1.575000 ;
+      RECT 6.600000  1.575000  7.820000 1.745000 ;
+      RECT 6.600000  1.745000  6.770000 2.125000 ;
+      RECT 6.705000  0.085000  6.895000 0.525000 ;
+      RECT 6.705000  0.695000  7.235000 0.865000 ;
+      RECT 6.705000  0.865000  6.925000 1.145000 ;
+      RECT 6.940000  2.175000  7.190000 2.635000 ;
+      RECT 7.065000  0.295000  8.135000 0.465000 ;
+      RECT 7.065000  0.465000  7.235000 0.695000 ;
+      RECT 7.360000  1.915000  8.160000 2.085000 ;
+      RECT 7.360000  2.085000  7.530000 2.375000 ;
+      RECT 7.710000  2.255000  8.430000 2.635000 ;
+      RECT 7.815000  0.465000  8.135000 0.820000 ;
+      RECT 7.815000  0.820000  8.140000 0.995000 ;
+      RECT 7.815000  0.995000  8.435000 1.295000 ;
+      RECT 7.990000  1.295000  8.435000 1.325000 ;
+      RECT 7.990000  1.325000  8.160000 1.915000 ;
+      RECT 8.335000  0.085000  8.505000 0.770000 ;
+      RECT 9.195000  0.345000  9.445000 0.995000 ;
+      RECT 9.195000  0.995000 10.055000 1.325000 ;
+      RECT 9.195000  1.325000  9.525000 2.425000 ;
+      RECT 9.760000  0.085000  9.930000 0.680000 ;
+      RECT 9.760000  1.495000  9.930000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_1
+MACRO sky130_fd_sc_hd__dfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.160000 0.265000 9.495000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.030000 1.535000 10.420000 2.080000 ;
+        RECT 10.040000 0.310000 10.420000 0.825000 ;
+        RECT 10.120000 2.080000 10.420000 2.465000 ;
+        RECT 10.250000 0.825000 10.420000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  7.985000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.055000 2.635000 ;
+      RECT  7.815000  0.465000  7.985000 0.995000 ;
+      RECT  7.815000  0.995000  8.160000 1.075000 ;
+      RECT  7.815000  1.075000  8.650000 1.295000 ;
+      RECT  7.990000  1.295000  8.650000 1.325000 ;
+      RECT  7.990000  1.325000  8.160000 1.915000 ;
+      RECT  8.335000  0.345000  8.585000 0.715000 ;
+      RECT  8.335000  0.715000  8.990000 0.885000 ;
+      RECT  8.335000  1.795000  8.990000 1.865000 ;
+      RECT  8.335000  1.865000  9.835000 2.035000 ;
+      RECT  8.335000  2.035000  8.560000 2.465000 ;
+      RECT  8.730000  2.205000  9.070000 2.635000 ;
+      RECT  8.755000  0.085000  8.990000 0.545000 ;
+      RECT  8.820000  0.885000  8.990000 1.795000 ;
+      RECT  9.620000  2.255000  9.950000 2.635000 ;
+      RECT  9.665000  0.995000 10.080000 1.325000 ;
+      RECT  9.665000  1.325000  9.835000 1.865000 ;
+      RECT  9.700000  0.085000  9.870000 0.825000 ;
+      RECT 10.590000  0.085000 10.760000 0.930000 ;
+      RECT 10.590000  1.445000 10.760000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.495000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.497000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.770000 0.285000 3.095000 0.615000 ;
+        RECT 2.770000 1.625000 3.095000 2.460000 ;
+        RECT 2.865000 0.615000 3.095000 0.765000 ;
+        RECT 2.865000 0.765000 3.595000 1.275000 ;
+        RECT 2.865000 1.275000 3.095000 1.625000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.305000 0.345000 0.640000 ;
+      RECT 0.095000  0.640000 0.840000 0.810000 ;
+      RECT 0.095000  1.785000 0.835000 1.955000 ;
+      RECT 0.095000  1.955000 0.345000 2.465000 ;
+      RECT 0.575000  0.085000 0.905000 0.470000 ;
+      RECT 0.575000  2.125000 0.905000 2.635000 ;
+      RECT 0.665000  0.810000 0.840000 0.995000 ;
+      RECT 0.665000  0.995000 1.035000 1.325000 ;
+      RECT 0.665000  1.325000 1.005000 1.750000 ;
+      RECT 0.665000  1.750000 0.835000 1.785000 ;
+      RECT 1.095000  0.255000 1.425000 0.780000 ;
+      RECT 1.175000  1.425000 1.440000 2.465000 ;
+      RECT 1.205000  0.780000 1.425000 0.995000 ;
+      RECT 1.205000  0.995000 2.165000 1.325000 ;
+      RECT 1.205000  1.325000 1.440000 1.425000 ;
+      RECT 1.615000  0.255000 1.945000 0.635000 ;
+      RECT 1.615000  0.635000 2.595000 0.805000 ;
+      RECT 1.695000  1.500000 2.595000 1.745000 ;
+      RECT 1.695000  1.745000 1.945000 2.465000 ;
+      RECT 2.135000  0.085000 2.465000 0.465000 ;
+      RECT 2.135000  1.915000 2.465000 2.635000 ;
+      RECT 2.335000  0.805000 2.595000 1.500000 ;
+      RECT 3.265000  0.085000 3.595000 0.550000 ;
+      RECT 3.265000  1.635000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.485000 1.320000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.702900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.015000 0.255000 3.595000 0.640000 ;
+        RECT 3.035000 1.565000 3.595000 2.465000 ;
+        RECT 3.230000 0.640000 3.595000 1.565000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.410000 0.735000 ;
+      RECT 0.085000  0.735000 1.005000 0.905000 ;
+      RECT 0.085000  1.490000 1.005000 1.660000 ;
+      RECT 0.085000  1.660000 0.430000 2.465000 ;
+      RECT 0.580000  0.085000 0.910000 0.565000 ;
+      RECT 0.600000  1.830000 0.925000 2.635000 ;
+      RECT 0.655000  0.905000 1.005000 1.025000 ;
+      RECT 0.655000  1.025000 1.105000 1.295000 ;
+      RECT 0.655000  1.295000 1.005000 1.490000 ;
+      RECT 1.175000  0.255000 1.645000 0.855000 ;
+      RECT 1.195000  1.790000 1.645000 2.465000 ;
+      RECT 1.470000  0.855000 1.645000 1.075000 ;
+      RECT 1.470000  1.075000 2.420000 1.250000 ;
+      RECT 1.470000  1.250000 1.645000 1.790000 ;
+      RECT 1.815000  0.255000 2.065000 0.735000 ;
+      RECT 1.815000  0.735000 2.765000 0.905000 ;
+      RECT 1.815000  1.495000 2.765000 1.665000 ;
+      RECT 1.815000  1.665000 2.065000 2.465000 ;
+      RECT 2.235000  1.835000 2.845000 2.635000 ;
+      RECT 2.240000  0.085000 2.845000 0.565000 ;
+      RECT 2.595000  0.905000 2.765000 0.990000 ;
+      RECT 2.595000  0.990000 3.050000 1.325000 ;
+      RECT 2.595000  1.325000 2.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_1
+MACRO sky130_fd_sc_hd__tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.375000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.375000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_1
+MACRO sky130_fd_sc_hd__tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.835000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.775000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.835000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_2
+MACRO sky130_fd_sc_hd__dfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.655000  1.785000 0.825000 1.955000 ;
+      RECT 1.015000  1.105000 1.185000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.595000 1.755000 0.885000 1.800000 ;
+      RECT 0.595000 1.800000 6.255000 1.940000 ;
+      RECT 0.595000 1.940000 0.885000 1.985000 ;
+      RECT 0.955000 1.075000 1.245000 1.120000 ;
+      RECT 0.955000 1.120000 6.255000 1.260000 ;
+      RECT 0.955000 1.260000 1.245000 1.305000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtn_1
+MACRO sky130_fd_sc_hd__o22ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 1.415000 1.275000 ;
+        RECT 1.150000 1.275000 1.415000 1.445000 ;
+        RECT 1.150000 1.445000 3.575000 1.615000 ;
+        RECT 3.275000 1.075000 3.605000 1.245000 ;
+        RECT 3.275000 1.245000 3.575000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.685000 1.075000 3.095000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 0.995000 4.940000 1.445000 ;
+        RECT 4.295000 1.445000 6.935000 1.615000 ;
+        RECT 6.715000 0.995000 6.935000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.110000 1.075000 6.460000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 1.785000 3.915000 1.955000 ;
+        RECT 1.845000 1.955000 2.095000 2.125000 ;
+        RECT 2.685000 1.955000 2.935000 2.125000 ;
+        RECT 3.745000 1.445000 4.125000 1.615000 ;
+        RECT 3.745000 1.615000 3.915000 1.785000 ;
+        RECT 3.955000 0.645000 7.275000 0.820000 ;
+        RECT 3.955000 0.820000 4.125000 1.445000 ;
+        RECT 5.255000 1.785000 7.275000 1.955000 ;
+        RECT 5.255000 1.955000 5.505000 2.125000 ;
+        RECT 6.095000 1.955000 6.345000 2.125000 ;
+        RECT 7.105000 0.820000 7.275000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.125000  0.255000 0.455000 0.725000 ;
+      RECT 0.125000  0.725000 1.295000 0.735000 ;
+      RECT 0.125000  0.735000 3.785000 0.905000 ;
+      RECT 0.165000  1.445000 0.415000 2.635000 ;
+      RECT 0.585000  1.445000 0.835000 1.785000 ;
+      RECT 0.585000  1.785000 1.675000 1.955000 ;
+      RECT 0.585000  1.955000 0.835000 2.465000 ;
+      RECT 0.625000  0.085000 0.795000 0.555000 ;
+      RECT 0.965000  0.255000 1.295000 0.725000 ;
+      RECT 1.005000  2.125000 1.255000 2.635000 ;
+      RECT 1.425000  1.955000 1.675000 2.295000 ;
+      RECT 1.425000  2.295000 3.395000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.555000 ;
+      RECT 1.805000  0.255000 2.135000 0.725000 ;
+      RECT 1.805000  0.725000 2.975000 0.735000 ;
+      RECT 2.265000  2.125000 2.515000 2.295000 ;
+      RECT 2.305000  0.085000 2.475000 0.555000 ;
+      RECT 2.645000  0.255000 2.975000 0.725000 ;
+      RECT 3.105000  2.125000 3.395000 2.295000 ;
+      RECT 3.145000  0.085000 3.315000 0.555000 ;
+      RECT 3.485000  0.255000 7.245000 0.475000 ;
+      RECT 3.485000  0.475000 3.785000 0.735000 ;
+      RECT 3.565000  2.125000 3.785000 2.635000 ;
+      RECT 3.955000  2.125000 4.255000 2.465000 ;
+      RECT 4.085000  1.785000 5.085000 1.955000 ;
+      RECT 4.085000  1.955000 4.255000 2.125000 ;
+      RECT 4.425000  2.125000 4.665000 2.635000 ;
+      RECT 4.835000  1.955000 5.085000 2.295000 ;
+      RECT 4.835000  2.295000 6.765000 2.465000 ;
+      RECT 5.675000  2.125000 5.925000 2.295000 ;
+      RECT 6.515000  2.135000 6.765000 2.295000 ;
+      RECT 6.935000  2.125000 7.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_4
+MACRO sky130_fd_sc_hd__o22ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.165000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.555000 1.075000 3.225000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.985000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.155000 1.075000 1.925000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 2.340000 0.905000 ;
+        RECT 1.375000 0.645000 1.705000 0.725000 ;
+        RECT 1.415000 1.445000 3.065000 1.625000 ;
+        RECT 1.415000 1.625000 1.665000 2.125000 ;
+        RECT 2.095000 0.905000 2.340000 1.445000 ;
+        RECT 2.815000 1.625000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.305000 2.680000 0.475000 ;
+      RECT 0.090000  0.475000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 1.245000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.085000 2.465000 ;
+      RECT 1.835000  1.795000 2.085000 2.295000 ;
+      RECT 2.395000  1.795000 2.645000 2.295000 ;
+      RECT 2.395000  2.295000 3.485000 2.465000 ;
+      RECT 2.510000  0.475000 2.680000 0.725000 ;
+      RECT 2.510000  0.725000 4.365000 0.905000 ;
+      RECT 2.855000  0.085000 3.025000 0.555000 ;
+      RECT 3.195000  0.255000 3.525000 0.725000 ;
+      RECT 3.235000  1.455000 4.330000 1.625000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.655000  1.795000 3.905000 2.635000 ;
+      RECT 3.695000  0.085000 3.865000 0.555000 ;
+      RECT 4.035000  0.255000 4.365000 0.725000 ;
+      RECT 4.075000  1.625000 4.330000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_2
+MACRO sky130_fd_sc_hd__o22ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.215000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.220000 1.075000 1.585000 1.245000 ;
+        RECT 1.405000 1.245000 1.585000 1.445000 ;
+        RECT 1.405000 1.445000 1.725000 1.615000 ;
+        RECT 1.525000 1.615000 1.725000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.665000 0.325000 1.990000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.995000 1.005000 1.415000 ;
+        RECT 0.835000 1.415000 1.235000 1.665000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.650250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 0.645000 0.845000 0.825000 ;
+        RECT 0.495000 0.825000 0.665000 1.835000 ;
+        RECT 0.495000 1.835000 1.335000 2.045000 ;
+        RECT 0.835000 2.045000 1.335000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 1.345000 0.475000 ;
+      RECT 0.135000  2.175000 0.345000 2.635000 ;
+      RECT 1.015000  0.475000 1.345000 0.695000 ;
+      RECT 1.015000  0.695000 2.215000 0.825000 ;
+      RECT 1.185000  0.825000 2.215000 0.865000 ;
+      RECT 1.535000  0.085000 1.705000 0.525000 ;
+      RECT 1.875000  0.280000 2.215000 0.695000 ;
+      RECT 1.895000  1.455000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_1
+MACRO sky130_fd_sc_hd__a32o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.665000 2.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.665000 1.800000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.995000 1.320000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.660000 2.870000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.180000 0.995000 3.530000 1.325000 ;
+        RECT 3.325000 1.325000 3.530000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.300000 0.425000 0.560000 ;
+        RECT 0.090000 0.560000 0.345000 1.915000 ;
+        RECT 0.090000 1.915000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.570000  0.995000 0.875000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.675000  1.835000 1.005000 2.635000 ;
+      RECT 0.705000  0.655000 1.265000 0.825000 ;
+      RECT 0.705000  0.825000 0.875000 0.995000 ;
+      RECT 0.705000  1.325000 0.875000 1.495000 ;
+      RECT 0.705000  1.495000 3.075000 1.665000 ;
+      RECT 1.095000  0.315000 2.710000 0.485000 ;
+      RECT 1.095000  0.485000 1.265000 0.655000 ;
+      RECT 1.250000  1.875000 2.675000 2.045000 ;
+      RECT 1.250000  2.045000 1.535000 2.465000 ;
+      RECT 1.790000  2.215000 2.120000 2.635000 ;
+      RECT 2.345000  2.045000 2.675000 2.295000 ;
+      RECT 2.345000  2.295000 3.505000 2.465000 ;
+      RECT 2.905000  1.665000 3.075000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.805000 ;
+      RECT 3.335000  1.795000 3.505000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_1
+MACRO sky130_fd_sc_hd__a32o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.955000 2.985000 1.325000 ;
+        RECT 2.755000 0.415000 3.105000 0.610000 ;
+        RECT 2.755000 0.610000 2.985000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.165000 0.995000 3.545000 1.325000 ;
+        RECT 3.305000 0.425000 3.545000 0.995000 ;
+        RECT 3.305000 1.325000 3.545000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.995000 4.055000 1.630000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 1.075000 2.515000 1.245000 ;
+        RECT 2.345000 1.245000 2.515000 1.445000 ;
+        RECT 2.345000 1.445000 2.550000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.745000 1.530000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.695500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.655000 0.845000 0.825000 ;
+        RECT 0.135000 0.825000 0.345000 1.785000 ;
+        RECT 0.135000 1.785000 1.185000 1.955000 ;
+        RECT 0.135000 1.955000 0.345000 2.465000 ;
+        RECT 1.015000 1.955000 1.185000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 0.535000  0.995000 0.705000 1.445000 ;
+      RECT 0.535000  1.445000 2.125000 1.615000 ;
+      RECT 0.935000  0.085000 1.640000 0.445000 ;
+      RECT 1.535000  1.785000 1.705000 2.295000 ;
+      RECT 1.535000  2.295000 2.545000 2.465000 ;
+      RECT 1.700000  0.615000 2.585000 0.785000 ;
+      RECT 1.700000  0.785000 1.890000 1.445000 ;
+      RECT 1.875000  1.615000 2.125000 1.945000 ;
+      RECT 1.875000  1.945000 2.205000 2.115000 ;
+      RECT 2.255000  0.275000 2.585000 0.615000 ;
+      RECT 2.375000  1.795000 3.545000 1.965000 ;
+      RECT 2.375000  1.965000 2.545000 2.295000 ;
+      RECT 2.715000  2.140000 3.045000 2.635000 ;
+      RECT 3.375000  1.965000 3.545000 2.465000 ;
+      RECT 3.715000  0.085000 4.050000 0.805000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_2
+MACRO sky130_fd_sc_hd__a32o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.280000 1.075000 5.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.335000 1.075000 4.030000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.295000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.630000 1.075000 6.780000 1.625000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 1.075000 7.710000 1.295000 ;
+        RECT 7.030000 1.295000 7.225000 1.635000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.635000 1.605000 0.805000 ;
+        RECT 0.120000 0.805000 0.340000 1.495000 ;
+        RECT 0.120000 1.495000 1.605000 1.665000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.665000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.665000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.570000  0.995000 1.970000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.800000  1.325000 1.970000 1.495000 ;
+      RECT 1.800000  1.495000 5.450000 1.665000 ;
+      RECT 2.275000  0.255000 2.445000 0.655000 ;
+      RECT 2.275000  0.655000 3.885000 0.825000 ;
+      RECT 2.275000  1.915000 5.065000 2.085000 ;
+      RECT 2.275000  2.085000 2.445000 2.465000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  2.255000 2.945000 2.635000 ;
+      RECT 3.135000  0.295000 5.145000 0.465000 ;
+      RECT 3.215000  2.085000 3.385000 2.465000 ;
+      RECT 3.555000  2.255000 3.885000 2.635000 ;
+      RECT 4.055000  2.085000 4.225000 2.465000 ;
+      RECT 4.395000  0.635000 6.425000 0.805000 ;
+      RECT 4.395000  2.255000 4.725000 2.635000 ;
+      RECT 4.895000  2.085000 5.065000 2.255000 ;
+      RECT 4.895000  2.255000 7.725000 2.425000 ;
+      RECT 5.280000  0.805000 5.450000 1.495000 ;
+      RECT 5.280000  1.665000 5.450000 1.905000 ;
+      RECT 5.280000  1.905000 6.200000 1.915000 ;
+      RECT 5.280000  1.915000 7.305000 2.075000 ;
+      RECT 5.670000  0.295000 6.805000 0.465000 ;
+      RECT 6.135000  2.075000 7.305000 2.085000 ;
+      RECT 6.635000  0.255000 6.805000 0.295000 ;
+      RECT 6.635000  0.465000 6.805000 0.645000 ;
+      RECT 6.635000  0.645000 7.645000 0.815000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.475000  0.255000 7.645000 0.645000 ;
+      RECT 7.475000  1.755000 7.725000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_4
+MACRO sky130_fd_sc_hd__mux2i_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 0.995000 1.070000 1.105000 ;
+        RECT 0.560000 1.105000 1.240000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 3.550000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  1.237500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.845000 1.075000 5.930000 1.290000 ;
+        RECT 5.760000 1.290000 5.930000 1.425000 ;
+        RECT 5.760000 1.425000 7.850000 1.595000 ;
+        RECT 7.680000 0.995000 7.850000 1.425000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  2.194500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.315000 3.785000 0.485000 ;
+        RECT 0.095000 0.485000 0.320000 2.255000 ;
+        RECT 0.095000 2.255000 3.785000 2.425000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.515000  0.655000 1.700000 0.825000 ;
+      RECT 0.515000  1.575000 5.580000 1.745000 ;
+      RECT 1.355000  0.825000 1.700000 0.935000 ;
+      RECT 2.195000  0.655000 5.485000 0.825000 ;
+      RECT 2.195000  1.915000 7.165000 2.085000 ;
+      RECT 3.975000  0.085000 4.305000 0.465000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.475000  0.255000 4.645000 0.655000 ;
+      RECT 4.815000  0.085000 5.145000 0.465000 ;
+      RECT 4.815000  2.255000 5.145000 2.635000 ;
+      RECT 5.315000  0.255000 5.485000 0.655000 ;
+      RECT 5.655000  0.085000 5.980000 0.590000 ;
+      RECT 5.655000  2.255000 5.985000 2.635000 ;
+      RECT 6.150000  0.255000 6.325000 0.715000 ;
+      RECT 6.150000  0.715000 7.165000 0.905000 ;
+      RECT 6.150000  0.905000 6.450000 0.935000 ;
+      RECT 6.155000  1.795000 6.325000 1.915000 ;
+      RECT 6.155000  2.085000 6.325000 2.465000 ;
+      RECT 6.495000  2.255000 6.825000 2.635000 ;
+      RECT 6.545000  0.085000 6.795000 0.545000 ;
+      RECT 6.730000  1.075000 7.510000 1.245000 ;
+      RECT 6.995000  0.510000 7.165000 0.715000 ;
+      RECT 6.995000  1.795000 7.165000 1.915000 ;
+      RECT 6.995000  2.085000 7.165000 2.465000 ;
+      RECT 7.340000  0.655000 8.195000 0.825000 ;
+      RECT 7.340000  0.825000 7.510000 1.075000 ;
+      RECT 7.435000  0.085000 7.765000 0.465000 ;
+      RECT 7.435000  2.255000 7.765000 2.635000 ;
+      RECT 7.935000  0.255000 8.195000 0.655000 ;
+      RECT 7.935000  1.795000 8.195000 2.465000 ;
+      RECT 8.020000  0.825000 8.195000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.150000  0.765000 6.320000 0.935000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 6.380000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 6.090000 0.735000 6.380000 0.780000 ;
+      RECT 6.090000 0.920000 6.380000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_4
+MACRO sky130_fd_sc_hd__mux2i_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.420000 1.285000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.995000 1.125000 1.155000 ;
+        RECT 0.955000 1.155000 1.205000 1.325000 ;
+        RECT 1.035000 1.325000 1.205000 1.445000 ;
+        RECT 1.035000 1.445000 1.235000 2.110000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.760000 3.595000 1.620000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.595000 0.780000 1.455000 ;
+        RECT 0.590000 1.455000 0.840000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 1.805000 0.425000 ;
+      RECT 0.085000  0.425000 0.440000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.885000 ;
+      RECT 0.120000  1.455000 0.420000 2.295000 ;
+      RECT 0.120000  2.295000 1.575000 2.465000 ;
+      RECT 0.955000  0.655000 1.520000 0.715000 ;
+      RECT 0.955000  0.715000 2.620000 0.825000 ;
+      RECT 0.965000  0.425000 1.805000 0.465000 ;
+      RECT 1.295000  0.825000 2.620000 0.885000 ;
+      RECT 1.385000  1.075000 3.085000 1.310000 ;
+      RECT 1.405000  1.480000 2.615000 1.650000 ;
+      RECT 1.405000  1.650000 1.575000 2.295000 ;
+      RECT 1.745000  1.835000 1.975000 2.635000 ;
+      RECT 1.975000  0.085000 2.145000 0.545000 ;
+      RECT 2.285000  1.650000 2.615000 2.465000 ;
+      RECT 2.385000  0.255000 2.620000 0.715000 ;
+      RECT 2.800000  0.255000 3.165000 0.485000 ;
+      RECT 2.800000  0.485000 3.085000 1.075000 ;
+      RECT 2.860000  1.310000 3.085000 2.465000 ;
+      RECT 3.295000  1.835000 3.590000 2.635000 ;
+      RECT 3.335000  0.085000 3.555000 0.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2i_1
+MACRO sky130_fd_sc_hd__mux2i_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.560000 1.275000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 0.995000 4.635000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.325000 ;
+        RECT 0.580000 0.725000 0.780000 0.995000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  1.691250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.295000 4.975000 0.465000 ;
+        RECT 2.715000 2.255000 4.975000 2.425000 ;
+        RECT 4.750000 1.785000 4.975000 2.255000 ;
+        RECT 4.805000 0.465000 4.975000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.675000 ;
+      RECT 0.085000  0.675000 0.260000 1.495000 ;
+      RECT 0.085000  1.495000 1.395000 1.665000 ;
+      RECT 0.085000  1.665000 0.260000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.835000 0.545000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.935000  1.835000 1.735000 2.005000 ;
+      RECT 1.015000  0.575000 1.255000 0.935000 ;
+      RECT 1.225000  1.155000 1.985000 1.325000 ;
+      RECT 1.225000  1.325000 1.395000 1.495000 ;
+      RECT 1.355000  2.255000 1.685000 2.635000 ;
+      RECT 1.435000  0.085000 1.685000 0.885000 ;
+      RECT 1.565000  1.495000 3.465000 1.665000 ;
+      RECT 1.565000  1.665000 1.735000 1.835000 ;
+      RECT 1.655000  1.075000 1.985000 1.155000 ;
+      RECT 1.855000  0.295000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 3.465000 0.905000 ;
+      RECT 1.855000  2.135000 2.080000 2.465000 ;
+      RECT 1.910000  1.835000 2.885000 1.915000 ;
+      RECT 1.910000  1.915000 4.350000 2.005000 ;
+      RECT 1.910000  2.005000 2.080000 2.135000 ;
+      RECT 2.275000  0.085000 2.445000 0.545000 ;
+      RECT 2.275000  2.175000 2.525000 2.635000 ;
+      RECT 2.715000  2.005000 4.350000 2.085000 ;
+      RECT 3.135000  0.655000 3.465000 0.735000 ;
+      RECT 3.135000  1.665000 3.465000 1.715000 ;
+      RECT 3.850000  0.655000 4.345000 0.825000 ;
+      RECT 3.850000  0.825000 4.105000 0.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.080000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_2
+MACRO sky130_fd_sc_hd__nand2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.155000 1.075000 4.940000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 4.320000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.640000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.150000 1.665000 3.480000 2.465000 ;
+        RECT 3.990000 1.665000 4.320000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 0.780000 0.905000 ;
+      RECT 0.090000  1.445000 0.780000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.790000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.780000 1.445000 ;
+      RECT 0.970000  1.445000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 3.060000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.810000  0.465000 3.060000 0.715000 ;
+      RECT 2.810000  0.715000 4.850000 0.905000 ;
+      RECT 2.810000  1.835000 2.980000 2.635000 ;
+      RECT 3.230000  0.085000 3.400000 0.545000 ;
+      RECT 3.570000  0.255000 3.900000 0.715000 ;
+      RECT 3.650000  1.835000 3.820000 2.635000 ;
+      RECT 4.070000  0.085000 4.310000 0.545000 ;
+      RECT 4.520000  0.255000 4.850000 0.715000 ;
+      RECT 4.520000  1.495000 4.850000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_4
+MACRO sky130_fd_sc_hd__nand2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 0.995000 0.800000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.135000 1.275000 ;
+        RECT 1.990000 1.275000 2.180000 1.655000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.775500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.835000 2.635000 2.005000 ;
+        RECT 1.035000 2.005000 1.365000 2.465000 ;
+        RECT 1.525000 0.635000 1.855000 0.805000 ;
+        RECT 1.530000 0.805000 1.855000 0.905000 ;
+        RECT 1.530000 0.905000 1.810000 1.835000 ;
+        RECT 2.280000 2.005000 2.635000 2.465000 ;
+        RECT 2.360000 1.495000 2.635000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.110000  0.510000 0.345000 0.840000 ;
+      RECT 0.110000  0.840000 0.280000 1.495000 ;
+      RECT 0.110000  1.495000 1.360000 1.665000 ;
+      RECT 0.110000  1.665000 0.410000 1.860000 ;
+      RECT 0.515000  0.085000 0.845000 0.825000 ;
+      RECT 0.580000  1.835000 0.835000 2.635000 ;
+      RECT 1.030000  1.075000 1.360000 1.495000 ;
+      RECT 1.080000  0.255000 2.275000 0.465000 ;
+      RECT 1.080000  0.465000 1.355000 0.905000 ;
+      RECT 1.535000  2.175000 2.110000 2.635000 ;
+      RECT 2.025000  0.465000 2.275000 0.695000 ;
+      RECT 2.025000  0.695000 3.135000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.525000 ;
+      RECT 2.785000  0.255000 3.135000 0.695000 ;
+      RECT 2.805000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_2
+MACRO sky130_fd_sc_hd__nand2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.315000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.085000 1.315000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 1.835000 2.170000 2.005000 ;
+        RECT 1.000000 2.005000 1.330000 2.465000 ;
+        RECT 1.420000 0.255000 2.170000 0.545000 ;
+        RECT 1.800000 0.545000 2.170000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.525000 0.360000 0.735000 ;
+      RECT 0.090000  0.735000 1.425000 0.905000 ;
+      RECT 0.090000  1.495000 1.425000 1.665000 ;
+      RECT 0.090000  1.665000 0.370000 1.825000 ;
+      RECT 0.580000  0.085000 0.910000 0.545000 ;
+      RECT 0.580000  1.835000 0.830000 2.635000 ;
+      RECT 1.255000  0.905000 1.425000 1.075000 ;
+      RECT 1.255000  1.075000 1.630000 1.325000 ;
+      RECT 1.255000  1.325000 1.425000 1.495000 ;
+      RECT 1.500000  2.175000 1.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_1
+MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvpwrvgnd_1
+MACRO sky130_fd_sc_hd__a221o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+      RECT 3.745000  0.085000 3.915000 0.980000 ;
+      RECT 3.745000  1.445000 3.915000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_2
+MACRO sky130_fd_sc_hd__a221o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_1
+MACRO sky130_fd_sc_hd__a221o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 1.075000 3.190000 1.105000 ;
+        RECT 2.855000 1.105000 4.060000 1.285000 ;
+        RECT 3.710000 1.075000 4.060000 1.105000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 1.075000 2.680000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 1.075000 6.035000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.270000 1.075000 7.280000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.230000 1.075000 4.725000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.735000 1.685000 0.905000 ;
+        RECT 0.095000 0.905000 0.325000 1.455000 ;
+        RECT 0.095000 1.455000 1.645000 1.625000 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 1.685000 0.735000 ;
+        RECT 0.555000 1.625000 0.805000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 1.395000 1.625000 1.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.155000  1.795000 0.385000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.555000 ;
+      RECT 0.495000  1.075000 1.845000 1.115000 ;
+      RECT 0.495000  1.115000 1.985000 1.285000 ;
+      RECT 0.975000  1.795000 1.225000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.815000  1.285000 1.985000 1.455000 ;
+      RECT 1.815000  1.455000 5.065000 1.625000 ;
+      RECT 1.815000  1.795000 2.065000 2.635000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  0.735000 2.525000 0.905000 ;
+      RECT 1.945000  0.905000 2.165000 0.935000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  1.795000 4.230000 1.875000 ;
+      RECT 2.235000  1.875000 5.575000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.465000 ;
+      RECT 2.655000  2.135000 2.905000 2.635000 ;
+      RECT 2.695000  0.085000 2.865000 0.895000 ;
+      RECT 3.075000  1.965000 3.330000 2.465000 ;
+      RECT 3.080000  0.305000 4.305000 0.475000 ;
+      RECT 3.190000  0.735000 3.885000 0.905000 ;
+      RECT 3.315000  0.905000 3.610000 0.935000 ;
+      RECT 3.500000  2.135000 3.750000 2.635000 ;
+      RECT 3.550000  0.645000 3.885000 0.735000 ;
+      RECT 3.940000  2.215000 6.385000 2.295000 ;
+      RECT 3.940000  2.295000 7.225000 2.465000 ;
+      RECT 4.055000  0.475000 4.305000 0.725000 ;
+      RECT 4.055000  0.725000 5.065000 0.905000 ;
+      RECT 4.060000  1.965000 5.575000 2.045000 ;
+      RECT 4.405000  1.625000 4.735000 1.705000 ;
+      RECT 4.475000  0.085000 4.645000 0.555000 ;
+      RECT 4.815000  0.255000 5.985000 0.475000 ;
+      RECT 4.815000  0.475000 5.065000 0.725000 ;
+      RECT 4.895000  0.905000 5.065000 1.455000 ;
+      RECT 5.235000  0.645000 6.505000 0.725000 ;
+      RECT 5.235000  0.725000 7.345000 0.905000 ;
+      RECT 5.245000  1.455000 6.805000 1.625000 ;
+      RECT 5.245000  1.625000 5.575000 1.875000 ;
+      RECT 5.745000  1.795000 6.385000 2.215000 ;
+      RECT 6.555000  1.625000 6.805000 2.125000 ;
+      RECT 6.675000  0.085000 6.845000 0.555000 ;
+      RECT 6.975000  1.785000 7.225000 2.295000 ;
+      RECT 7.015000  0.255000 7.345000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.995000  0.765000 2.165000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.400000  0.765000 3.570000 0.935000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.935000 0.735000 2.225000 0.780000 ;
+      RECT 1.935000 0.780000 3.630000 0.920000 ;
+      RECT 1.935000 0.920000 2.225000 0.965000 ;
+      RECT 3.340000 0.735000 3.630000 0.780000 ;
+      RECT 3.340000 0.920000 3.630000 0.965000 ;
+  END
+END sky130_fd_sc_hd__a221o_4
+MACRO sky130_fd_sc_hd__a2bb2o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.280000 0.765000 3.540000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.355000 3.080000 1.655000 ;
+        RECT 2.820000 0.765000 3.080000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.810000 ;
+        RECT 0.085000 0.810000 0.260000 1.525000 ;
+        RECT 0.085000 1.525000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.945000 0.530000 ;
+      RECT 0.515000  1.325000 0.685000 1.805000 ;
+      RECT 0.515000  1.805000 1.275000 1.975000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 1.105000  1.975000 1.275000 2.200000 ;
+      RECT 1.105000  2.200000 2.245000 2.370000 ;
+      RECT 1.180000  0.255000 1.350000 0.655000 ;
+      RECT 1.180000  0.655000 2.060000 0.825000 ;
+      RECT 1.520000  0.085000 2.240000 0.485000 ;
+      RECT 1.540000  1.545000 2.060000 1.715000 ;
+      RECT 1.540000  1.715000 1.710000 1.905000 ;
+      RECT 1.890000  0.825000 2.060000 1.545000 ;
+      RECT 1.990000  1.895000 2.400000 2.065000 ;
+      RECT 1.990000  2.065000 2.245000 2.200000 ;
+      RECT 1.990000  2.370000 2.245000 2.465000 ;
+      RECT 2.230000  0.700000 2.580000 0.870000 ;
+      RECT 2.230000  0.870000 2.400000 1.895000 ;
+      RECT 2.410000  0.255000 2.580000 0.700000 ;
+      RECT 2.415000  2.255000 2.745000 2.425000 ;
+      RECT 2.575000  1.835000 3.515000 2.005000 ;
+      RECT 2.575000  2.005000 2.745000 2.255000 ;
+      RECT 2.915000  2.175000 3.165000 2.635000 ;
+      RECT 3.155000  0.085000 3.555000 0.595000 ;
+      RECT 3.335000  2.005000 3.515000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_1
+MACRO sky130_fd_sc_hd__a2bb2o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.325000 ;
+        RECT 3.475000 1.325000 3.645000 1.445000 ;
+        RECT 3.475000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.685000 1.615000 ;
+        RECT 1.515000 1.075000 1.895000 1.245000 ;
+        RECT 1.515000 1.245000 1.685000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.920000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.920000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.610000 0.905000 6.920000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.135000  1.785000 2.065000 1.955000 ;
+      RECT 0.135000  1.955000 0.385000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 1.685000 0.475000 ;
+      RECT 0.515000  0.475000 0.765000 0.905000 ;
+      RECT 0.555000  2.125000 0.805000 2.635000 ;
+      RECT 0.935000  0.645000 1.270000 0.735000 ;
+      RECT 0.935000  0.735000 2.525000 0.905000 ;
+      RECT 0.975000  1.955000 1.225000 2.465000 ;
+      RECT 1.395000  2.125000 1.645000 2.635000 ;
+      RECT 1.815000  1.955000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 2.905000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  1.455000 2.065000 1.785000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  0.905000 2.445000 1.415000 ;
+      RECT 2.235000  1.415000 2.620000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.125000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.655000  2.135000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 3.385000 0.555000 ;
+      RECT 2.955000  0.725000 4.725000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.495000 ;
+      RECT 2.955000  1.495000 3.305000 1.665000 ;
+      RECT 3.135000  1.665000 3.305000 1.785000 ;
+      RECT 3.135000  1.785000 4.265000 1.965000 ;
+      RECT 3.175000  2.135000 3.425000 2.635000 ;
+      RECT 3.555000  0.255000 3.885000 0.725000 ;
+      RECT 3.595000  2.135000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.965000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  1.785000 4.685000 2.295000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.135000  1.075000 6.440000 1.245000 ;
+      RECT 5.135000  1.245000 5.460000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  1.445000 5.400000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.460000 5.460000 1.600000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 5.170000 1.415000 5.460000 1.460000 ;
+      RECT 5.170000 1.600000 5.460000 1.645000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_4
+MACRO sky130_fd_sc_hd__a2bb2o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.345000 0.995000 1.675000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 0.995000 2.135000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.730000 0.765000 3.990000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.355000 3.530000 1.655000 ;
+        RECT 3.270000 0.765000 3.530000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.780000 0.810000 ;
+        RECT 0.525000 0.810000 0.695000 1.525000 ;
+        RECT 0.525000 1.525000 0.780000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.185000  0.085000 0.355000 0.930000 ;
+      RECT 0.185000  1.445000 0.355000 2.635000 ;
+      RECT 0.865000  0.995000 1.120000 1.325000 ;
+      RECT 0.950000  0.085000 1.380000 0.530000 ;
+      RECT 0.950000  1.325000 1.120000 1.805000 ;
+      RECT 0.950000  1.805000 1.710000 1.975000 ;
+      RECT 0.950000  2.235000 1.280000 2.635000 ;
+      RECT 1.540000  1.975000 1.710000 2.200000 ;
+      RECT 1.540000  2.200000 2.670000 2.370000 ;
+      RECT 1.615000  0.255000 1.785000 0.655000 ;
+      RECT 1.615000  0.655000 2.510000 0.825000 ;
+      RECT 1.955000  0.085000 2.690000 0.485000 ;
+      RECT 1.975000  1.545000 2.510000 1.715000 ;
+      RECT 1.975000  1.715000 2.145000 1.905000 ;
+      RECT 2.340000  0.825000 2.510000 1.545000 ;
+      RECT 2.440000  1.895000 2.850000 2.065000 ;
+      RECT 2.440000  2.065000 2.670000 2.200000 ;
+      RECT 2.500000  2.370000 2.670000 2.465000 ;
+      RECT 2.680000  0.700000 3.030000 0.870000 ;
+      RECT 2.680000  0.870000 2.850000 1.895000 ;
+      RECT 2.860000  0.255000 3.030000 0.700000 ;
+      RECT 2.875000  2.255000 3.205000 2.425000 ;
+      RECT 3.035000  1.835000 3.965000 2.005000 ;
+      RECT 3.035000  2.005000 3.205000 2.255000 ;
+      RECT 3.375000  2.175000 3.625000 2.635000 ;
+      RECT 3.605000  0.085000 4.005000 0.595000 ;
+      RECT 3.795000  2.005000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_2
+MACRO sky130_fd_sc_hd__o32a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.625000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.870000 1.075000 4.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 5.260000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.305000 0.255000 6.635000 0.715000 ;
+        RECT 6.305000 0.715000 8.135000 0.905000 ;
+        RECT 6.305000 1.495000 8.135000 1.665000 ;
+        RECT 6.305000 1.665000 6.635000 2.465000 ;
+        RECT 7.145000 0.255000 7.475000 0.715000 ;
+        RECT 7.145000 1.665000 7.475000 2.465000 ;
+        RECT 7.645000 0.905000 8.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 2.965000 0.885000 ;
+      RECT 0.085000  1.445000 1.265000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.515000  0.085000 2.545000 0.465000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.665000 1.265000 2.295000 ;
+      RECT 0.935000  2.295000 2.105000 2.465000 ;
+      RECT 1.435000  1.445000 2.625000 1.690000 ;
+      RECT 1.435000  1.690000 1.605000 2.045000 ;
+      RECT 1.775000  1.860000 2.105000 2.295000 ;
+      RECT 2.295000  1.690000 2.625000 2.295000 ;
+      RECT 2.295000  2.295000 3.465000 2.465000 ;
+      RECT 2.715000  0.255000 5.695000 0.465000 ;
+      RECT 2.715000  0.465000 2.965000 0.635000 ;
+      RECT 2.795000  1.105000 3.645000 1.275000 ;
+      RECT 2.795000  1.275000 2.965000 2.045000 ;
+      RECT 3.135000  1.445000 3.465000 2.295000 ;
+      RECT 3.455000  0.635000 5.775000 0.805000 ;
+      RECT 3.455000  0.805000 3.645000 1.105000 ;
+      RECT 3.655000  1.445000 3.985000 1.785000 ;
+      RECT 3.655000  1.785000 4.825000 1.955000 ;
+      RECT 3.655000  1.955000 3.985000 2.465000 ;
+      RECT 4.155000  2.125000 4.325000 2.635000 ;
+      RECT 4.400000  0.805000 4.620000 1.445000 ;
+      RECT 4.400000  1.445000 5.195000 1.615000 ;
+      RECT 4.495000  1.955000 4.825000 2.285000 ;
+      RECT 4.495000  2.285000 5.695000 2.465000 ;
+      RECT 5.025000  1.615000 5.195000 2.115000 ;
+      RECT 5.365000  1.445000 5.695000 2.285000 ;
+      RECT 5.520000  0.805000 5.775000 1.075000 ;
+      RECT 5.520000  1.075000 7.475000 1.245000 ;
+      RECT 5.520000  1.245000 6.135000 1.265000 ;
+      RECT 5.965000  0.085000 6.135000 0.885000 ;
+      RECT 5.965000  1.835000 6.135000 2.635000 ;
+      RECT 6.805000  0.085000 6.975000 0.545000 ;
+      RECT 6.805000  1.835000 6.975000 2.635000 ;
+      RECT 7.645000  0.085000 7.900000 0.545000 ;
+      RECT 7.645000  1.835000 7.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_4
+MACRO sky130_fd_sc_hd__o32a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.175000 1.075000 ;
+        RECT 1.005000 1.075000 1.255000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.810000 1.325000 ;
+        RECT 1.485000 1.325000 1.810000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.255000 1.660000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.995000 3.595000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.795000 1.660000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.504000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.595000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.470000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.445000  0.995000 0.635000 1.075000 ;
+      RECT 0.445000  1.075000 0.810000 1.325000 ;
+      RECT 0.640000  1.325000 0.810000 1.495000 ;
+      RECT 0.640000  1.495000 1.315000 1.665000 ;
+      RECT 0.685000  1.835000 0.975000 2.635000 ;
+      RECT 0.765000  0.085000 0.935000 0.645000 ;
+      RECT 1.140000  0.255000 1.470000 0.655000 ;
+      RECT 1.140000  0.655000 2.540000 0.825000 ;
+      RECT 1.145000  1.665000 1.315000 2.295000 ;
+      RECT 1.145000  2.295000 2.510000 2.465000 ;
+      RECT 1.645000  0.085000 1.975000 0.485000 ;
+      RECT 2.180000  1.835000 3.135000 2.085000 ;
+      RECT 2.180000  2.085000 2.510000 2.295000 ;
+      RECT 2.210000  0.255000 3.595000 0.465000 ;
+      RECT 2.210000  0.465000 2.540000 0.655000 ;
+      RECT 2.710000  0.635000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.835000 ;
+      RECT 3.305000  0.465000 3.595000 0.735000 ;
+      RECT 3.305000  1.495000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_1
+MACRO sky130_fd_sc_hd__o32a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.715000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.160000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.995000 2.635000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 1.075000 4.055000 1.245000 ;
+        RECT 3.725000 1.245000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.995000 3.155000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.995000 1.325000 1.785000 ;
+      RECT 1.015000  1.785000 3.525000 1.955000 ;
+      RECT 1.015000  2.125000 1.525000 2.635000 ;
+      RECT 1.095000  0.085000 1.425000 0.825000 ;
+      RECT 1.695000  0.255000 2.025000 0.655000 ;
+      RECT 1.695000  0.655000 3.025000 0.825000 ;
+      RECT 2.195000  0.085000 2.525000 0.485000 ;
+      RECT 2.695000  0.255000 4.055000 0.425000 ;
+      RECT 2.695000  0.425000 3.025000 0.655000 ;
+      RECT 2.695000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  0.595000 3.525000 0.825000 ;
+      RECT 3.325000  0.825000 3.525000 1.785000 ;
+      RECT 3.695000  0.425000 4.055000 0.905000 ;
+      RECT 3.695000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_2
+MACRO sky130_fd_sc_hd__and4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.440000 0.765000 0.790000 1.635000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.735000 4.145000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.345000 0.755000 3.555000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.085000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 0.650000 2.080000 0.820000 ;
+        RECT 0.980000 0.820000 1.260000 1.545000 ;
+        RECT 0.980000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.900000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 1.440000  1.075000 2.550000 1.245000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.285000  0.085000 2.615000 0.445000 ;
+      RECT 2.380000  0.615000 2.965000 0.785000 ;
+      RECT 2.380000  0.785000 2.550000 1.075000 ;
+      RECT 2.380000  1.245000 2.550000 1.545000 ;
+      RECT 2.380000  1.545000 4.545000 1.715000 ;
+      RECT 2.795000  0.300000 4.965000 0.470000 ;
+      RECT 2.795000  0.470000 2.965000 0.615000 ;
+      RECT 3.475000  2.255000 3.805000 2.635000 ;
+      RECT 4.390000  0.470000 4.965000 0.810000 ;
+      RECT 4.635000  2.255000 4.965000 2.635000 ;
+      RECT 4.730000  0.995000 4.900000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_4
+MACRO sky130_fd_sc_hd__and4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.740000 0.335000 1.630000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.420000 1.745000 1.745000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.420000 2.275000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.645000 2.775000 1.615000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.503250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.255000 3.545000 0.640000 ;
+        RECT 3.260000 0.640000 4.055000 0.825000 ;
+        RECT 3.340000 1.535000 4.055000 1.745000 ;
+        RECT 3.340000 1.745000 3.545000 2.465000 ;
+        RECT 3.425000 0.825000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.175000  1.830000 0.805000 2.000000 ;
+      RECT 0.175000  2.000000 0.345000 2.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.595000  0.255000 0.805000 0.585000 ;
+      RECT 0.635000  0.585000 0.805000 0.995000 ;
+      RECT 0.635000  0.995000 0.975000 1.325000 ;
+      RECT 0.635000  1.325000 0.805000 1.830000 ;
+      RECT 1.015000  1.660000 1.315000 1.915000 ;
+      RECT 1.015000  1.915000 3.165000 1.965000 ;
+      RECT 1.015000  1.965000 2.610000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.095000  0.255000 1.315000 0.585000 ;
+      RECT 1.145000  0.585000 1.315000 1.660000 ;
+      RECT 1.555000  2.255000 2.225000 2.635000 ;
+      RECT 2.440000  1.795000 3.165000 1.915000 ;
+      RECT 2.440000  2.085000 2.610000 2.465000 ;
+      RECT 2.760000  0.085000 3.090000 0.465000 ;
+      RECT 2.840000  2.195000 3.170000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.795000 ;
+      RECT 3.715000  0.085000 4.050000 0.465000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_2
+MACRO sky130_fd_sc_hd__and4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.450000 1.675000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.420000 1.800000 1.695000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 0.420000 2.295000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 0.665000 2.825000 1.695000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.295000 3.590000 0.340000 ;
+        RECT 3.255000 0.340000 3.595000 0.805000 ;
+        RECT 3.335000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.805000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.170000  0.255000 0.345000 0.655000 ;
+      RECT 0.170000  0.655000 0.800000 0.825000 ;
+      RECT 0.170000  1.845000 0.800000 2.015000 ;
+      RECT 0.170000  2.015000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.630000  0.825000 0.800000 0.995000 ;
+      RECT 0.630000  0.995000 0.980000 1.325000 ;
+      RECT 0.630000  1.325000 0.800000 1.845000 ;
+      RECT 1.090000  0.255000 1.320000 0.585000 ;
+      RECT 1.150000  0.585000 1.320000 1.875000 ;
+      RECT 1.150000  1.875000 3.165000 2.045000 ;
+      RECT 1.150000  2.045000 1.320000 2.465000 ;
+      RECT 1.555000  2.225000 2.225000 2.635000 ;
+      RECT 2.440000  2.045000 2.610000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.465000 ;
+      RECT 2.810000  2.225000 3.140000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_1
+MACRO sky130_fd_sc_hd__a311o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.765000 2.155000 0.995000 ;
+        RECT 1.965000 0.995000 2.310000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.750000 1.705000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.240000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 0.995000 3.095000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.535000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.454000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.395000 0.670000 ;
+        RECT 0.085000 0.670000 0.255000 1.785000 ;
+        RECT 0.085000 1.785000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.425000  0.995000 0.735000 1.325000 ;
+      RECT 0.565000  0.655000 1.260000 0.825000 ;
+      RECT 0.565000  0.825000 0.735000 0.995000 ;
+      RECT 0.565000  1.325000 0.735000 1.495000 ;
+      RECT 0.565000  1.495000 3.505000 1.665000 ;
+      RECT 0.590000  0.085000 0.920000 0.465000 ;
+      RECT 0.595000  2.175000 0.840000 2.635000 ;
+      RECT 1.015000  1.835000 2.575000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.465000 ;
+      RECT 1.090000  0.255000 2.495000 0.425000 ;
+      RECT 1.090000  0.425000 1.260000 0.655000 ;
+      RECT 1.455000  2.255000 2.125000 2.635000 ;
+      RECT 2.325000  0.425000 2.495000 0.655000 ;
+      RECT 2.325000  0.655000 3.505000 0.825000 ;
+      RECT 2.325000  2.005000 2.575000 2.465000 ;
+      RECT 2.765000  0.085000 3.095000 0.485000 ;
+      RECT 3.335000  0.255000 3.505000 0.655000 ;
+      RECT 3.335000  1.665000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_1
+MACRO sky130_fd_sc_hd__a311o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.605000 2.620000 0.995000 ;
+        RECT 2.440000 0.995000 2.675000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.605000 2.165000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.710000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.995000 3.235000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 0.995000 4.005000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.295000 0.845000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.345000 0.465000 ;
+      RECT 1.015000  0.655000 1.695000 0.825000 ;
+      RECT 1.015000  0.825000 1.185000 1.495000 ;
+      RECT 1.015000  1.495000 3.965000 1.665000 ;
+      RECT 1.160000  1.835000 1.380000 2.635000 ;
+      RECT 1.525000  0.255000 2.960000 0.425000 ;
+      RECT 1.525000  0.425000 1.695000 0.655000 ;
+      RECT 1.590000  1.835000 3.025000 2.005000 ;
+      RECT 1.590000  2.005000 1.840000 2.465000 ;
+      RECT 2.125000  2.255000 2.455000 2.635000 ;
+      RECT 2.715000  2.005000 3.025000 2.465000 ;
+      RECT 2.790000  0.425000 2.960000 0.655000 ;
+      RECT 2.790000  0.655000 3.965000 0.825000 ;
+      RECT 3.220000  0.085000 3.550000 0.485000 ;
+      RECT 3.795000  0.255000 3.965000 0.655000 ;
+      RECT 3.795000  1.665000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_2
+MACRO sky130_fd_sc_hd__a311o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.945000 1.075000 7.275000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.255000 1.075000 6.040000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.515000 1.075000 4.945000 1.285000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.505000 1.285000 ;
+        RECT 1.060000 1.285000 1.255000 1.625000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.745000 0.350000 1.625000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.904000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.295000 2.545000 0.465000 ;
+        RECT 2.295000 0.465000 2.465000 0.715000 ;
+        RECT 2.295000 0.715000 3.305000 0.885000 ;
+        RECT 2.715000 1.545000 3.885000 1.715000 ;
+        RECT 2.910000 0.885000 3.105000 1.545000 ;
+        RECT 3.055000 0.295000 3.385000 0.465000 ;
+        RECT 3.135000 0.465000 3.305000 0.715000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.345000 0.565000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.515000  0.295000 0.845000 0.465000 ;
+      RECT 0.515000  1.955000 0.845000 2.125000 ;
+      RECT 0.595000  0.465000 0.765000 0.715000 ;
+      RECT 0.595000  0.715000 2.025000 0.885000 ;
+      RECT 0.595000  0.885000 0.765000 1.955000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.355000  0.295000 1.685000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.715000 ;
+      RECT 1.435000  1.455000 2.385000 1.625000 ;
+      RECT 1.435000  1.625000 1.605000 2.125000 ;
+      RECT 1.855000  0.085000 2.025000 0.545000 ;
+      RECT 1.855000  0.885000 2.025000 1.075000 ;
+      RECT 1.855000  1.075000 2.705000 1.245000 ;
+      RECT 1.855000  1.795000 2.025000 2.295000 ;
+      RECT 2.195000  1.625000 2.385000 1.915000 ;
+      RECT 2.195000  1.915000 6.765000 2.085000 ;
+      RECT 2.295000  2.255000 2.625000 2.635000 ;
+      RECT 2.715000  0.085000 2.885000 0.545000 ;
+      RECT 3.135000  2.255000 3.465000 2.635000 ;
+      RECT 3.275000  1.075000 4.320000 1.245000 ;
+      RECT 3.555000  0.085000 4.065000 0.545000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.150000  1.245000 4.320000 1.455000 ;
+      RECT 4.150000  1.455000 6.685000 1.625000 ;
+      RECT 4.275000  0.295000 4.605000 0.465000 ;
+      RECT 4.355000  0.465000 4.525000 0.715000 ;
+      RECT 4.355000  0.715000 6.005000 0.885000 ;
+      RECT 4.475000  1.795000 4.645000 1.915000 ;
+      RECT 4.475000  2.085000 4.645000 2.465000 ;
+      RECT 4.775000  0.085000 4.945000 0.545000 ;
+      RECT 4.815000  2.255000 5.175000 2.635000 ;
+      RECT 5.255000  0.255000 7.270000 0.425000 ;
+      RECT 5.255000  0.425000 6.345000 0.465000 ;
+      RECT 5.375000  1.795000 5.545000 1.915000 ;
+      RECT 5.375000  2.085000 5.545000 2.465000 ;
+      RECT 5.675000  0.645000 6.005000 0.715000 ;
+      RECT 5.715000  2.255000 6.045000 2.635000 ;
+      RECT 6.175000  0.465000 6.345000 0.885000 ;
+      RECT 6.515000  0.645000 6.845000 0.825000 ;
+      RECT 6.515000  0.825000 6.685000 1.455000 ;
+      RECT 6.595000  1.795000 6.765000 1.915000 ;
+      RECT 6.595000  2.085000 6.765000 2.465000 ;
+      RECT 6.935000  0.425000 7.270000 0.500000 ;
+      RECT 6.935000  1.795000 7.270000 2.635000 ;
+      RECT 7.015000  0.500000 7.270000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_4
+MACRO sky130_fd_sc_hd__dlxbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.660000 ;
+        RECT 5.215000 0.660000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.710000 ;
+        RECT 5.215000 1.710000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.905000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.050000 0.255000 7.305000 0.825000 ;
+        RECT 7.050000 1.445000 7.305000 2.465000 ;
+        RECT 7.095000 0.825000 7.305000 1.055000 ;
+        RECT 7.095000 1.055000 7.735000 1.325000 ;
+        RECT 7.095000 1.325000 7.305000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.905000 0.545000 ;
+      RECT 5.635000  1.835000 5.905000 2.635000 ;
+      RECT 6.075000  0.255000 6.405000 0.995000 ;
+      RECT 6.075000  0.995000 6.925000 1.325000 ;
+      RECT 6.075000  1.325000 6.405000 2.465000 ;
+      RECT 6.585000  0.085000 6.880000 0.545000 ;
+      RECT 6.585000  1.835000 6.880000 2.635000 ;
+      RECT 7.475000  0.085000 7.735000 0.885000 ;
+      RECT 7.475000  1.495000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_2
+MACRO sky130_fd_sc_hd__dlxbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.955000 1.785000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.415000 5.480000 0.745000 ;
+        RECT 5.140000 1.670000 5.480000 2.465000 ;
+        RECT 5.310000 0.745000 5.480000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.505000 6.815000 2.465000 ;
+        RECT 6.625000 0.825000 6.815000 1.505000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.480000  1.495000 2.165000 1.665000 ;
+      RECT 1.480000  1.665000 1.810000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.165000 0.785000 ;
+      RECT 1.875000  0.085000 2.230000 0.445000 ;
+      RECT 1.980000  1.835000 2.295000 2.635000 ;
+      RECT 1.995000  0.785000 2.165000 0.905000 ;
+      RECT 1.995000  0.905000 2.365000 1.235000 ;
+      RECT 1.995000  1.235000 2.165000 1.495000 ;
+      RECT 2.495000  1.355000 2.780000 2.005000 ;
+      RECT 2.565000  0.705000 3.120000 1.035000 ;
+      RECT 2.790000  0.365000 3.525000 0.535000 ;
+      RECT 2.920000  2.105000 3.620000 2.115000 ;
+      RECT 2.920000  2.115000 3.615000 2.130000 ;
+      RECT 2.920000  2.130000 3.610000 2.275000 ;
+      RECT 2.950000  1.035000 3.120000 1.415000 ;
+      RECT 2.950000  1.415000 3.290000 1.910000 ;
+      RECT 3.355000  0.535000 3.525000 0.995000 ;
+      RECT 3.355000  0.995000 4.225000 1.165000 ;
+      RECT 3.360000  2.075000 3.630000 2.090000 ;
+      RECT 3.360000  2.090000 3.625000 2.105000 ;
+      RECT 3.375000  2.060000 3.630000 2.075000 ;
+      RECT 3.420000  2.030000 3.630000 2.060000 ;
+      RECT 3.430000  2.015000 3.630000 2.030000 ;
+      RECT 3.460000  1.165000 4.225000 1.325000 ;
+      RECT 3.460000  1.325000 3.630000 2.015000 ;
+      RECT 3.765000  0.085000 4.095000 0.610000 ;
+      RECT 3.780000  2.175000 3.950000 2.635000 ;
+      RECT 3.800000  1.535000 4.580000 1.620000 ;
+      RECT 3.800000  1.620000 4.550000 1.865000 ;
+      RECT 4.300000  0.415000 4.470000 0.660000 ;
+      RECT 4.300000  0.660000 4.580000 0.840000 ;
+      RECT 4.300000  1.865000 4.550000 2.435000 ;
+      RECT 4.395000  0.840000 4.580000 0.995000 ;
+      RECT 4.395000  0.995000 5.140000 1.325000 ;
+      RECT 4.395000  1.325000 4.580000 1.535000 ;
+      RECT 4.640000  0.085000 4.970000 0.495000 ;
+      RECT 4.720000  1.830000 4.970000 2.635000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.455000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.495000  1.785000 2.665000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.955000  1.445000 3.125000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.185000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.725000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.435000 1.755000 2.725000 1.800000 ;
+      RECT 2.435000 1.940000 2.725000 1.985000 ;
+      RECT 2.895000 1.415000 3.185000 1.460000 ;
+      RECT 2.895000 1.600000 3.185000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_1
+MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.765000 2.125000 1.095000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.690000 0.415000 4.975000 0.745000 ;
+        RECT 4.690000 1.670000 4.975000 2.455000 ;
+        RECT 4.805000 0.745000 4.975000 1.670000 ;
+    END
+  END Q
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.145500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END SLEEP_B
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.130000 ;
+      RECT 0.610000  1.130000 0.810000 1.460000 ;
+      RECT 0.610000  1.460000 0.780000 1.795000 ;
+      RECT 0.980000  0.740000 1.185000 0.910000 ;
+      RECT 0.980000  0.910000 1.150000 1.825000 ;
+      RECT 0.980000  1.825000 1.185000 1.915000 ;
+      RECT 0.980000  1.915000 2.845000 1.965000 ;
+      RECT 1.015000  0.345000 1.185000 0.740000 ;
+      RECT 1.015000  1.965000 2.845000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.320000  1.240000 1.490000 1.525000 ;
+      RECT 1.320000  1.525000 2.335000 1.695000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.255000 1.850000 2.635000 ;
+      RECT 2.050000  1.355000 2.335000 1.525000 ;
+      RECT 2.295000  0.705000 2.675000 1.035000 ;
+      RECT 2.310000  2.255000 3.185000 2.425000 ;
+      RECT 2.380000  0.365000 3.040000 0.535000 ;
+      RECT 2.505000  1.035000 2.675000 1.575000 ;
+      RECT 2.505000  1.575000 2.845000 1.915000 ;
+      RECT 2.870000  0.535000 3.040000 0.995000 ;
+      RECT 2.870000  0.995000 3.780000 1.165000 ;
+      RECT 3.015000  1.165000 3.780000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.255000 ;
+      RECT 3.265000  0.085000 3.595000 0.530000 ;
+      RECT 3.355000  2.135000 3.525000 2.635000 ;
+      RECT 3.420000  1.535000 4.125000 1.865000 ;
+      RECT 3.835000  0.415000 4.125000 0.745000 ;
+      RECT 3.835000  1.865000 4.125000 2.435000 ;
+      RECT 3.950000  0.745000 4.125000 1.535000 ;
+      RECT 4.295000  0.085000 4.465000 0.715000 ;
+      RECT 4.295000  1.570000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputisolatch_1
+MACRO sky130_fd_sc_hd__nor4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.395000 1.075000 1.805000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 3.750000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 5.685000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.810000 1.075000 8.655000 1.285000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 7.245000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.195000 0.255000 2.525000 0.725000 ;
+        RECT 3.035000 0.255000 3.365000 0.725000 ;
+        RECT 4.395000 0.255000 4.725000 0.725000 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 0.905000 6.465000 1.455000 ;
+        RECT 6.115000 1.455000 7.205000 1.625000 ;
+        RECT 6.115000 1.625000 6.365000 2.125000 ;
+        RECT 6.915000 0.255000 7.245000 0.725000 ;
+        RECT 6.955000 1.625000 7.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.095000  1.455000 2.065000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.645000 2.635000 ;
+      RECT 1.815000  1.625000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 3.745000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 2.235000  1.455000 5.525000 1.625000 ;
+      RECT 2.235000  1.625000 2.485000 2.125000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 2.865000 0.555000 ;
+      RECT 3.075000  1.625000 3.325000 2.125000 ;
+      RECT 3.495000  1.795000 3.745000 2.295000 ;
+      RECT 3.535000  0.085000 4.225000 0.555000 ;
+      RECT 4.015000  1.795000 4.265000 2.295000 ;
+      RECT 4.015000  2.295000 7.625000 2.465000 ;
+      RECT 4.435000  1.625000 4.685000 2.125000 ;
+      RECT 4.855000  1.795000 5.105000 2.295000 ;
+      RECT 4.895000  0.085000 5.065000 0.555000 ;
+      RECT 5.275000  1.625000 5.525000 2.125000 ;
+      RECT 5.695000  1.455000 5.945000 2.295000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.295000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.635000  1.075000 7.640000 1.285000 ;
+      RECT 7.375000  1.795000 7.625000 2.295000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.470000  0.735000 8.185000 0.905000 ;
+      RECT 7.470000  0.905000 7.640000 1.075000 ;
+      RECT 7.470000  1.285000 7.640000 1.455000 ;
+      RECT 7.470000  1.455000 8.185000 1.625000 ;
+      RECT 7.810000  0.255000 8.185000 0.735000 ;
+      RECT 7.850000  1.625000 8.185000 2.465000 ;
+      RECT 8.355000  0.085000 8.585000 0.905000 ;
+      RECT 8.355000  1.455000 8.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_4
+MACRO sky130_fd_sc_hd__nor4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.240000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.075000 2.635000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 1.075000 3.535000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.805000 1.075000 5.435000 1.285000 ;
+        RECT 5.185000 1.285000 5.435000 1.955000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 3.920000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.750000 0.255000 3.080000 0.725000 ;
+        RECT 3.590000 0.255000 3.920000 0.725000 ;
+        RECT 3.630000 1.455000 4.035000 1.625000 ;
+        RECT 3.630000 1.625000 3.880000 2.125000 ;
+        RECT 3.715000 0.905000 3.920000 1.075000 ;
+        RECT 3.715000 1.075000 4.035000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.455000 2.105000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.605000 2.295000 ;
+      RECT 1.395000  2.295000 3.040000 2.465000 ;
+      RECT 1.775000  1.625000 2.105000 2.125000 ;
+      RECT 1.855000  0.085000 2.580000 0.555000 ;
+      RECT 2.275000  1.455000 3.460000 1.625000 ;
+      RECT 2.275000  1.625000 2.660000 2.125000 ;
+      RECT 2.830000  1.795000 3.040000 2.295000 ;
+      RECT 3.210000  1.625000 3.460000 2.295000 ;
+      RECT 3.210000  2.295000 4.295000 2.465000 ;
+      RECT 3.250000  0.085000 3.420000 0.555000 ;
+      RECT 4.050000  1.795000 4.295000 2.295000 ;
+      RECT 4.090000  0.085000 4.295000 0.895000 ;
+      RECT 4.320000  1.075000 4.635000 1.245000 ;
+      RECT 4.465000  0.380000 4.820000 0.905000 ;
+      RECT 4.465000  0.905000 4.635000 1.075000 ;
+      RECT 4.465000  1.245000 4.635000 2.035000 ;
+      RECT 4.465000  2.035000 4.820000 2.450000 ;
+      RECT 4.990000  0.085000 5.240000 0.825000 ;
+      RECT 4.990000  2.135000 5.240000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_2
+MACRO sky130_fd_sc_hd__nor4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.995000 1.785000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.985000 0.995000 1.285000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.795000 1.615000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.871000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.655000 1.925000 0.825000 ;
+        RECT 0.085000 0.825000 0.345000 2.450000 ;
+        RECT 0.855000 0.300000 1.055000 0.655000 ;
+        RECT 1.725000 0.310000 1.925000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.355000  0.085000 0.685000 0.480000 ;
+      RECT 0.525000  0.995000 0.745000 1.795000 ;
+      RECT 0.525000  1.795000 3.135000 2.005000 ;
+      RECT 1.225000  0.085000 1.555000 0.485000 ;
+      RECT 2.095000  0.085000 2.425000 0.825000 ;
+      RECT 2.095000  2.185000 2.425000 2.635000 ;
+      RECT 2.660000  0.405000 2.830000 0.655000 ;
+      RECT 2.660000  0.655000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_1
+MACRO sky130_fd_sc_hd__nand2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.055000 0.430000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 1.485000 0.865000 2.465000 ;
+        RECT 0.600000 0.255000 1.295000 0.885000 ;
+        RECT 0.600000 0.885000 0.770000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 0.395000 0.885000 ;
+      RECT 0.085000  1.495000 0.365000 2.635000 ;
+      RECT 1.035000  1.495000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_1
+MACRO sky130_fd_sc_hd__nand2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.055000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.730000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 3.365000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.910000 1.075000 2.445000 1.495000 ;
+        RECT 2.195000 0.635000 3.365000 0.805000 ;
+        RECT 2.195000 0.805000 2.445000 1.075000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 2.025000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 1.265000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.545000 ;
+      RECT 1.775000  0.255000 3.785000 0.465000 ;
+      RECT 1.775000  0.465000 2.025000 0.715000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  0.465000 3.785000 0.885000 ;
+      RECT 3.535000  1.835000 3.785000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_4
+MACRO sky130_fd_sc_hd__nand2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.075000 6.305000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.510000 1.075000 3.365000 1.295000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.862000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.465000 6.725000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 3.640000 1.075000 4.120000 1.465000 ;
+        RECT 3.875000 0.655000 6.725000 0.905000 ;
+        RECT 3.875000 0.905000 4.120000 1.075000 ;
+        RECT 3.875000 1.665000 4.205000 2.465000 ;
+        RECT 4.715000 1.665000 5.045000 2.465000 ;
+        RECT 5.555000 1.665000 5.885000 2.465000 ;
+        RECT 6.395000 1.665000 6.725000 2.465000 ;
+        RECT 6.475000 0.905000 6.725000 1.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.705000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.255000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.275000  0.085000 2.445000 0.565000 ;
+      RECT 2.615000  0.255000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.115000  0.085000 3.285000 0.565000 ;
+      RECT 3.455000  0.255000 7.270000 0.485000 ;
+      RECT 3.455000  0.485000 3.705000 0.735000 ;
+      RECT 3.535000  1.835000 3.705000 2.635000 ;
+      RECT 4.375000  1.835000 4.545000 2.635000 ;
+      RECT 5.215000  1.835000 5.385000 2.635000 ;
+      RECT 6.055000  1.835000 6.225000 2.635000 ;
+      RECT 6.895000  0.485000 7.270000 0.905000 ;
+      RECT 6.915000  1.495000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_8
+MACRO sky130_fd_sc_hd__nand2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.765000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.845000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 2.215000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.655000 2.215000 0.905000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.935000 0.905000 2.215000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.185000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.105000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.775000  0.465000 2.105000 0.485000 ;
+      RECT 1.855000  1.835000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1p_1
+MACRO sky130_fd_sc_hd__sdfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.825000 ;
+        RECT 13.915000 1.605000 14.175000 2.465000 ;
+        RECT 13.965000 0.825000 14.175000 1.605000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.025000 1.720000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.345000 2.180000 0.845000 ;
+        RECT 1.960000 0.845000 2.415000 1.015000 ;
+        RECT 1.960000 1.015000 2.180000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.455000  0.085000  1.705000 0.635000 ;
+      RECT  1.455000  1.885000  1.785000 2.635000 ;
+      RECT  2.235000  1.875000  2.565000 2.385000 ;
+      RECT  2.350000  0.265000  2.755000 0.595000 ;
+      RECT  2.350000  1.185000  3.075000 1.365000 ;
+      RECT  2.350000  1.365000  2.565000 1.875000 ;
+      RECT  2.585000  0.595000  2.755000 1.075000 ;
+      RECT  2.585000  1.075000  3.075000 1.185000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.925000  0.305000  3.125000 0.625000 ;
+      RECT  2.925000  0.625000  3.645000 0.765000 ;
+      RECT  2.925000  0.765000  3.770000 0.795000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.395000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.700000  0.595000  7.030000 0.765000 ;
+      RECT  6.815000  0.765000  7.030000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.200000  0.425000  7.395000 0.715000 ;
+      RECT  7.640000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.430000 0.465000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.645000 10.905000 0.815000 ;
+      RECT 10.730000  0.815000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.765000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.765000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.450000  1.765000 13.745000 2.635000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  1.785000  0.775000 1.955000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 1.755000  0.835000 1.800000 ;
+      RECT  0.545000 1.800000  8.655000 1.940000 ;
+      RECT  0.545000 1.940000  0.835000 1.985000 ;
+      RECT  1.005000 0.735000  1.295000 0.780000 ;
+      RECT  1.005000 0.780000  5.435000 0.920000 ;
+      RECT  1.005000 0.920000  1.295000 0.965000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbp_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.075000 5.245000 0.200000 5.395000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT 4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER nwell ;
+      RECT -0.190000 1.305000 0.650000 4.135000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+MACRO sky130_fd_sc_hd__maj3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.125000 1.325000 ;
+        RECT 0.610000 1.325000 0.780000 2.460000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.995000 1.905000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.765000 2.755000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.602250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 2.160000 3.595000 2.465000 ;
+        RECT 3.265000 1.495000 3.595000 2.160000 ;
+        RECT 3.370000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.135000  0.255000 0.395000 0.655000 ;
+      RECT 0.135000  0.655000 2.245000 0.825000 ;
+      RECT 0.135000  0.825000 0.395000 2.125000 ;
+      RECT 0.875000  0.085000 1.205000 0.485000 ;
+      RECT 0.955000  1.715000 1.205000 2.635000 ;
+      RECT 1.655000  0.255000 1.985000 0.640000 ;
+      RECT 1.655000  0.640000 2.245000 0.655000 ;
+      RECT 1.655000  1.815000 2.245000 2.080000 ;
+      RECT 2.075000  0.825000 2.245000 1.495000 ;
+      RECT 2.075000  1.495000 3.095000 1.665000 ;
+      RECT 2.075000  1.665000 2.245000 1.815000 ;
+      RECT 2.545000  0.085000 2.880000 0.470000 ;
+      RECT 2.555000  1.845000 2.885000 2.635000 ;
+      RECT 2.925000  0.995000 3.200000 1.325000 ;
+      RECT 2.925000  1.325000 3.095000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_1
+MACRO sky130_fd_sc_hd__maj3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.450000 1.635000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.290000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.890000 1.285000 ;
+        RECT 0.720000 1.285000 0.890000 1.915000 ;
+        RECT 0.720000 1.915000 1.790000 2.085000 ;
+        RECT 1.620000 2.085000 1.790000 2.225000 ;
+        RECT 1.620000 2.225000 2.630000 2.395000 ;
+        RECT 2.460000 1.075000 2.945000 1.245000 ;
+        RECT 2.460000 1.245000 2.630000 2.225000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.375000 0.255000 3.705000 0.490000 ;
+        RECT 3.375000 1.455000 4.975000 1.625000 ;
+        RECT 3.375000 1.625000 3.705000 2.465000 ;
+        RECT 3.455000 0.490000 3.705000 0.715000 ;
+        RECT 3.455000 0.715000 4.975000 0.905000 ;
+        RECT 4.215000 0.255000 4.545000 0.715000 ;
+        RECT 4.215000 1.625000 4.545000 2.465000 ;
+        RECT 4.715000 0.905000 4.975000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.635000 0.660000 ;
+      RECT 0.085000  0.660000 2.290000 0.715000 ;
+      RECT 0.085000  0.715000 3.285000 0.885000 ;
+      RECT 0.085000  0.885000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.465000 2.465000 ;
+      RECT 1.120000  0.085000 1.450000 0.490000 ;
+      RECT 1.120000  2.255000 1.450000 2.635000 ;
+      RECT 1.620000  0.885000 1.790000 1.545000 ;
+      RECT 1.620000  1.545000 2.290000 1.745000 ;
+      RECT 1.960000  0.255000 2.290000 0.660000 ;
+      RECT 1.960000  1.745000 2.290000 2.055000 ;
+      RECT 2.845000  1.455000 3.175000 2.635000 ;
+      RECT 2.860000  0.085000 3.205000 0.545000 ;
+      RECT 3.115000  0.885000 3.285000 1.075000 ;
+      RECT 3.115000  1.075000 4.545000 1.285000 ;
+      RECT 3.875000  0.085000 4.045000 0.545000 ;
+      RECT 3.875000  1.795000 4.045000 2.635000 ;
+      RECT 4.715000  0.085000 4.885000 0.545000 ;
+      RECT 4.715000  1.795000 4.925000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_4
+MACRO sky130_fd_sc_hd__maj3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.695000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 0.995000 2.155000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.495000 ;
+        RECT 0.425000 1.495000 3.070000 1.665000 ;
+        RECT 2.415000 1.415000 3.070000 1.495000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.285000 0.255000 3.615000 0.905000 ;
+        RECT 3.285000 1.495000 3.615000 2.465000 ;
+        RECT 3.445000 0.905000 3.615000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 3.105000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.835000 ;
+      RECT 0.085000  1.835000 2.085000 2.005000 ;
+      RECT 0.085000  2.005000 0.615000 2.465000 ;
+      RECT 0.975000  0.085000 1.305000 0.485000 ;
+      RECT 0.975000  2.175000 1.305000 2.635000 ;
+      RECT 1.755000  0.255000 2.085000 0.655000 ;
+      RECT 1.755000  2.005000 2.085000 2.465000 ;
+      RECT 2.535000  1.835000 2.860000 2.635000 ;
+      RECT 2.635000  0.085000 2.965000 0.485000 ;
+      RECT 2.925000  0.825000 3.105000 1.075000 ;
+      RECT 2.925000  1.075000 3.275000 1.245000 ;
+      RECT 3.785000  0.085000 4.055000 0.905000 ;
+      RECT 3.785000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_2
+MACRO sky130_fd_sc_hd__sdfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 1.355000 2.775000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.180000 0.305000 9.530000 0.725000 ;
+        RECT 9.180000 0.725000 9.560000 0.790000 ;
+        RECT 9.180000 0.790000 9.610000 0.825000 ;
+        RECT 9.200000 1.505000 9.610000 1.540000 ;
+        RECT 9.200000 1.540000 9.530000 2.465000 ;
+        RECT 9.355000 1.430000 9.610000 1.505000 ;
+        RECT 9.390000 0.825000 9.610000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.685000 0.265000 10.940000 0.795000 ;
+        RECT 10.685000 1.445000 10.940000 2.325000 ;
+        RECT 10.730000 0.795000 10.940000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.515000 1.055000 3.995000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.750000 3.235000 0.785000 ;
+        RECT 1.760000 0.785000 2.010000 0.810000 ;
+        RECT 1.760000 0.810000 1.990000 0.820000 ;
+        RECT 1.760000 0.820000 1.975000 0.835000 ;
+        RECT 1.760000 0.835000 1.970000 0.840000 ;
+        RECT 1.760000 0.840000 1.965000 0.850000 ;
+        RECT 1.760000 0.850000 1.960000 0.855000 ;
+        RECT 1.760000 0.855000 1.955000 0.860000 ;
+        RECT 1.760000 0.860000 1.950000 0.870000 ;
+        RECT 1.760000 0.870000 1.945000 0.875000 ;
+        RECT 1.760000 0.875000 1.940000 0.880000 ;
+        RECT 1.760000 0.880000 1.930000 1.685000 ;
+        RECT 1.790000 0.735000 3.235000 0.750000 ;
+        RECT 1.805000 0.725000 3.235000 0.735000 ;
+        RECT 1.820000 0.715000 3.235000 0.725000 ;
+        RECT 1.830000 0.705000 3.235000 0.715000 ;
+        RECT 1.840000 0.690000 3.235000 0.705000 ;
+        RECT 1.860000 0.655000 3.235000 0.690000 ;
+        RECT 1.875000 0.615000 3.235000 0.655000 ;
+        RECT 2.455000 0.305000 2.630000 0.615000 ;
+        RECT 3.065000 0.785000 3.235000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.420000  0.255000  1.705000 0.585000 ;
+      RECT  1.420000  0.585000  1.590000 1.860000 ;
+      RECT  1.420000  1.860000  3.230000 2.075000 ;
+      RECT  1.420000  2.075000  1.705000 2.445000 ;
+      RECT  1.875000  2.245000  2.205000 2.635000 ;
+      RECT  1.955000  0.085000  2.285000 0.445000 ;
+      RECT  2.100000  0.955000  2.445000 1.125000 ;
+      RECT  2.100000  1.125000  2.270000 1.860000 ;
+      RECT  2.675000  2.245000  3.570000 2.415000 ;
+      RECT  2.800000  0.275000  3.575000 0.445000 ;
+      RECT  3.060000  1.355000  3.255000 1.685000 ;
+      RECT  3.060000  1.685000  3.230000 1.860000 ;
+      RECT  3.400000  1.825000  4.335000 1.995000 ;
+      RECT  3.400000  1.995000  3.570000 2.245000 ;
+      RECT  3.405000  0.445000  3.575000 0.715000 ;
+      RECT  3.405000  0.715000  4.335000 0.885000 ;
+      RECT  3.740000  2.165000  3.910000 2.635000 ;
+      RECT  3.745000  0.085000  3.945000 0.545000 ;
+      RECT  4.165000  0.365000  4.515000 0.535000 ;
+      RECT  4.165000  0.535000  4.335000 0.715000 ;
+      RECT  4.165000  0.885000  4.335000 1.825000 ;
+      RECT  4.165000  1.995000  4.335000 2.070000 ;
+      RECT  4.165000  2.070000  4.450000 2.440000 ;
+      RECT  4.505000  0.705000  5.085000 1.035000 ;
+      RECT  4.505000  1.035000  4.745000 1.905000 ;
+      RECT  4.645000  2.190000  5.715000 2.360000 ;
+      RECT  4.685000  0.365000  5.425000 0.535000 ;
+      RECT  4.935000  1.655000  5.375000 2.010000 ;
+      RECT  5.255000  0.535000  5.425000 1.315000 ;
+      RECT  5.255000  1.315000  6.055000 1.485000 ;
+      RECT  5.545000  1.485000  6.055000 1.575000 ;
+      RECT  5.545000  1.575000  5.715000 2.190000 ;
+      RECT  5.595000  0.765000  6.395000 1.065000 ;
+      RECT  5.595000  1.065000  5.765000 1.095000 ;
+      RECT  5.675000  0.085000  6.045000 0.585000 ;
+      RECT  5.885000  1.245000  6.055000 1.315000 ;
+      RECT  5.885000  1.835000  6.055000 2.635000 ;
+      RECT  6.225000  0.365000  6.685000 0.535000 ;
+      RECT  6.225000  0.535000  6.395000 0.765000 ;
+      RECT  6.225000  1.065000  6.395000 2.135000 ;
+      RECT  6.225000  2.135000  6.475000 2.465000 ;
+      RECT  6.565000  0.705000  7.115000 1.035000 ;
+      RECT  6.565000  1.245000  6.755000 1.965000 ;
+      RECT  6.700000  2.165000  7.585000 2.335000 ;
+      RECT  6.915000  0.365000  7.455000 0.535000 ;
+      RECT  6.925000  1.035000  7.115000 1.575000 ;
+      RECT  6.925000  1.575000  7.245000 1.905000 ;
+      RECT  7.285000  0.535000  7.455000 0.995000 ;
+      RECT  7.285000  0.995000  8.315000 1.325000 ;
+      RECT  7.285000  1.325000  7.585000 1.405000 ;
+      RECT  7.415000  1.405000  7.585000 2.165000 ;
+      RECT  7.700000  0.085000  8.070000 0.615000 ;
+      RECT  7.755000  1.575000  8.670000 1.905000 ;
+      RECT  7.765000  2.135000  8.070000 2.635000 ;
+      RECT  8.340000  0.300000  8.670000 0.825000 ;
+      RECT  8.380000  1.905000  8.670000 2.455000 ;
+      RECT  8.485000  0.825000  8.670000 0.995000 ;
+      RECT  8.485000  0.995000  9.220000 1.325000 ;
+      RECT  8.485000  1.325000  8.670000 1.575000 ;
+      RECT  8.840000  0.085000  9.010000 0.695000 ;
+      RECT  8.840000  1.625000  9.010000 2.635000 ;
+      RECT  9.700000  0.345000  9.950000 0.620000 ;
+      RECT  9.700000  1.685000 10.030000 2.425000 ;
+      RECT  9.780000  0.620000  9.950000 0.995000 ;
+      RECT  9.780000  0.995000 10.560000 1.325000 ;
+      RECT  9.780000  1.325000 10.030000 1.685000 ;
+      RECT 10.185000  0.085000 10.515000 0.805000 ;
+      RECT 10.210000  1.495000 10.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.015000  0.765000  1.185000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.165000  1.785000  5.335000 1.955000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.575000  1.785000  6.745000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  0.765000  6.755000 0.935000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.805000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.955000 0.735000 1.245000 0.780000 ;
+      RECT 0.955000 0.780000 6.815000 0.920000 ;
+      RECT 0.955000 0.920000 1.245000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.105000 1.755000 5.395000 1.800000 ;
+      RECT 5.105000 1.940000 5.395000 1.985000 ;
+      RECT 6.515000 1.755000 6.805000 1.800000 ;
+      RECT 6.515000 1.940000 6.805000 1.985000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_1
+MACRO sky130_fd_sc_hd__sdfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.255000 0.255000 9.585000 0.790000 ;
+        RECT 9.255000 0.790000 9.615000 0.825000 ;
+        RECT 9.255000 1.495000 9.615000 1.530000 ;
+        RECT 9.255000 1.530000 9.585000 2.430000 ;
+        RECT 9.410000 0.825000 9.615000 0.890000 ;
+        RECT 9.410000 1.430000 9.615000 1.495000 ;
+        RECT 9.445000 0.890000 9.615000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.190000 0.265000 11.440000 0.795000 ;
+        RECT 11.190000 1.445000 11.440000 2.325000 ;
+        RECT 11.235000 0.795000 11.440000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.035000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.375000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.375000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.205000  0.365000  4.555000 0.535000 ;
+      RECT  4.205000  0.535000  4.375000 0.695000 ;
+      RECT  4.205000  0.865000  4.375000 1.825000 ;
+      RECT  4.205000  1.995000  4.375000 2.065000 ;
+      RECT  4.205000  2.065000  4.485000 2.440000 ;
+      RECT  4.545000  0.705000  5.125000 1.035000 ;
+      RECT  4.545000  1.035000  4.785000 1.905000 ;
+      RECT  4.685000  2.190000  5.755000 2.360000 ;
+      RECT  4.725000  0.365000  5.465000 0.535000 ;
+      RECT  4.975000  1.655000  5.415000 2.010000 ;
+      RECT  5.295000  0.535000  5.465000 1.315000 ;
+      RECT  5.295000  1.315000  6.095000 1.485000 ;
+      RECT  5.585000  1.485000  6.095000 1.575000 ;
+      RECT  5.585000  1.575000  5.755000 2.190000 ;
+      RECT  5.635000  0.765000  6.435000 1.065000 ;
+      RECT  5.635000  1.065000  5.805000 1.095000 ;
+      RECT  5.715000  0.085000  6.085000 0.585000 ;
+      RECT  5.925000  1.245000  6.095000 1.315000 ;
+      RECT  5.925000  1.835000  6.095000 2.635000 ;
+      RECT  6.265000  0.365000  6.725000 0.535000 ;
+      RECT  6.265000  0.535000  6.435000 0.765000 ;
+      RECT  6.265000  1.065000  6.435000 2.135000 ;
+      RECT  6.265000  2.135000  6.515000 2.465000 ;
+      RECT  6.605000  0.705000  7.155000 1.035000 ;
+      RECT  6.605000  1.245000  6.795000 1.965000 ;
+      RECT  6.740000  2.165000  7.625000 2.335000 ;
+      RECT  6.955000  0.365000  7.495000 0.535000 ;
+      RECT  6.965000  1.035000  7.155000 1.575000 ;
+      RECT  6.965000  1.575000  7.285000 1.905000 ;
+      RECT  7.325000  0.535000  7.495000 0.995000 ;
+      RECT  7.325000  0.995000  8.370000 1.325000 ;
+      RECT  7.325000  1.325000  7.625000 1.405000 ;
+      RECT  7.455000  1.405000  7.625000 2.165000 ;
+      RECT  7.740000  0.085000  8.110000 0.615000 ;
+      RECT  7.795000  1.575000  8.725000 1.905000 ;
+      RECT  7.805000  2.135000  8.110000 2.635000 ;
+      RECT  8.360000  0.300000  8.725000 0.825000 ;
+      RECT  8.395000  1.905000  8.725000 2.455000 ;
+      RECT  8.540000  0.825000  8.725000 0.995000 ;
+      RECT  8.540000  0.995000  9.275000 1.325000 ;
+      RECT  8.540000  1.325000  8.725000 1.575000 ;
+      RECT  8.895000  0.085000  9.085000 0.695000 ;
+      RECT  8.895000  1.625000  9.075000 2.635000 ;
+      RECT  9.755000  0.085000  9.985000 0.690000 ;
+      RECT  9.765000  1.615000  9.935000 2.635000 ;
+      RECT 10.205000  0.345000 10.455000 0.995000 ;
+      RECT 10.205000  0.995000 11.065000 1.325000 ;
+      RECT 10.205000  1.325000 10.535000 2.425000 ;
+      RECT 10.690000  0.085000 11.020000 0.805000 ;
+      RECT 10.715000  1.495000 11.020000 2.635000 ;
+      RECT 11.610000  0.085000 11.780000 0.955000 ;
+      RECT 11.610000  1.395000 11.780000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.050000  0.765000  1.220000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  6.640000  0.765000  6.810000 0.935000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.855000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.870000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+      RECT 6.580000 0.735000 6.870000 0.780000 ;
+      RECT 6.580000 0.920000 6.870000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_2
+MACRO sky130_fd_sc_hd__a31o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.415000 2.175000 0.700000 ;
+        RECT 1.965000 0.700000 2.355000 0.870000 ;
+        RECT 2.185000 0.870000 2.355000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.400000 1.700000 0.695000 ;
+        RECT 1.530000 0.695000 1.795000 0.865000 ;
+        RECT 1.625000 0.865000 1.795000 1.075000 ;
+        RECT 1.625000 1.075000 1.955000 1.245000 ;
+        RECT 1.625000 1.245000 1.795000 1.260000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.760000 1.270000 0.995000 ;
+        RECT 1.065000 0.995000 1.395000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.755000 3.090000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.715000 0.765000 0.885000 ;
+        RECT 0.090000 0.885000 0.345000 1.835000 ;
+        RECT 0.090000 1.835000 0.765000 2.005000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 2.005000 0.765000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.135000  2.175000 0.385000 2.635000 ;
+      RECT 0.555000  1.075000 0.885000 1.245000 ;
+      RECT 0.555000  1.245000 0.725000 1.495000 ;
+      RECT 0.555000  1.495000 3.045000 1.665000 ;
+      RECT 0.935000  1.835000 1.185000 2.635000 ;
+      RECT 0.955000  0.085000 1.285000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.545000 ;
+      RECT 1.355000  1.835000 2.645000 2.005000 ;
+      RECT 1.355000  2.005000 1.605000 2.425000 ;
+      RECT 1.815000  2.175000 2.145000 2.635000 ;
+      RECT 2.335000  2.005000 2.585000 2.425000 ;
+      RECT 2.375000  0.335000 2.705000 0.505000 ;
+      RECT 2.460000  0.255000 2.705000 0.335000 ;
+      RECT 2.535000  0.505000 2.705000 1.495000 ;
+      RECT 2.875000  0.085000 3.135000 0.565000 ;
+      RECT 2.875000  1.665000 3.045000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_2
+MACRO sky130_fd_sc_hd__a31o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.075000 1.705000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.075000 1.055000 1.245000 ;
+        RECT 0.805000 0.735000 2.170000 0.905000 ;
+        RECT 0.805000 0.905000 0.975000 1.075000 ;
+        RECT 1.985000 0.905000 2.170000 1.075000 ;
+        RECT 1.985000 1.075000 2.315000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.525000 1.445000 ;
+        RECT 0.150000 1.445000 2.855000 1.615000 ;
+        RECT 2.525000 1.075000 2.855000 1.445000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.575000 1.075000 4.030000 1.285000 ;
+        RECT 3.815000 0.745000 4.030000 1.075000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.655000 6.295000 0.825000 ;
+        RECT 4.535000 1.785000 6.295000 1.955000 ;
+        RECT 4.595000 1.955000 4.765000 2.465000 ;
+        RECT 5.435000 1.955000 5.605000 2.465000 ;
+        RECT 6.125000 0.825000 6.295000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.785000 2.985000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.395000 2.520000 0.565000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.195000  2.125000 2.525000 2.635000 ;
+      RECT 2.350000  0.565000 2.520000 0.700000 ;
+      RECT 2.350000  0.700000 3.485000 0.805000 ;
+      RECT 2.350000  0.805000 3.345000 0.870000 ;
+      RECT 2.700000  0.085000 2.985000 0.530000 ;
+      RECT 2.815000  1.955000 2.985000 2.295000 ;
+      RECT 2.815000  2.295000 3.825000 2.465000 ;
+      RECT 3.155000  0.295000 3.485000 0.700000 ;
+      RECT 3.155000  0.870000 3.345000 1.455000 ;
+      RECT 3.155000  1.455000 4.395000 1.625000 ;
+      RECT 3.155000  1.625000 3.485000 2.115000 ;
+      RECT 3.655000  1.795000 3.825000 2.295000 ;
+      RECT 3.735000  0.085000 4.265000 0.565000 ;
+      RECT 4.095000  2.125000 4.425000 2.635000 ;
+      RECT 4.225000  0.995000 5.935000 1.325000 ;
+      RECT 4.225000  1.325000 4.395000 1.455000 ;
+      RECT 4.935000  0.085000 5.265000 0.485000 ;
+      RECT 4.935000  2.125000 5.265000 2.635000 ;
+      RECT 5.775000  0.085000 6.105000 0.485000 ;
+      RECT 5.775000  2.125000 6.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_4
+MACRO sky130_fd_sc_hd__a31o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.995000 2.160000 1.655000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.700000 1.655000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.995000 1.240000 1.325000 ;
+        RECT 1.025000 1.325000 1.240000 1.655000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 0.995000 2.620000 1.655000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.300000 0.425000 0.810000 ;
+        RECT 0.095000 0.810000 0.285000 1.575000 ;
+        RECT 0.095000 1.575000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.455000  0.995000 0.765000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.595000  0.655000 2.960000 0.825000 ;
+      RECT 0.595000  0.825000 0.765000 0.995000 ;
+      RECT 0.595000  1.495000 0.845000 2.635000 ;
+      RECT 1.035000  1.825000 2.325000 1.995000 ;
+      RECT 1.035000  1.995000 1.285000 2.415000 ;
+      RECT 1.515000  2.165000 1.845000 2.635000 ;
+      RECT 1.975000  0.315000 2.305000 0.655000 ;
+      RECT 2.075000  1.995000 2.325000 2.415000 ;
+      RECT 2.475000  0.085000 2.805000 0.485000 ;
+      RECT 2.505000  1.825000 2.960000 1.995000 ;
+      RECT 2.505000  1.995000 2.835000 2.425000 ;
+      RECT 2.790000  0.825000 2.960000 1.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_1
+MACRO sky130_fd_sc_hd__o21bai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 1.075000 2.675000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.075000 2.025000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.535000 1.345000 ;
+        RECT 0.085000 1.345000 0.355000 2.445000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.474000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.255000 1.285000 0.645000 ;
+        RECT 1.115000 0.645000 1.355000 0.825000 ;
+        RECT 1.185000 0.825000 1.355000 1.455000 ;
+        RECT 1.185000 1.455000 1.795000 1.625000 ;
+        RECT 1.470000 1.625000 1.795000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.360000 0.825000 ;
+      RECT 0.525000  1.535000 1.015000 1.705000 ;
+      RECT 0.525000  1.705000 0.800000 2.210000 ;
+      RECT 0.580000  0.495000 0.770000 0.655000 ;
+      RECT 0.580000  0.655000 0.890000 0.825000 ;
+      RECT 0.720000  0.825000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 1.015000 1.535000 ;
+      RECT 0.970000  1.875000 1.300000 2.635000 ;
+      RECT 1.490000  0.255000 1.820000 0.485000 ;
+      RECT 1.570000  0.485000 1.740000 0.735000 ;
+      RECT 1.570000  0.735000 2.665000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.555000 ;
+      RECT 2.270000  1.535000 2.645000 2.635000 ;
+      RECT 2.335000  0.270000 2.665000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_1
+MACRO sky130_fd_sc_hd__o21bai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 1.075000 6.810000 1.285000 ;
+        RECT 6.585000 1.285000 6.810000 2.455000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.065000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.555000 1.285000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.455000 4.315000 1.625000 ;
+        RECT 1.065000 1.625000 1.275000 2.465000 ;
+        RECT 1.420000 0.645000 2.675000 0.815000 ;
+        RECT 1.865000 1.625000 2.115000 2.465000 ;
+        RECT 2.445000 0.815000 2.675000 1.075000 ;
+        RECT 2.445000 1.075000 2.895000 1.445000 ;
+        RECT 2.445000 1.445000 4.315000 1.455000 ;
+        RECT 3.225000 1.625000 3.475000 2.125000 ;
+        RECT 4.065000 1.625000 4.315000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.145000  1.455000 0.895000 1.625000 ;
+      RECT 0.145000  1.625000 0.475000 2.435000 ;
+      RECT 0.225000  0.085000 0.395000 0.895000 ;
+      RECT 0.565000  0.290000 0.895000 0.895000 ;
+      RECT 0.645000  1.795000 0.855000 2.635000 ;
+      RECT 0.725000  0.895000 0.895000 1.075000 ;
+      RECT 0.725000  1.075000 2.275000 1.285000 ;
+      RECT 0.725000  1.285000 0.895000 1.455000 ;
+      RECT 1.080000  0.305000 3.095000 0.475000 ;
+      RECT 1.445000  1.795000 1.695000 2.635000 ;
+      RECT 2.285000  1.795000 2.535000 2.635000 ;
+      RECT 2.775000  1.795000 3.055000 2.295000 ;
+      RECT 2.775000  2.295000 4.735000 2.465000 ;
+      RECT 2.845000  0.475000 3.095000 0.725000 ;
+      RECT 2.845000  0.725000 6.455000 0.905000 ;
+      RECT 3.265000  0.085000 3.435000 0.555000 ;
+      RECT 3.605000  0.255000 3.935000 0.725000 ;
+      RECT 3.645000  1.795000 3.895000 2.295000 ;
+      RECT 4.105000  0.085000 4.275000 0.555000 ;
+      RECT 4.445000  0.255000 4.775000 0.725000 ;
+      RECT 4.485000  1.455000 6.415000 1.625000 ;
+      RECT 4.485000  1.625000 4.735000 2.295000 ;
+      RECT 4.905000  1.795000 5.155000 2.635000 ;
+      RECT 4.945000  0.085000 5.115000 0.555000 ;
+      RECT 5.285000  0.255000 5.615000 0.725000 ;
+      RECT 5.325000  1.625000 5.575000 2.465000 ;
+      RECT 5.745000  1.795000 5.995000 2.635000 ;
+      RECT 5.785000  0.085000 5.955000 0.555000 ;
+      RECT 6.125000  0.255000 6.455000 0.725000 ;
+      RECT 6.165000  1.625000 6.415000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_4
+MACRO sky130_fd_sc_hd__o21bai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.055000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.090000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.525000 1.325000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.445000 2.650000 1.615000 ;
+        RECT 1.085000 1.615000 1.255000 2.465000 ;
+        RECT 1.525000 0.645000 1.855000 0.905000 ;
+        RECT 1.525000 0.905000 1.780000 1.445000 ;
+        RECT 2.405000 1.615000 2.650000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.825000 ;
+      RECT 0.180000  1.495000 0.865000 1.665000 ;
+      RECT 0.180000  1.665000 0.350000 1.915000 ;
+      RECT 0.585000  1.875000 0.915000 2.635000 ;
+      RECT 0.600000  0.445000 0.865000 0.825000 ;
+      RECT 0.695000  0.825000 0.865000 1.075000 ;
+      RECT 0.695000  1.075000 1.335000 1.245000 ;
+      RECT 0.695000  1.245000 0.865000 1.495000 ;
+      RECT 1.075000  0.255000 2.275000 0.475000 ;
+      RECT 1.075000  0.475000 1.355000 0.905000 ;
+      RECT 1.470000  1.795000 1.720000 2.635000 ;
+      RECT 1.955000  1.795000 2.235000 2.295000 ;
+      RECT 1.955000  2.295000 3.035000 2.465000 ;
+      RECT 2.025000  0.475000 2.275000 0.725000 ;
+      RECT 2.025000  0.725000 3.980000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.555000 ;
+      RECT 2.785000  0.255000 3.115000 0.725000 ;
+      RECT 2.865000  1.455000 3.980000 1.665000 ;
+      RECT 2.865000  1.665000 3.035000 2.295000 ;
+      RECT 3.205000  1.835000 3.535000 2.635000 ;
+      RECT 3.285000  0.085000 3.455000 0.555000 ;
+      RECT 3.625000  0.265000 3.980000 0.725000 ;
+      RECT 3.705000  1.665000 3.980000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_2
+MACRO sky130_fd_sc_hd__nand3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.732000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 2.675000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 0.255000 2.675000 0.485000 ;
+        RECT 2.085000 1.665000 2.675000 2.465000 ;
+        RECT 2.385000 0.485000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.445000 0.510000 0.655000 ;
+      RECT 0.085000  0.655000 2.215000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.710000  0.085000 1.040000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.045000  0.825000 2.215000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_1
+MACRO sky130_fd_sc_hd__nand3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 1.075000 4.480000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.500000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.965000 0.905000 ;
+        RECT 1.455000 1.445000 6.505000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 3.465000 2.005000 ;
+        RECT 2.295000 2.005000 2.625000 2.465000 ;
+        RECT 2.795000 0.905000 2.965000 1.075000 ;
+        RECT 2.795000 1.075000 3.100000 1.445000 ;
+        RECT 3.135000 2.005000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.285000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 1.445000 ;
+      RECT 0.085000  1.445000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.445000 1.285000 2.635000 ;
+      RECT 1.005000  0.905000 1.285000 1.075000 ;
+      RECT 1.005000  1.075000 2.625000 1.275000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  2.175000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 4.725000 0.715000 ;
+      RECT 3.135000  0.715000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.085000 5.165000 0.545000 ;
+      RECT 5.335000  0.255000 5.665000 0.715000 ;
+      RECT 5.835000  0.085000 6.005000 0.545000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.175000  0.255000 6.505000 0.715000 ;
+      RECT 6.675000  0.085000 7.005000 0.905000 ;
+      RECT 6.675000  1.445000 7.005000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_4
+MACRO sky130_fd_sc_hd__nand3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.140000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.740000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.785000 4.050000 1.955000 ;
+        RECT 1.060000 1.955000 2.230000 2.005000 ;
+        RECT 1.060000 2.005000 1.390000 2.465000 ;
+        RECT 1.900000 2.005000 2.230000 2.465000 ;
+        RECT 3.260000 0.635000 4.050000 0.905000 ;
+        RECT 3.260000 1.955000 4.050000 2.005000 ;
+        RECT 3.260000 2.005000 3.510000 2.465000 ;
+        RECT 3.850000 0.905000 4.050000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.410000 0.655000 ;
+      RECT 0.090000  0.655000 0.260000 1.445000 ;
+      RECT 0.090000  1.445000 3.650000 1.615000 ;
+      RECT 0.090000  1.615000 0.260000 2.065000 ;
+      RECT 0.090000  2.065000 0.410000 2.465000 ;
+      RECT 0.580000  0.085000 0.890000 0.905000 ;
+      RECT 0.580000  1.835000 0.890000 2.635000 ;
+      RECT 1.060000  0.255000 1.390000 0.715000 ;
+      RECT 1.060000  0.715000 2.750000 0.905000 ;
+      RECT 1.560000  0.085000 1.810000 0.545000 ;
+      RECT 1.560000  2.175000 1.730000 2.635000 ;
+      RECT 2.000000  0.255000 4.050000 0.465000 ;
+      RECT 2.000000  0.635000 2.750000 0.715000 ;
+      RECT 2.400000  2.175000 2.650000 2.635000 ;
+      RECT 2.840000  2.175000 3.090000 2.635000 ;
+      RECT 2.920000  0.465000 3.090000 0.905000 ;
+      RECT 3.320000  1.075000 3.650000 1.445000 ;
+      RECT 3.760000  2.175000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_2
+MACRO sky130_fd_sc_hd__a41oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.385000 0.995000 4.205000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.405000 1.075000 6.315000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.560000 1.075000 7.955000 1.300000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.285000 1.075000 9.975000 1.280000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.745000 1.305000 ;
+        RECT 0.105000 1.305000 0.325000 1.965000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 2.155000 1.685000 ;
+        RECT 0.515000 1.685000 1.685000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 4.015000 0.805000 ;
+        RECT 1.350000 1.495000 2.155000 1.575000 ;
+        RECT 1.350000 1.745000 1.685000 2.085000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.935000 0.805000 2.155000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.085000  0.425000 0.465000 ;
+      RECT 0.090000  2.255000  2.335000 2.425000 ;
+      RECT 0.935000  0.085000  1.265000 0.465000 ;
+      RECT 1.775000  0.085000  2.105000 0.465000 ;
+      RECT 2.165000  1.905000  3.515000 2.075000 ;
+      RECT 2.165000  2.075000  2.335000 2.255000 ;
+      RECT 2.165000  2.425000  2.335000 2.465000 ;
+      RECT 2.425000  0.295000  6.115000 0.465000 ;
+      RECT 2.505000  2.255000  3.175000 2.635000 ;
+      RECT 3.345000  1.575000  9.945000 1.745000 ;
+      RECT 3.345000  1.745000  3.515000 1.905000 ;
+      RECT 3.345000  2.075000  3.515000 2.465000 ;
+      RECT 3.685000  1.915000  4.015000 2.635000 ;
+      RECT 4.185000  1.745000  4.355000 2.425000 ;
+      RECT 4.525000  0.635000  7.895000 0.805000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  1.745000  5.290000 2.465000 ;
+      RECT 5.495000  1.915000  6.165000 2.635000 ;
+      RECT 6.305000  0.295000  8.235000 0.465000 ;
+      RECT 6.385000  1.745000  6.555000 2.465000 ;
+      RECT 6.725000  1.915000  7.055000 2.635000 ;
+      RECT 7.225000  1.745000  7.395000 2.465000 ;
+      RECT 7.565000  1.915000  7.895000 2.635000 ;
+      RECT 8.065000  0.255000  8.235000 0.295000 ;
+      RECT 8.065000  0.465000  8.235000 0.635000 ;
+      RECT 8.065000  0.635000  9.915000 0.805000 ;
+      RECT 8.065000  1.745000  8.235000 2.465000 ;
+      RECT 8.405000  0.085000  8.735000 0.465000 ;
+      RECT 8.405000  1.915000  8.735000 2.635000 ;
+      RECT 8.905000  0.255000  9.075000 0.635000 ;
+      RECT 8.905000  1.745000  9.075000 2.465000 ;
+      RECT 9.245000  0.085000  9.575000 0.465000 ;
+      RECT 9.245000  1.915000  9.575000 2.635000 ;
+      RECT 9.745000  0.255000  9.915000 0.635000 ;
+      RECT 9.775000  1.745000  9.945000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_4
+MACRO sky130_fd_sc_hd__a41oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.085000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 0.755000 2.210000 1.665000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 0.755000 1.710000 1.665000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.965000 1.250000 1.665000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.965000 0.780000 1.665000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.669500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.285000 0.345000 0.615000 ;
+        RECT 0.090000 0.615000 1.290000 0.785000 ;
+        RECT 0.090000 0.785000 0.360000 1.845000 ;
+        RECT 0.090000 1.845000 0.425000 2.425000 ;
+        RECT 1.120000 0.295000 3.015000 0.465000 ;
+        RECT 1.120000 0.465000 1.290000 0.615000 ;
+        RECT 2.685000 0.465000 3.015000 0.805000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.595000  1.845000 3.015000 2.015000 ;
+      RECT 0.595000  2.015000 0.845000 2.465000 ;
+      RECT 0.620000  0.085000 0.950000 0.445000 ;
+      RECT 1.120000  2.195000 1.450000 2.635000 ;
+      RECT 1.760000  2.015000 1.930000 2.465000 ;
+      RECT 2.215000  2.195000 2.545000 2.635000 ;
+      RECT 2.765000  2.015000 3.015000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_1
+MACRO sky130_fd_sc_hd__a41oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.785000 1.075000 2.455000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.665000 1.075000 3.365000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.075000 4.575000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.755000 1.075000 5.895000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.555000 1.280000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.645000 2.295000 0.815000 ;
+        RECT 0.145000 0.815000 0.315000 1.455000 ;
+        RECT 0.145000 1.455000 1.455000 1.625000 ;
+        RECT 0.685000 0.255000 0.855000 0.645000 ;
+        RECT 1.125000 1.625000 1.455000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.185000  0.085000 0.515000 0.465000 ;
+      RECT 0.785000  1.795000 0.955000 2.295000 ;
+      RECT 0.785000  2.295000 1.795000 2.465000 ;
+      RECT 1.025000  0.085000 1.375000 0.465000 ;
+      RECT 1.545000  0.295000 2.635000 0.465000 ;
+      RECT 1.625000  1.535000 5.760000 1.705000 ;
+      RECT 1.625000  1.705000 1.795000 2.295000 ;
+      RECT 1.965000  1.915000 2.295000 2.635000 ;
+      RECT 2.465000  0.465000 2.635000 0.645000 ;
+      RECT 2.465000  0.645000 3.555000 0.815000 ;
+      RECT 2.465000  1.705000 2.635000 2.465000 ;
+      RECT 2.805000  0.295000 4.495000 0.465000 ;
+      RECT 2.805000  1.915000 3.135000 2.635000 ;
+      RECT 3.325000  1.705000 3.495000 2.465000 ;
+      RECT 3.745000  0.645000 5.675000 0.815000 ;
+      RECT 3.755000  1.915000 4.425000 2.635000 ;
+      RECT 4.665000  1.705000 4.835000 2.465000 ;
+      RECT 5.005000  0.085000 5.335000 0.465000 ;
+      RECT 5.005000  1.915000 5.335000 2.635000 ;
+      RECT 5.505000  0.255000 5.675000 0.645000 ;
+      RECT 5.505000  1.705000 5.675000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_2
+MACRO sky130_fd_sc_hd__diode_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__diode_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN DIODE
+    ANTENNADIFFAREA  0.434700 ;
+    ANTENNAGATEAREA  0.434700 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.835000 2.465000 ;
+    END
+  END DIODE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__diode_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.615000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.700000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  7.340000 0.280000  7.600000 0.735000 ;
+        RECT  7.340000 0.735000 14.085000 0.905000 ;
+        RECT  7.375000 1.495000 14.085000 1.720000 ;
+        RECT  7.375000 1.720000 12.745000 1.735000 ;
+        RECT  7.375000 1.735000  7.600000 2.460000 ;
+        RECT  8.200000 0.280000  8.460000 0.735000 ;
+        RECT  8.200000 1.735000  8.460000 2.460000 ;
+        RECT  9.060000 0.280000  9.320000 0.735000 ;
+        RECT  9.060000 1.735000  9.320000 2.460000 ;
+        RECT  9.905000 0.280000 10.180000 0.735000 ;
+        RECT  9.920000 1.735000 10.180000 2.460000 ;
+        RECT 10.765000 0.280000 11.025000 0.735000 ;
+        RECT 10.765000 1.735000 11.025000 2.460000 ;
+        RECT 11.625000 0.280000 11.885000 0.735000 ;
+        RECT 11.625000 1.735000 11.885000 2.460000 ;
+        RECT 12.485000 0.280000 12.745000 0.735000 ;
+        RECT 12.485000 1.735000 12.745000 2.460000 ;
+        RECT 12.920000 0.905000 14.085000 1.495000 ;
+        RECT 13.355000 0.280000 13.615000 0.735000 ;
+        RECT 13.355000 1.720000 13.645000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 10.350000 1.905000 10.595000 2.465000 ;
+      LAYER mcon ;
+        RECT 10.395000 2.125000 10.565000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 11.210000 1.905000 11.455000 2.465000 ;
+      LAYER mcon ;
+        RECT 11.255000 2.125000 11.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.070000 1.905000 12.315000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.110000 2.125000 12.280000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.930000 1.905000 13.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.960000 2.125000 13.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 13.815000 1.890000 14.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 13.840000 2.125000 14.010000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.495000 5.485000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.235000 2.125000 5.405000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.015000 1.495000 6.345000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.095000 2.125000 6.265000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.875000 1.495000 7.205000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.950000 2.125000 7.120000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.770000 1.905000 8.030000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.800000 2.125000 7.970000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.630000 1.905000 8.890000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.680000 2.125000 8.850000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.490000 1.905000 9.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.540000 2.125000 9.710000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.140000 14.190000 2.340000 ;
+        RECT  5.175000 2.080000  5.465000 2.140000 ;
+        RECT  6.035000 2.080000  6.325000 2.140000 ;
+        RECT  6.890000 2.080000  7.180000 2.140000 ;
+        RECT  7.740000 2.080000  8.030000 2.140000 ;
+        RECT  8.620000 2.080000  8.910000 2.140000 ;
+        RECT  9.480000 2.080000  9.770000 2.140000 ;
+        RECT 10.335000 2.080000 10.625000 2.140000 ;
+        RECT 11.195000 2.080000 11.485000 2.140000 ;
+        RECT 12.050000 2.080000 12.340000 2.140000 ;
+        RECT 12.900000 2.080000 13.190000 2.140000 ;
+        RECT 13.780000 2.080000 14.070000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.130000  1.495000  0.535000 2.635000 ;
+      RECT  0.245000  0.085000  0.535000 0.905000 ;
+      RECT  0.705000  0.255000  1.035000 0.815000 ;
+      RECT  0.705000  1.575000  1.035000 2.465000 ;
+      RECT  0.785000  0.815000  1.035000 1.075000 ;
+      RECT  0.785000  1.075000  2.265000 1.275000 ;
+      RECT  0.785000  1.275000  1.035000 1.575000 ;
+      RECT  1.205000  1.575000  1.585000 2.295000 ;
+      RECT  1.205000  2.295000  3.265000 2.465000 ;
+      RECT  1.215000  0.085000  1.505000 0.905000 ;
+      RECT  1.675000  0.255000  2.005000 0.725000 ;
+      RECT  1.675000  0.725000  4.525000 0.905000 ;
+      RECT  1.755000  1.445000  2.765000 1.745000 ;
+      RECT  1.755000  1.745000  1.925000 2.125000 ;
+      RECT  2.095000  1.935000  2.425000 2.295000 ;
+      RECT  2.175000  0.085000  2.345000 0.555000 ;
+      RECT  2.435000  0.905000  3.095000 0.965000 ;
+      RECT  2.435000  0.965000  2.765000 1.445000 ;
+      RECT  2.515000  0.255000  2.845000 0.725000 ;
+      RECT  2.595000  1.745000  2.765000 2.125000 ;
+      RECT  2.935000  1.455000  4.975000 1.665000 ;
+      RECT  2.935000  1.665000  3.265000 2.295000 ;
+      RECT  3.015000  0.085000  3.185000 0.555000 ;
+      RECT  3.355000  0.255000  3.685000 0.725000 ;
+      RECT  3.435000  1.835000  3.685000 2.635000 ;
+      RECT  3.855000  0.085000  4.025000 0.555000 ;
+      RECT  3.855000  1.665000  4.025000 2.465000 ;
+      RECT  4.195000  0.255000  4.525000 0.725000 ;
+      RECT  4.195000  1.835000  4.525000 2.635000 ;
+      RECT  4.695000  0.085000  5.450000 0.565000 ;
+      RECT  4.695000  0.565000  4.975000 0.905000 ;
+      RECT  4.695000  1.665000  4.975000 2.465000 ;
+      RECT  5.145000  0.735000  5.460000 1.325000 ;
+      RECT  5.655000  0.265000  5.880000 1.075000 ;
+      RECT  5.655000  1.075000 12.750000 1.325000 ;
+      RECT  5.655000  1.325000  5.845000 2.465000 ;
+      RECT  6.050000  0.085000  6.310000 0.610000 ;
+      RECT  6.490000  0.265000  6.740000 1.075000 ;
+      RECT  6.515000  1.325000  6.705000 2.460000 ;
+      RECT  6.910000  0.085000  7.170000 0.645000 ;
+      RECT  7.770000  0.085000  8.030000 0.565000 ;
+      RECT  8.630000  0.085000  8.890000 0.565000 ;
+      RECT  9.490000  0.085000  9.735000 0.565000 ;
+      RECT 10.350000  0.085000 10.595000 0.565000 ;
+      RECT 11.205000  0.085000 11.455000 0.565000 ;
+      RECT 12.065000  0.085000 12.315000 0.565000 ;
+      RECT 12.925000  0.085000 13.185000 0.565000 ;
+      RECT 13.785000  0.085000 14.085000 0.565000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.525000  0.765000  2.695000 0.935000 ;
+      RECT  2.885000  0.765000  3.055000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  0.765000  5.380000 0.935000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.465000 0.735000 3.115000 0.780000 ;
+      RECT 2.465000 0.780000 5.440000 0.920000 ;
+      RECT 2.465000 0.920000 3.115000 0.965000 ;
+      RECT 5.150000 0.735000 5.440000 0.780000 ;
+      RECT 5.150000 0.920000 5.440000 0.965000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+MACRO sky130_fd_sc_hd__dlymetal6s4s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.660000 0.255000 3.105000 0.825000 ;
+        RECT 2.660000 1.495000 3.565000 1.675000 ;
+        RECT 2.660000 1.675000 3.105000 2.465000 ;
+        RECT 2.735000 0.825000 3.105000 0.995000 ;
+        RECT 2.735000 0.995000 3.565000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.245000  0.255000 1.515000 0.825000 ;
+      RECT 1.245000  1.495000 1.970000 1.675000 ;
+      RECT 1.245000  1.675000 1.515000 2.465000 ;
+      RECT 1.320000  0.825000 1.515000 0.995000 ;
+      RECT 1.320000  0.995000 1.970000 1.495000 ;
+      RECT 1.685000  0.255000 1.935000 0.655000 ;
+      RECT 1.685000  0.655000 2.490000 0.825000 ;
+      RECT 1.685000  1.845000 2.490000 2.040000 ;
+      RECT 1.685000  2.040000 1.935000 2.465000 ;
+      RECT 2.105000  0.085000 2.490000 0.485000 ;
+      RECT 2.105000  2.210000 2.490000 2.635000 ;
+      RECT 2.140000  0.825000 2.490000 0.995000 ;
+      RECT 2.140000  0.995000 2.565000 1.325000 ;
+      RECT 2.140000  1.325000 2.490000 1.845000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s4s_1
+MACRO sky130_fd_sc_hd__dlygate4sd1_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.555000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.410000 0.255000 2.700000 0.825000 ;
+        RECT 2.440000 1.495000 2.700000 2.465000 ;
+        RECT 2.530000 0.825000 2.700000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.785000 0.895000 2.005000 ;
+      RECT 0.085000  2.005000 0.380000 2.465000 ;
+      RECT 0.095000  0.255000 0.380000 0.715000 ;
+      RECT 0.095000  0.715000 0.895000 0.885000 ;
+      RECT 0.550000  0.085000 0.765000 0.545000 ;
+      RECT 0.550000  2.175000 0.765000 2.635000 ;
+      RECT 0.725000  0.885000 0.895000 0.995000 ;
+      RECT 0.725000  0.995000 0.980000 1.325000 ;
+      RECT 0.725000  1.325000 0.895000 1.785000 ;
+      RECT 0.935000  0.255000 1.320000 0.545000 ;
+      RECT 0.935000  2.175000 1.320000 2.465000 ;
+      RECT 1.150000  0.545000 1.320000 1.075000 ;
+      RECT 1.150000  1.075000 1.900000 1.275000 ;
+      RECT 1.150000  1.275000 1.320000 2.175000 ;
+      RECT 1.515000  0.255000 1.740000 0.735000 ;
+      RECT 1.515000  0.735000 2.240000 0.905000 ;
+      RECT 1.515000  1.575000 2.240000 1.745000 ;
+      RECT 1.515000  1.745000 1.740000 2.430000 ;
+      RECT 1.910000  0.085000 2.240000 0.565000 ;
+      RECT 1.910000  1.915000 2.270000 2.635000 ;
+      RECT 2.070000  0.905000 2.240000 0.995000 ;
+      RECT 2.070000  0.995000 2.360000 1.325000 ;
+      RECT 2.070000  1.325000 2.240000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd1_1
+MACRO sky130_fd_sc_hd__a2bb2oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.310000 1.075000 4.205000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.455000 1.075000 5.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.710000 1.445000 ;
+        RECT 0.085000 1.445000 2.030000 1.615000 ;
+        RECT 1.700000 1.075000 2.030000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.480000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.645000 1.400000 0.725000 ;
+        RECT 1.070000 0.725000 2.660000 0.905000 ;
+        RECT 2.330000 0.255000 2.660000 0.725000 ;
+        RECT 2.370000 0.905000 2.660000 1.660000 ;
+        RECT 2.370000 1.660000 2.620000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.270000  1.785000 2.200000 1.955000 ;
+      RECT 0.270000  1.955000 0.520000 2.465000 ;
+      RECT 0.310000  0.085000 0.480000 0.895000 ;
+      RECT 0.650000  0.255000 1.820000 0.475000 ;
+      RECT 0.650000  0.475000 0.900000 0.895000 ;
+      RECT 0.690000  2.135000 0.940000 2.635000 ;
+      RECT 1.110000  1.955000 1.360000 2.465000 ;
+      RECT 1.530000  2.135000 1.780000 2.635000 ;
+      RECT 1.950000  1.955000 2.200000 2.295000 ;
+      RECT 1.950000  2.295000 3.040000 2.465000 ;
+      RECT 1.990000  0.085000 2.160000 0.555000 ;
+      RECT 2.790000  1.795000 3.040000 2.295000 ;
+      RECT 2.830000  0.085000 3.520000 0.555000 ;
+      RECT 2.830000  0.995000 3.120000 1.325000 ;
+      RECT 2.950000  0.725000 4.860000 0.905000 ;
+      RECT 2.950000  0.905000 3.120000 0.995000 ;
+      RECT 2.950000  1.325000 3.120000 1.445000 ;
+      RECT 2.950000  1.445000 4.820000 1.615000 ;
+      RECT 3.310000  1.785000 4.400000 1.965000 ;
+      RECT 3.310000  1.965000 3.560000 2.465000 ;
+      RECT 3.690000  0.255000 4.020000 0.725000 ;
+      RECT 3.730000  2.135000 3.980000 2.635000 ;
+      RECT 4.150000  1.965000 4.400000 2.295000 ;
+      RECT 4.150000  2.295000 5.240000 2.465000 ;
+      RECT 4.190000  0.085000 4.360000 0.555000 ;
+      RECT 4.530000  0.255000 4.860000 0.725000 ;
+      RECT 4.570000  1.615000 4.820000 2.125000 ;
+      RECT 4.990000  1.455000 5.240000 2.295000 ;
+      RECT 5.030000  0.085000 5.200000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_2
+MACRO sky130_fd_sc_hd__a2bb2oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.520000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.010000 1.240000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.070000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.995000 2.610000 1.615000 ;
+        RECT 2.440000 0.425000 2.610000 0.995000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.515500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.785000 1.945000 1.955000 ;
+        RECT 1.420000 1.955000 1.785000 2.465000 ;
+        RECT 1.775000 0.255000 2.205000 0.825000 ;
+        RECT 1.775000 0.825000 1.945000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.825000 ;
+      RECT 0.095000  1.805000 0.425000 2.635000 ;
+      RECT 0.595000  0.255000 0.765000 0.660000 ;
+      RECT 0.595000  0.660000 1.580000 0.830000 ;
+      RECT 0.875000  1.445000 1.580000 1.615000 ;
+      RECT 0.875000  1.615000 1.205000 2.465000 ;
+      RECT 0.935000  0.085000 1.605000 0.490000 ;
+      RECT 1.410000  0.830000 1.580000 1.445000 ;
+      RECT 1.955000  2.235000 2.285000 2.465000 ;
+      RECT 2.115000  1.785000 3.130000 1.955000 ;
+      RECT 2.115000  1.955000 2.285000 2.235000 ;
+      RECT 2.455000  2.135000 2.705000 2.635000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+      RECT 2.875000  1.955000 3.130000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_1
+MACRO sky130_fd_sc_hd__a2bb2oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.945000 1.075000 7.320000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 1.075000 9.045000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.555000 1.285000 ;
+        RECT 1.385000 1.285000 1.555000 1.445000 ;
+        RECT 1.385000 1.445000 3.575000 1.615000 ;
+        RECT 3.245000 1.075000 3.575000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.725000 1.075000 3.075000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.645000 2.995000 0.725000 ;
+        RECT 1.775000 0.725000 5.045000 0.905000 ;
+        RECT 3.745000 0.905000 3.915000 1.415000 ;
+        RECT 3.745000 1.415000 4.965000 1.615000 ;
+        RECT 3.875000 0.275000 4.205000 0.725000 ;
+        RECT 3.915000 1.615000 4.165000 2.125000 ;
+        RECT 4.715000 0.275000 5.045000 0.725000 ;
+        RECT 4.745000 1.615000 4.965000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  1.455000 1.215000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 0.845000 0.725000 ;
+      RECT 0.515000  0.725000 1.605000 0.905000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.215000 1.795000 ;
+      RECT 0.975000  1.795000 3.745000 1.965000 ;
+      RECT 0.975000  1.965000 1.215000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.355000  0.255000 3.365000 0.475000 ;
+      RECT 1.355000  0.475000 1.605000 0.725000 ;
+      RECT 1.395000  2.135000 1.645000 2.635000 ;
+      RECT 1.815000  1.965000 2.065000 2.465000 ;
+      RECT 2.235000  2.135000 2.485000 2.635000 ;
+      RECT 2.655000  1.965000 2.905000 2.465000 ;
+      RECT 3.075000  2.135000 3.325000 2.635000 ;
+      RECT 3.495000  1.965000 3.745000 2.295000 ;
+      RECT 3.495000  2.295000 5.465000 2.465000 ;
+      RECT 3.535000  0.085000 3.705000 0.555000 ;
+      RECT 4.085000  1.075000 5.725000 1.245000 ;
+      RECT 4.335000  1.795000 4.575000 2.295000 ;
+      RECT 4.375000  0.085000 4.545000 0.555000 ;
+      RECT 5.135000  1.455000 5.465000 2.295000 ;
+      RECT 5.215000  0.085000 5.905000 0.555000 ;
+      RECT 5.555000  0.735000 9.575000 0.905000 ;
+      RECT 5.555000  0.905000 5.725000 1.075000 ;
+      RECT 5.655000  1.455000 7.625000 1.625000 ;
+      RECT 5.655000  1.625000 5.985000 2.465000 ;
+      RECT 6.075000  0.255000 6.405000 0.725000 ;
+      RECT 6.075000  0.725000 8.925000 0.735000 ;
+      RECT 6.155000  1.795000 6.365000 2.635000 ;
+      RECT 6.540000  1.625000 6.780000 2.465000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.915000  0.255000 7.245000 0.725000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 7.375000  1.625000 7.625000 2.295000 ;
+      RECT 7.375000  2.295000 9.310000 2.465000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.755000  0.255000 8.085000 0.725000 ;
+      RECT 7.795000  1.455000 9.575000 1.625000 ;
+      RECT 7.795000  1.625000 8.045000 2.125000 ;
+      RECT 8.215000  1.795000 8.465000 2.295000 ;
+      RECT 8.255000  0.085000 8.425000 0.555000 ;
+      RECT 8.595000  0.255000 8.925000 0.725000 ;
+      RECT 8.635000  1.625000 8.885000 2.125000 ;
+      RECT 9.060000  1.795000 9.310000 2.295000 ;
+      RECT 9.095000  0.085000 9.265000 0.555000 ;
+      RECT 9.215000  0.905000 9.575000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_4
+MACRO sky130_fd_sc_hd__xnor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.930000 1.075000 1.625000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.965000 1.615000 ;
+        RECT 1.795000 1.075000 2.395000 1.245000 ;
+        RECT 1.795000 1.245000 1.965000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.525000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 2.125000 2.645000 2.295000 ;
+        RECT 2.475000 1.755000 3.135000 1.955000 ;
+        RECT 2.475000 1.955000 2.645000 2.125000 ;
+        RECT 2.815000 0.345000 3.135000 0.825000 ;
+        RECT 2.965000 0.825000 3.135000 1.755000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.280000 0.550000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 2.305000 1.955000 ;
+      RECT 0.085000  2.125000 0.385000 2.635000 ;
+      RECT 0.555000  1.955000 0.885000 2.465000 ;
+      RECT 1.055000  0.085000 1.225000 0.905000 ;
+      RECT 1.055000  2.125000 1.685000 2.635000 ;
+      RECT 1.395000  0.255000 1.725000 0.735000 ;
+      RECT 1.395000  0.735000 2.645000 0.825000 ;
+      RECT 1.395000  0.825000 2.305000 0.905000 ;
+      RECT 1.895000  0.085000 2.245000 0.475000 ;
+      RECT 2.135000  0.655000 2.645000 0.735000 ;
+      RECT 2.135000  1.415000 2.795000 1.585000 ;
+      RECT 2.135000  1.585000 2.305000 1.785000 ;
+      RECT 2.415000  0.255000 2.645000 0.655000 ;
+      RECT 2.625000  0.995000 2.795000 1.415000 ;
+      RECT 2.815000  2.125000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xnor2_1
+MACRO sky130_fd_sc_hd__xnor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.175000 1.075000 5.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 1.075000 1.855000 1.275000 ;
+        RECT 1.685000 1.275000 1.855000 1.445000 ;
+        RECT 1.685000 1.445000 5.730000 1.615000 ;
+        RECT 5.560000 1.075000 7.430000 1.275000 ;
+        RECT 5.560000 1.275000 5.730000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.721000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.160000 1.785000  8.250000 2.045000 ;
+        RECT 7.960000 1.445000 10.035000 1.665000 ;
+        RECT 7.960000 1.665000  8.250000 1.785000 ;
+        RECT 7.960000 2.045000  8.250000 2.465000 ;
+        RECT 8.380000 0.645000 10.035000 0.905000 ;
+        RECT 8.840000 1.665000  9.090000 2.465000 ;
+        RECT 9.680000 1.665000 10.035000 2.465000 ;
+        RECT 9.815000 0.905000 10.035000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.760000 0.905000 ;
+      RECT 0.085000  0.905000  0.320000 1.445000 ;
+      RECT 0.085000  1.445000  1.300000 1.615000 ;
+      RECT 0.085000  1.615000  0.460000 2.465000 ;
+      RECT 0.170000  0.255000  2.180000 0.475000 ;
+      RECT 0.630000  1.835000  0.880000 2.635000 ;
+      RECT 1.050000  1.615000  1.300000 1.785000 ;
+      RECT 1.050000  1.785000  3.820000 2.005000 ;
+      RECT 1.050000  2.005000  1.300000 2.465000 ;
+      RECT 1.470000  2.175000  1.720000 2.635000 ;
+      RECT 1.890000  2.005000  2.140000 2.465000 ;
+      RECT 1.930000  0.475000  2.180000 0.725000 ;
+      RECT 1.930000  0.725000  3.860000 0.905000 ;
+      RECT 2.310000  2.175000  2.560000 2.635000 ;
+      RECT 2.350000  0.085000  2.520000 0.555000 ;
+      RECT 2.690000  0.255000  3.020000 0.725000 ;
+      RECT 2.730000  2.005000  2.980000 2.465000 ;
+      RECT 3.150000  2.175000  3.400000 2.635000 ;
+      RECT 3.190000  0.085000  3.360000 0.555000 ;
+      RECT 3.530000  0.255000  3.860000 0.725000 ;
+      RECT 3.570000  2.005000  3.820000 2.465000 ;
+      RECT 4.035000  0.085000  4.310000 0.905000 ;
+      RECT 4.035000  1.785000  5.990000 2.005000 ;
+      RECT 4.035000  2.005000  4.350000 2.465000 ;
+      RECT 4.480000  0.255000  4.810000 0.725000 ;
+      RECT 4.480000  0.725000  7.430000 0.735000 ;
+      RECT 4.480000  0.735000  8.210000 0.905000 ;
+      RECT 4.520000  2.175000  4.770000 2.635000 ;
+      RECT 4.940000  2.005000  5.190000 2.465000 ;
+      RECT 4.980000  0.085000  5.150000 0.555000 ;
+      RECT 5.320000  0.255000  5.650000 0.725000 ;
+      RECT 5.360000  2.175000  5.610000 2.635000 ;
+      RECT 5.780000  2.005000  5.990000 2.215000 ;
+      RECT 5.780000  2.215000  7.750000 2.465000 ;
+      RECT 5.820000  0.085000  5.990000 0.555000 ;
+      RECT 5.900000  1.445000  7.770000 1.615000 ;
+      RECT 6.160000  0.255000  6.490000 0.725000 ;
+      RECT 6.660000  0.085000  6.830000 0.555000 ;
+      RECT 7.000000  0.255000  7.330000 0.725000 ;
+      RECT 7.500000  0.085000  7.770000 0.555000 ;
+      RECT 7.600000  1.075000  9.645000 1.275000 ;
+      RECT 7.600000  1.275000  7.770000 1.445000 ;
+      RECT 7.960000  0.305000  9.970000 0.475000 ;
+      RECT 7.960000  0.475000  8.210000 0.735000 ;
+      RECT 8.420000  1.835000  8.670000 2.635000 ;
+      RECT 9.260000  1.835000  9.510000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.445000 1.235000 1.615000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.005000 1.415000 1.295000 1.460000 ;
+      RECT 1.005000 1.460000 6.355000 1.600000 ;
+      RECT 1.005000 1.600000 1.295000 1.645000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xnor2_4
+MACRO sky130_fd_sc_hd__xnor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.255000 1.075000 2.705000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.960000 1.285000 ;
+        RECT 0.790000 1.285000 0.960000 1.445000 ;
+        RECT 0.790000 1.445000 3.100000 1.615000 ;
+        RECT 2.930000 1.075000 3.955000 1.285000 ;
+        RECT 2.930000 1.285000 3.100000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.913000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.795000 5.295000 1.965000 ;
+        RECT 3.725000 1.965000 3.935000 2.125000 ;
+        RECT 4.585000 0.305000 5.895000 0.475000 ;
+        RECT 5.045000 1.415000 5.895000 1.625000 ;
+        RECT 5.045000 1.625000 5.295000 1.795000 ;
+        RECT 5.045000 1.965000 5.295000 2.125000 ;
+        RECT 5.505000 0.475000 5.895000 1.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.645000 0.860000 0.895000 ;
+      RECT 0.085000  0.895000 0.315000 1.785000 ;
+      RECT 0.085000  1.785000 3.480000 1.955000 ;
+      RECT 0.085000  1.955000 2.080000 1.965000 ;
+      RECT 0.085000  1.965000 0.400000 2.465000 ;
+      RECT 0.105000  0.255000 1.280000 0.475000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  1.965000 1.240000 2.465000 ;
+      RECT 1.030000  0.475000 1.280000 0.725000 ;
+      RECT 1.030000  0.725000 2.120000 0.905000 ;
+      RECT 1.410000  2.135000 1.660000 2.635000 ;
+      RECT 1.450000  0.085000 1.620000 0.555000 ;
+      RECT 1.790000  0.255000 2.120000 0.725000 ;
+      RECT 1.830000  1.965000 2.080000 2.465000 ;
+      RECT 2.390000  2.125000 2.640000 2.465000 ;
+      RECT 2.430000  0.085000 2.600000 0.905000 ;
+      RECT 2.770000  0.255000 3.100000 0.725000 ;
+      RECT 2.770000  0.725000 5.335000 0.905000 ;
+      RECT 2.810000  2.135000 3.060000 2.635000 ;
+      RECT 3.230000  2.125000 3.555000 2.295000 ;
+      RECT 3.230000  2.295000 4.355000 2.465000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.310000  1.455000 4.805000 1.625000 ;
+      RECT 3.310000  1.625000 3.480000 1.785000 ;
+      RECT 3.610000  0.255000 3.975000 0.725000 ;
+      RECT 4.105000  2.135000 4.355000 2.295000 ;
+      RECT 4.145000  0.085000 4.315000 0.555000 ;
+      RECT 4.625000  2.135000 4.875000 2.635000 ;
+      RECT 4.635000  1.075000 5.295000 1.245000 ;
+      RECT 4.635000  1.245000 4.805000 1.455000 ;
+      RECT 5.005000  0.645000 5.335000 0.725000 ;
+      RECT 5.465000  1.795000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.465000  2.125000 2.635000 2.295000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.385000  2.125000 3.555000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.405000 2.095000 2.695000 2.140000 ;
+      RECT 2.405000 2.140000 3.615000 2.280000 ;
+      RECT 2.405000 2.280000 2.695000 2.325000 ;
+      RECT 3.325000 2.095000 3.615000 2.140000 ;
+      RECT 3.325000 2.280000 3.615000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xnor2_2
+MACRO sky130_fd_sc_hd__or4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.430000 0.995000 2.810000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 2.125000 2.660000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.995000 2.260000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.425000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.415000 3.595000 0.760000 ;
+        RECT 3.320000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.760000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.585000 ;
+      RECT 0.085000  1.560000 0.425000 2.635000 ;
+      RECT 0.595000  0.305000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.250000 1.325000 ;
+      RECT 0.595000  1.325000 0.835000 1.920000 ;
+      RECT 1.030000  1.495000 1.350000 1.785000 ;
+      RECT 1.030000  1.785000 2.660000 1.955000 ;
+      RECT 1.035000  0.085000 1.365000 0.585000 ;
+      RECT 1.565000  0.305000 1.735000 0.655000 ;
+      RECT 1.565000  0.655000 3.150000 0.825000 ;
+      RECT 1.910000  0.085000 2.240000 0.485000 ;
+      RECT 2.410000  0.305000 2.580000 0.655000 ;
+      RECT 2.490000  1.495000 3.150000 1.665000 ;
+      RECT 2.490000  1.665000 2.660000 1.785000 ;
+      RECT 2.750000  0.085000 3.130000 0.485000 ;
+      RECT 2.830000  1.835000 3.110000 2.635000 ;
+      RECT 2.980000  0.825000 3.150000 0.995000 ;
+      RECT 2.980000  0.995000 3.255000 1.325000 ;
+      RECT 2.980000  1.325000 3.150000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_1
+MACRO sky130_fd_sc_hd__or4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 2.125000 2.670000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.550000 1.075000 3.550000 1.275000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.435000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.675000 1.250000 0.680000 ;
+        RECT 0.935000 0.680000 1.245000 0.790000 ;
+        RECT 0.935000 0.790000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.250000 1.825000 ;
+        RECT 0.970000 0.260000 1.250000 0.675000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.325000 0.350000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.605000 0.765000 1.890000 ;
+      RECT 0.510000  1.890000 0.765000 1.995000 ;
+      RECT 0.510000  1.995000 1.715000 2.165000 ;
+      RECT 0.515000  2.335000 0.845000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.605000 ;
+      RECT 0.630000  0.085000 0.800000 0.565000 ;
+      RECT 1.290000  0.995000 1.585000 1.325000 ;
+      RECT 1.415000  0.735000 3.055000 0.905000 ;
+      RECT 1.415000  0.905000 1.585000 0.995000 ;
+      RECT 1.415000  1.325000 1.585000 1.355000 ;
+      RECT 1.415000  1.355000 1.600000 1.370000 ;
+      RECT 1.415000  1.370000 1.610000 1.380000 ;
+      RECT 1.415000  1.380000 1.620000 1.390000 ;
+      RECT 1.415000  1.390000 1.625000 1.400000 ;
+      RECT 1.415000  1.400000 1.630000 1.410000 ;
+      RECT 1.415000  1.410000 1.645000 1.420000 ;
+      RECT 1.415000  1.420000 1.655000 1.425000 ;
+      RECT 1.415000  1.425000 1.665000 1.445000 ;
+      RECT 1.415000  1.445000 3.560000 1.450000 ;
+      RECT 1.420000  1.450000 3.560000 1.615000 ;
+      RECT 1.435000  0.085000 1.815000 0.485000 ;
+      RECT 1.440000  1.785000 3.030000 1.955000 ;
+      RECT 1.440000  1.955000 1.715000 1.995000 ;
+      RECT 1.480000  2.335000 1.815000 2.635000 ;
+      RECT 1.985000  0.305000 2.155000 0.735000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 2.860000  1.955000 3.030000 2.215000 ;
+      RECT 2.860000  2.215000 3.345000 2.385000 ;
+      RECT 2.885000  0.305000 3.055000 0.735000 ;
+      RECT 3.225000  0.085000 3.555000 0.585000 ;
+      RECT 3.225000  1.615000 3.560000 1.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_2
+MACRO sky130_fd_sc_hd__or4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.755000 0.995000 2.925000 1.445000 ;
+        RECT 2.755000 1.445000 3.190000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.995000 2.525000 1.450000 ;
+        RECT 2.335000 1.450000 2.525000 1.785000 ;
+        RECT 2.335000 1.785000 2.635000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.795000 0.995000 1.965000 1.620000 ;
+        RECT 1.795000 1.620000 2.155000 2.375000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.995000 0.445000 1.955000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.455000 4.965000 1.625000 ;
+        RECT 3.395000 1.625000 3.645000 2.465000 ;
+        RECT 3.435000 0.255000 3.685000 0.725000 ;
+        RECT 3.435000 0.725000 4.965000 0.905000 ;
+        RECT 4.195000 0.255000 4.525000 0.725000 ;
+        RECT 4.235000 1.625000 4.485000 2.465000 ;
+        RECT 4.725000 0.905000 4.965000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.825000 ;
+      RECT 0.085000  2.135000 0.365000 2.635000 ;
+      RECT 0.595000  0.435000 0.785000 0.905000 ;
+      RECT 0.595000  2.065000 0.785000 2.455000 ;
+      RECT 0.615000  0.905000 0.785000 0.995000 ;
+      RECT 0.615000  0.995000 1.215000 1.325000 ;
+      RECT 0.615000  1.325000 0.785000 2.065000 ;
+      RECT 1.035000  0.085000 1.285000 0.585000 ;
+      RECT 1.035000  1.575000 1.625000 1.745000 ;
+      RECT 1.035000  1.745000 1.365000 2.450000 ;
+      RECT 1.455000  0.655000 3.265000 0.825000 ;
+      RECT 1.455000  0.825000 1.625000 1.575000 ;
+      RECT 1.615000  0.305000 1.785000 0.655000 ;
+      RECT 1.985000  0.085000 2.315000 0.485000 ;
+      RECT 2.485000  0.305000 2.655000 0.655000 ;
+      RECT 2.875000  0.085000 3.255000 0.485000 ;
+      RECT 2.920000  1.795000 3.170000 2.635000 ;
+      RECT 3.095000  0.825000 3.265000 1.075000 ;
+      RECT 3.095000  1.075000 4.555000 1.245000 ;
+      RECT 3.815000  1.795000 4.065000 2.635000 ;
+      RECT 3.855000  0.085000 4.025000 0.555000 ;
+      RECT 4.655000  1.795000 4.905000 2.635000 ;
+      RECT 4.695000  0.085000 4.865000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_4
+MACRO sky130_fd_sc_hd__a31oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.665000 1.615000 ;
+        RECT 2.905000 0.995000 3.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.995000 1.755000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.820000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.820000 1.075000 4.490000 1.275000 ;
+        RECT 4.265000 1.275000 4.490000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.922000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 4.505000 0.825000 ;
+        RECT 3.255000 0.255000 3.425000 0.655000 ;
+        RECT 3.255000 0.825000 3.570000 1.445000 ;
+        RECT 3.255000 1.445000 4.085000 1.615000 ;
+        RECT 3.755000 1.615000 4.085000 2.115000 ;
+        RECT 4.175000 0.295000 4.505000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.655000 2.105000 0.825000 ;
+      RECT 0.175000  1.785000 3.505000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.295000 3.075000 0.465000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.310000  2.125000 2.980000 2.635000 ;
+      RECT 3.335000  1.955000 3.505000 2.295000 ;
+      RECT 3.335000  2.295000 4.425000 2.465000 ;
+      RECT 3.675000  0.085000 4.005000 0.465000 ;
+      RECT 4.255000  1.795000 4.425000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_2
+MACRO sky130_fd_sc_hd__a31oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.443500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 7.585000 0.805000 ;
+        RECT 6.075000 1.915000 7.245000 2.085000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.045000 0.805000 7.245000 1.915000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.175000  1.495000 5.405000 1.665000 ;
+      RECT 0.175000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.915000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.015000  1.665000 1.185000 2.465000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.355000  1.915000 1.685000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 1.855000  1.665000 2.025000 2.465000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.195000  1.915000 2.525000 2.635000 ;
+      RECT 2.695000  1.665000 2.865000 2.465000 ;
+      RECT 3.035000  1.915000 3.365000 2.635000 ;
+      RECT 3.535000  1.665000 3.705000 2.465000 ;
+      RECT 3.895000  1.915000 4.225000 2.635000 ;
+      RECT 4.395000  1.665000 4.565000 2.465000 ;
+      RECT 4.735000  2.255000 5.065000 2.635000 ;
+      RECT 5.235000  1.665000 5.405000 2.255000 ;
+      RECT 5.235000  2.255000 7.665000 2.425000 ;
+      RECT 5.235000  2.425000 5.405000 2.465000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.415000  1.495000 7.665000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_4
+MACRO sky130_fd_sc_hd__a31oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.445000 1.455000 1.665000 ;
+        RECT 1.270000 0.995000 1.455000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.335000 1.055000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.365000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.215000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.481250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 0.295000 1.785000 0.715000 ;
+        RECT 1.380000 0.715000 1.795000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+        RECT 1.625000 1.495000 2.210000 1.665000 ;
+        RECT 1.875000 1.665000 2.210000 2.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.430000 0.815000 ;
+      RECT 0.090000  1.495000 0.420000 2.635000 ;
+      RECT 0.590000  1.835000 1.695000 2.005000 ;
+      RECT 0.590000  2.005000 0.765000 2.415000 ;
+      RECT 0.935000  2.175000 1.265000 2.635000 ;
+      RECT 1.470000  2.005000 1.695000 2.415000 ;
+      RECT 1.955000  0.085000 2.215000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_1
+MACRO sky130_fd_sc_hd__dlygate4sd3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.775000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.595000 0.825000 ;
+        RECT 3.210000 1.495000 3.595000 2.465000 ;
+        RECT 3.315000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.200000  0.255000 0.485000 0.715000 ;
+      RECT 0.200000  0.715000 1.155000 0.885000 ;
+      RECT 0.200000  1.785000 1.155000 2.005000 ;
+      RECT 0.200000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.945000  0.885000 1.155000 1.785000 ;
+      RECT 1.325000  0.255000 1.725000 1.055000 ;
+      RECT 1.325000  1.055000 2.420000 1.615000 ;
+      RECT 1.325000  1.615000 1.725000 2.465000 ;
+      RECT 1.915000  0.255000 2.195000 0.715000 ;
+      RECT 1.915000  0.715000 3.040000 0.885000 ;
+      RECT 1.915000  1.785000 3.040000 2.005000 ;
+      RECT 1.915000  2.005000 2.195000 2.465000 ;
+      RECT 2.590000  0.885000 3.040000 0.995000 ;
+      RECT 2.590000  0.995000 3.145000 1.325000 ;
+      RECT 2.590000  1.325000 3.040000 1.785000 ;
+      RECT 2.715000  0.085000 3.040000 0.545000 ;
+      RECT 2.715000  2.175000 3.040000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd3_1
+MACRO sky130_fd_sc_hd__edfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.225000 0.255000 11.555000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.400000 1.065000 9.845000 1.410000 ;
+        RECT 9.400000 1.410000 9.730000 2.465000 ;
+        RECT 9.515000 0.255000 9.845000 1.065000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.890000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.890000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.890000 2.165000 ;
+      RECT  9.060000  1.495000  9.230000 2.635000 ;
+      RECT  9.095000  0.085000  9.345000 0.900000 ;
+      RECT  9.900000  1.575000 10.130000 2.010000 ;
+      RECT 10.015000  0.890000 10.640000 1.220000 ;
+      RECT 10.300000  0.255000 10.640000 0.890000 ;
+      RECT 10.300000  1.220000 10.640000 2.465000 ;
+      RECT 10.810000  0.085000 11.055000 0.900000 ;
+      RECT 10.810000  1.465000 11.055000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.680000  1.785000  8.850000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT  9.930000  1.785000 10.100000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.390000  0.765000 10.560000 0.935000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000  8.030000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000  8.450000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 10.620000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.740000 1.415000  5.030000 1.460000 ;
+      RECT  4.740000 1.600000  5.030000 1.645000 ;
+      RECT  5.150000 1.755000  5.440000 1.800000 ;
+      RECT  5.150000 1.940000  5.440000 1.985000 ;
+      RECT  7.740000 1.755000  8.030000 1.800000 ;
+      RECT  7.740000 1.940000  8.030000 1.985000 ;
+      RECT  8.160000 1.415000  8.450000 1.460000 ;
+      RECT  8.160000 1.600000  8.450000 1.645000 ;
+      RECT  8.620000 1.755000  8.910000 1.800000 ;
+      RECT  8.620000 1.800000 10.160000 1.940000 ;
+      RECT  8.620000 1.940000  8.910000 1.985000 ;
+      RECT  9.870000 1.755000 10.160000 1.800000 ;
+      RECT  9.870000 1.940000 10.160000 1.985000 ;
+      RECT 10.330000 0.735000 10.620000 0.780000 ;
+      RECT 10.330000 0.920000 10.620000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxbp_1
+MACRO sky130_fd_sc_hd__dfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.745000 1.005000 2.155000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.455000 11.875000 2.465000 ;
+        RECT 11.665000 0.825000 11.875000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.305000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.235000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.585000 0.735000 3.995000 0.965000 ;
+        RECT 3.585000 0.965000 3.915000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.280000 0.735000 7.825000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.575000 0.765000 7.745000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.805000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 7.515000 0.735000 7.805000 0.780000 ;
+        RECT 7.515000 0.920000 7.805000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.405000  0.635000  2.125000 0.825000 ;
+      RECT  1.405000  0.825000  1.575000 1.795000 ;
+      RECT  1.405000  1.795000  2.125000 1.965000 ;
+      RECT  1.430000  0.085000  1.785000 0.465000 ;
+      RECT  1.430000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.325000  0.705000  2.545000 1.575000 ;
+      RECT  2.325000  1.575000  2.825000 1.955000 ;
+      RECT  2.335000  2.250000  3.165000 2.420000 ;
+      RECT  2.400000  0.265000  3.415000 0.465000 ;
+      RECT  2.725000  0.645000  3.075000 1.015000 ;
+      RECT  2.995000  1.195000  3.415000 1.235000 ;
+      RECT  2.995000  1.235000  4.345000 1.405000 ;
+      RECT  2.995000  1.405000  3.165000 2.250000 ;
+      RECT  3.245000  0.465000  3.415000 1.195000 ;
+      RECT  3.335000  1.575000  3.585000 1.785000 ;
+      RECT  3.335000  1.785000  4.685000 2.035000 ;
+      RECT  3.405000  2.205000  3.785000 2.635000 ;
+      RECT  3.585000  0.085000  3.755000 0.525000 ;
+      RECT  3.925000  0.255000  5.075000 0.425000 ;
+      RECT  3.925000  0.425000  4.255000 0.505000 ;
+      RECT  4.085000  2.035000  4.255000 2.375000 ;
+      RECT  4.095000  1.405000  4.345000 1.485000 ;
+      RECT  4.125000  1.155000  4.345000 1.235000 ;
+      RECT  4.405000  0.595000  4.735000 0.765000 ;
+      RECT  4.515000  0.765000  4.735000 0.895000 ;
+      RECT  4.515000  0.895000  5.825000 1.065000 ;
+      RECT  4.515000  1.065000  4.685000 1.785000 ;
+      RECT  4.855000  1.235000  5.185000 1.415000 ;
+      RECT  4.855000  1.415000  5.860000 1.655000 ;
+      RECT  4.875000  1.915000  5.205000 2.635000 ;
+      RECT  4.905000  0.425000  5.075000 0.715000 ;
+      RECT  5.325000  0.085000  5.675000 0.465000 ;
+      RECT  5.495000  1.065000  5.825000 1.235000 ;
+      RECT  6.060000  1.575000  6.295000 1.985000 ;
+      RECT  6.065000  1.060000  6.405000 1.125000 ;
+      RECT  6.065000  1.125000  6.740000 1.305000 ;
+      RECT  6.185000  0.705000  6.405000 1.060000 ;
+      RECT  6.250000  2.250000  7.080000 2.420000 ;
+      RECT  6.300000  0.265000  7.080000 0.465000 ;
+      RECT  6.535000  1.305000  6.740000 1.905000 ;
+      RECT  6.910000  0.465000  7.080000 1.235000 ;
+      RECT  6.910000  1.235000  8.260000 1.405000 ;
+      RECT  6.910000  1.405000  7.080000 2.250000 ;
+      RECT  7.250000  0.085000  7.575000 0.525000 ;
+      RECT  7.250000  1.575000  7.500000 1.915000 ;
+      RECT  7.250000  1.915000 10.030000 2.085000 ;
+      RECT  7.320000  2.255000  7.700000 2.635000 ;
+      RECT  7.745000  0.255000  8.955000 0.425000 ;
+      RECT  7.745000  0.425000  8.075000 0.545000 ;
+      RECT  7.940000  2.085000  8.110000 2.375000 ;
+      RECT  8.040000  1.075000  8.260000 1.235000 ;
+      RECT  8.215000  0.665000  8.615000 0.835000 ;
+      RECT  8.430000  0.835000  8.615000 0.840000 ;
+      RECT  8.430000  0.840000  8.600000 1.915000 ;
+      RECT  8.640000  2.255000 10.030000 2.635000 ;
+      RECT  8.770000  1.110000  9.055000 1.575000 ;
+      RECT  8.770000  1.575000  9.555000 1.745000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.835000  0.755000  9.475000 0.925000 ;
+      RECT  8.835000  0.925000  9.055000 1.110000 ;
+      RECT  9.265000  0.265000  9.475000 0.755000 ;
+      RECT  9.725000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.125000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.660000  0.255000 10.975000 0.995000 ;
+      RECT 10.660000  0.995000 11.495000 1.325000 ;
+      RECT 10.660000  1.325000 10.975000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.155000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  1.445000  5.835000 1.615000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  1.105000  6.295000 1.275000 ;
+      RECT  6.125000  1.785000  6.295000 1.955000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.855000  1.445000  9.025000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.135000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 6.355000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 6.355000 1.260000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.460000 9.085000 1.600000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.065000 1.075000 6.355000 1.120000 ;
+      RECT 6.065000 1.260000 6.355000 1.305000 ;
+      RECT 6.065000 1.755000 6.355000 1.800000 ;
+      RECT 6.065000 1.940000 6.355000 1.985000 ;
+      RECT 8.795000 1.415000 9.085000 1.460000 ;
+      RECT 8.795000 1.600000 9.085000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_1
+MACRO sky130_fd_sc_hd__dfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 1.005000 2.170000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.115000 0.255000 12.345000 0.825000 ;
+        RECT 12.115000 1.445000 12.345000 2.465000 ;
+        RECT 12.160000 0.825000 12.345000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.240000 0.255000 10.500000 0.715000 ;
+        RECT 10.240000 1.630000 10.500000 2.465000 ;
+        RECT 10.320000 0.715000 10.500000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.250000 1.095000 9.730000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.600000 0.735000 4.010000 0.965000 ;
+        RECT 3.600000 0.965000 3.930000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.840000 0.765000 4.010000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.470000 0.735000 7.845000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.520000 0.765000 7.690000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.780000 0.735000 4.070000 0.780000 ;
+        RECT 3.780000 0.780000 7.750000 0.920000 ;
+        RECT 3.780000 0.920000 4.070000 0.965000 ;
+        RECT 7.460000 0.735000 7.750000 0.780000 ;
+        RECT 7.460000 0.920000 7.750000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.420000  0.635000  2.125000 0.825000 ;
+      RECT  1.420000  0.825000  1.590000 1.795000 ;
+      RECT  1.420000  1.795000  2.125000 1.965000 ;
+      RECT  1.445000  0.085000  1.785000 0.465000 ;
+      RECT  1.445000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.340000  0.705000  2.560000 1.575000 ;
+      RECT  2.340000  1.575000  2.840000 1.955000 ;
+      RECT  2.350000  2.250000  3.180000 2.420000 ;
+      RECT  2.415000  0.265000  3.410000 0.465000 ;
+      RECT  2.740000  0.645000  3.070000 1.015000 ;
+      RECT  3.010000  1.195000  3.410000 1.235000 ;
+      RECT  3.010000  1.235000  4.360000 1.405000 ;
+      RECT  3.010000  1.405000  3.180000 2.250000 ;
+      RECT  3.240000  0.465000  3.410000 1.195000 ;
+      RECT  3.350000  1.575000  3.600000 1.785000 ;
+      RECT  3.350000  1.785000  4.700000 2.035000 ;
+      RECT  3.420000  2.205000  3.800000 2.635000 ;
+      RECT  3.580000  0.085000  3.750000 0.525000 ;
+      RECT  3.920000  0.255000  5.170000 0.425000 ;
+      RECT  3.920000  0.425000  4.250000 0.545000 ;
+      RECT  4.100000  2.035000  4.270000 2.375000 ;
+      RECT  4.110000  1.405000  4.360000 1.485000 ;
+      RECT  4.140000  1.155000  4.360000 1.235000 ;
+      RECT  4.420000  0.595000  4.750000 0.765000 ;
+      RECT  4.530000  0.765000  4.750000 0.895000 ;
+      RECT  4.530000  0.895000  5.840000 1.065000 ;
+      RECT  4.530000  1.065000  4.700000 1.785000 ;
+      RECT  4.870000  1.235000  5.200000 1.415000 ;
+      RECT  4.870000  1.415000  5.875000 1.655000 ;
+      RECT  4.890000  1.915000  5.220000 2.635000 ;
+      RECT  4.920000  0.425000  5.170000 0.715000 ;
+      RECT  5.360000  0.085000  5.690000 0.465000 ;
+      RECT  5.510000  1.065000  5.840000 1.235000 ;
+      RECT  6.075000  1.575000  6.310000 1.985000 ;
+      RECT  6.135000  0.705000  6.420000 1.125000 ;
+      RECT  6.135000  1.125000  6.755000 1.305000 ;
+      RECT  6.265000  2.250000  7.095000 2.420000 ;
+      RECT  6.330000  0.265000  7.095000 0.465000 ;
+      RECT  6.550000  1.305000  6.755000 1.905000 ;
+      RECT  6.925000  0.465000  7.095000 1.235000 ;
+      RECT  6.925000  1.235000  8.275000 1.405000 ;
+      RECT  6.925000  1.405000  7.095000 2.250000 ;
+      RECT  7.265000  1.575000  7.515000 1.915000 ;
+      RECT  7.265000  1.915000 10.070000 2.085000 ;
+      RECT  7.275000  0.085000  7.535000 0.525000 ;
+      RECT  7.335000  2.255000  7.715000 2.635000 ;
+      RECT  7.795000  0.255000  8.965000 0.425000 ;
+      RECT  7.795000  0.425000  8.125000 0.545000 ;
+      RECT  7.955000  2.085000  8.125000 2.375000 ;
+      RECT  8.055000  1.075000  8.275000 1.235000 ;
+      RECT  8.295000  0.595000  8.625000 0.780000 ;
+      RECT  8.445000  0.780000  8.625000 1.915000 ;
+      RECT  8.655000  2.255000 10.070000 2.635000 ;
+      RECT  8.795000  0.425000  8.965000 0.585000 ;
+      RECT  8.795000  0.755000  9.500000 0.925000 ;
+      RECT  8.795000  0.925000  9.070000 1.575000 ;
+      RECT  8.795000  1.575000  9.570000 1.745000 ;
+      RECT  9.280000  0.265000  9.500000 0.755000 ;
+      RECT  9.740000  0.085000 10.070000 0.805000 ;
+      RECT  9.900000  0.995000 10.140000 1.325000 ;
+      RECT  9.900000  1.325000 10.070000 1.915000 ;
+      RECT 10.680000  0.085000 10.910000 0.885000 ;
+      RECT 10.680000  1.465000 10.910000 2.635000 ;
+      RECT 11.215000  0.255000 11.470000 0.995000 ;
+      RECT 11.215000  0.995000 11.990000 1.325000 ;
+      RECT 11.215000  1.325000 11.470000 2.415000 ;
+      RECT 11.650000  0.085000 11.945000 0.545000 ;
+      RECT 11.650000  1.765000 11.945000 2.635000 ;
+      RECT 12.515000  0.085000 12.795000 0.885000 ;
+      RECT 12.515000  1.465000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  1.785000  1.240000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.460000  1.785000  2.630000 1.955000 ;
+      RECT  2.900000  0.765000  3.070000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.680000  1.445000  5.850000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.140000  1.785000  6.310000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.445000  9.070000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.130000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 6.370000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.400000 1.755000 2.690000 1.800000 ;
+      RECT 2.400000 1.940000 2.690000 1.985000 ;
+      RECT 2.840000 0.735000 3.130000 0.780000 ;
+      RECT 2.840000 0.920000 3.130000 0.965000 ;
+      RECT 2.935000 0.965000 3.130000 1.120000 ;
+      RECT 2.935000 1.120000 6.370000 1.260000 ;
+      RECT 5.620000 1.415000 5.910000 1.460000 ;
+      RECT 5.620000 1.460000 9.130000 1.600000 ;
+      RECT 5.620000 1.600000 5.910000 1.645000 ;
+      RECT 6.080000 1.075000 6.370000 1.120000 ;
+      RECT 6.080000 1.260000 6.370000 1.305000 ;
+      RECT 6.080000 1.755000 6.370000 1.800000 ;
+      RECT 6.080000 1.940000 6.370000 1.985000 ;
+      RECT 8.840000 1.415000 9.130000 1.460000 ;
+      RECT 8.840000 1.600000 9.130000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_2
+MACRO sky130_fd_sc_hd__dlymetal6s2s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.255000 1.670000 0.825000 ;
+        RECT 1.245000 1.495000 2.150000 1.675000 ;
+        RECT 1.245000 1.675000 1.670000 2.465000 ;
+        RECT 1.320000 0.825000 1.670000 0.995000 ;
+        RECT 1.320000 0.995000 2.150000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.840000  1.845000 2.670000 2.040000 ;
+      RECT 1.840000  2.040000 2.115000 2.465000 ;
+      RECT 1.860000  0.255000 2.115000 0.655000 ;
+      RECT 1.860000  0.655000 2.670000 0.825000 ;
+      RECT 2.285000  0.085000 2.670000 0.485000 ;
+      RECT 2.285000  2.210000 2.670000 2.635000 ;
+      RECT 2.320000  0.825000 2.670000 0.995000 ;
+      RECT 2.320000  0.995000 2.745000 1.325000 ;
+      RECT 2.320000  1.325000 2.670000 1.845000 ;
+      RECT 2.840000  0.255000 3.085000 0.825000 ;
+      RECT 2.840000  1.495000 3.565000 1.675000 ;
+      RECT 2.840000  1.675000 3.085000 2.465000 ;
+      RECT 2.915000  0.825000 3.085000 0.995000 ;
+      RECT 2.915000  0.995000 3.565000 1.495000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s2s_1
+MACRO sky130_fd_sc_hd__nand3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 2.160000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.595000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 1.445000 ;
+        RECT 0.515000 1.445000 3.045000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.715000 1.665000 3.045000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.295000 2.105000 0.465000 ;
+      RECT 0.090000  0.465000 0.345000 0.785000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.045000 0.905000 ;
+      RECT 1.855000  1.835000 2.545000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.465000 ;
+      RECT 3.215000  0.085000 3.595000 0.885000 ;
+      RECT 3.215000  1.445000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_2
+MACRO sky130_fd_sc_hd__nand3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.755000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.240000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.745000 0.330000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.699000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 1.745000 0.595000 ;
+        RECT 0.515000 0.595000 0.695000 1.495000 ;
+        RECT 0.515000 1.495000 1.745000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.415000 0.595000 1.745000 0.825000 ;
+        RECT 1.415000 1.665000 1.745000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.575000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.245000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_1
+MACRO sky130_fd_sc_hd__nand3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 6.355000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 0.655000 6.355000 0.905000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.125000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.785000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.655000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.255000 6.000000 0.485000 ;
+      RECT 2.615000  0.655000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.455000  0.655000 3.785000 0.735000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  1.835000 6.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_4
+MACRO sky130_fd_sc_hd__sdlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.500000 0.255000 6.830000 0.445000 ;
+        RECT 6.580000 0.445000 6.830000 0.715000 ;
+        RECT 6.580000 0.715000 7.220000 0.885000 ;
+        RECT 6.580000 1.485000 7.220000 1.655000 ;
+        RECT 6.580000 1.655000 6.830000 2.465000 ;
+        RECT 7.050000 0.885000 7.220000 1.055000 ;
+        RECT 7.050000 1.055000 8.195000 1.315000 ;
+        RECT 7.050000 1.315000 7.220000 1.485000 ;
+        RECT 7.420000 0.255000 7.720000 1.055000 ;
+        RECT 7.420000 1.315000 7.720000 2.465000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.345000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.725000 0.995000 4.945000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.105000 4.940000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.685000 0.995000 6.065000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.710000 1.105000 5.880000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.710000 1.075000 5.000000 1.120000 ;
+        RECT 4.710000 1.120000 5.940000 1.260000 ;
+        RECT 4.710000 1.260000 5.000000 1.305000 ;
+        RECT 5.650000 1.075000 5.940000 1.120000 ;
+        RECT 5.650000 1.260000 5.940000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.515000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.595000  0.255000 3.925000 0.765000 ;
+      RECT 3.595000  0.765000 4.020000 0.935000 ;
+      RECT 3.595000  0.935000 3.765000 1.575000 ;
+      RECT 3.595000  1.575000 4.005000 1.745000 ;
+      RECT 3.595000  2.255000 5.515000 2.635000 ;
+      RECT 3.935000  1.105000 4.480000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.175000  1.275000 4.480000 1.495000 ;
+      RECT 4.175000  1.495000 4.975000 1.745000 ;
+      RECT 4.190000  0.615000 4.845000 0.785000 ;
+      RECT 4.190000  0.785000 4.480000 1.105000 ;
+      RECT 4.595000  0.255000 4.845000 0.615000 ;
+      RECT 5.015000  0.255000 5.435000 0.615000 ;
+      RECT 5.015000  0.615000 6.410000 0.785000 ;
+      RECT 5.165000  0.995000 5.515000 1.915000 ;
+      RECT 5.605000  0.085000 6.330000 0.445000 ;
+      RECT 5.685000  1.495000 6.410000 2.085000 ;
+      RECT 5.685000  2.085000 5.855000 2.465000 ;
+      RECT 6.055000  2.255000 6.385000 2.635000 ;
+      RECT 6.240000  0.785000 6.410000 1.055000 ;
+      RECT 6.240000  1.055000 6.880000 1.315000 ;
+      RECT 6.240000  1.315000 6.410000 1.495000 ;
+      RECT 7.000000  0.085000 7.250000 0.545000 ;
+      RECT 7.000000  1.825000 7.250000 2.635000 ;
+      RECT 7.890000  0.085000 8.195000 0.885000 ;
+      RECT 7.890000  1.485000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.445000 4.480000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.540000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.080000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+      RECT 4.250000 1.415000 4.540000 1.460000 ;
+      RECT 4.250000 1.600000 4.540000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_4
+MACRO sky130_fd_sc_hd__sdlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.955000 1.190000 1.325000 ;
+        RECT 0.880000 1.325000 1.190000 1.445000 ;
+        RECT 0.880000 1.445000 1.235000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.530000 0.255000 6.815000 0.825000 ;
+        RECT 6.530000 1.495000 6.815000 2.465000 ;
+        RECT 6.645000 0.825000 6.815000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.710000 0.955000 6.010000 1.265000 ;
+        RECT 4.710000 1.265000 4.930000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.190000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.510000  0.785000 0.680000 1.460000 ;
+      RECT 0.510000  1.460000 0.710000 1.755000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.540000  1.755000 0.710000 2.125000 ;
+      RECT 0.540000  2.125000 1.255000 2.465000 ;
+      RECT 1.015000  0.255000 1.190000 0.615000 ;
+      RECT 1.360000  0.255000 2.495000 0.535000 ;
+      RECT 1.360000  0.705000 1.700000 1.205000 ;
+      RECT 1.360000  1.205000 1.860000 1.325000 ;
+      RECT 1.405000  1.325000 1.860000 1.955000 ;
+      RECT 1.425000  2.125000 2.200000 2.465000 ;
+      RECT 1.870000  0.705000 2.155000 1.035000 ;
+      RECT 2.030000  1.205000 3.010000 1.375000 ;
+      RECT 2.030000  1.375000 2.200000 2.125000 ;
+      RECT 2.325000  0.535000 2.495000 0.995000 ;
+      RECT 2.325000  0.995000 3.010000 1.205000 ;
+      RECT 2.370000  1.575000 2.540000 1.635000 ;
+      RECT 2.370000  1.635000 3.400000 1.905000 ;
+      RECT 2.370000  2.075000 3.010000 2.635000 ;
+      RECT 2.665000  0.085000 3.010000 0.825000 ;
+      RECT 3.180000  0.255000 3.400000 1.635000 ;
+      RECT 3.180000  1.905000 3.400000 1.915000 ;
+      RECT 3.180000  1.915000 5.450000 2.085000 ;
+      RECT 3.180000  2.085000 3.400000 2.465000 ;
+      RECT 3.580000  0.255000 3.910000 0.765000 ;
+      RECT 3.580000  0.765000 4.005000 0.935000 ;
+      RECT 3.580000  0.935000 3.750000 1.575000 ;
+      RECT 3.580000  1.575000 3.990000 1.745000 ;
+      RECT 3.580000  2.255000 5.490000 2.635000 ;
+      RECT 3.920000  1.105000 4.465000 1.275000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.160000  1.275000 4.465000 1.495000 ;
+      RECT 4.160000  1.495000 4.960000 1.745000 ;
+      RECT 4.175000  0.615000 4.830000 0.785000 ;
+      RECT 4.175000  0.785000 4.465000 1.105000 ;
+      RECT 4.580000  0.255000 4.830000 0.615000 ;
+      RECT 5.010000  0.255000 5.270000 0.615000 ;
+      RECT 5.010000  0.615000 6.360000 0.785000 ;
+      RECT 5.140000  1.435000 5.610000 1.605000 ;
+      RECT 5.140000  1.605000 5.450000 1.915000 ;
+      RECT 5.505000  0.085000 6.360000 0.445000 ;
+      RECT 5.660000  1.775000 6.360000 2.085000 ;
+      RECT 5.660000  2.085000 5.830000 2.465000 ;
+      RECT 5.780000  1.435000 6.360000 1.775000 ;
+      RECT 6.030000  2.255000 6.360000 2.635000 ;
+      RECT 6.190000  0.785000 6.360000 0.995000 ;
+      RECT 6.190000  0.995000 6.460000 1.325000 ;
+      RECT 6.190000  1.325000 6.360000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.445000 1.695000 1.615000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.835000  0.765000 4.005000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.295000  1.445000 4.465000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.525000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 4.065000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 3.775000 0.735000 4.065000 0.780000 ;
+      RECT 3.775000 0.920000 4.065000 0.965000 ;
+      RECT 4.235000 1.415000 4.525000 1.460000 ;
+      RECT 4.235000 1.600000 4.525000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_1
+MACRO sky130_fd_sc_hd__sdlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.570000 0.255000 6.840000 0.825000 ;
+        RECT 6.570000 1.495000 6.840000 2.465000 ;
+        RECT 6.670000 0.825000 6.840000 1.055000 ;
+        RECT 6.670000 1.055000 7.275000 1.315000 ;
+        RECT 6.670000 1.315000 6.840000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.705000 0.955000 6.050000 1.265000 ;
+        RECT 4.705000 1.265000 4.925000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.490000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.575000  0.255000 3.925000 0.765000 ;
+      RECT 3.575000  0.765000 4.000000 0.935000 ;
+      RECT 3.575000  0.935000 3.745000 1.575000 ;
+      RECT 3.575000  1.575000 4.040000 1.745000 ;
+      RECT 3.575000  2.255000 5.530000 2.635000 ;
+      RECT 3.915000  1.105000 4.460000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.170000  0.615000 4.825000 0.785000 ;
+      RECT 4.170000  0.785000 4.460000 1.105000 ;
+      RECT 4.210000  1.275000 4.460000 1.495000 ;
+      RECT 4.210000  1.495000 5.010000 1.745000 ;
+      RECT 4.595000  0.255000 4.825000 0.615000 ;
+      RECT 5.100000  0.255000 5.310000 0.615000 ;
+      RECT 5.100000  0.615000 6.400000 0.785000 ;
+      RECT 5.180000  1.435000 5.650000 1.605000 ;
+      RECT 5.180000  1.605000 5.490000 1.915000 ;
+      RECT 5.490000  0.085000 6.400000 0.445000 ;
+      RECT 5.700000  1.775000 6.400000 2.085000 ;
+      RECT 5.700000  2.085000 5.870000 2.465000 ;
+      RECT 5.820000  1.435000 6.400000 1.775000 ;
+      RECT 6.070000  2.255000 6.400000 2.635000 ;
+      RECT 6.230000  0.785000 6.400000 0.995000 ;
+      RECT 6.230000  0.995000 6.500000 1.325000 ;
+      RECT 6.230000  1.325000 6.400000 1.435000 ;
+      RECT 7.010000  0.085000 7.275000 0.885000 ;
+      RECT 7.010000  1.485000 7.275000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.830000  0.765000 4.000000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.445000 4.460000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.520000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.060000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.770000 0.735000 4.060000 0.780000 ;
+      RECT 3.770000 0.920000 4.060000 0.965000 ;
+      RECT 4.230000 1.415000 4.520000 1.460000 ;
+      RECT 4.230000 1.600000 4.520000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_2
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.025000 1.835000 2.165000 1.965000 ;
+        RECT 1.025000 1.965000 1.390000 1.970000 ;
+        RECT 1.025000 1.970000 1.385000 1.975000 ;
+        RECT 1.025000 1.975000 1.370000 1.980000 ;
+        RECT 1.025000 1.980000 1.330000 2.000000 ;
+        RECT 1.025000 2.000000 1.325000 2.005000 ;
+        RECT 1.025000 2.005000 1.265000 2.465000 ;
+        RECT 1.185000 1.825000 2.165000 1.835000 ;
+        RECT 1.195000 1.820000 2.165000 1.825000 ;
+        RECT 1.205000 1.815000 2.165000 1.820000 ;
+        RECT 1.215000 1.805000 2.165000 1.815000 ;
+        RECT 1.245000 1.785000 2.165000 1.805000 ;
+        RECT 1.270000 1.750000 2.165000 1.785000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.750000 ;
+        RECT 1.935000 1.965000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.835000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 2.140000 1.765000 2.465000 ;
+        RECT 2.335000 1.765000 2.620000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+        RECT 2.375000 2.125000 2.545000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+        RECT 2.315000 2.080000 2.605000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.315000 1.495000 9.025000 1.720000 ;
+        RECT 2.315000 1.720000 7.685000 1.735000 ;
+        RECT 2.315000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.495000 1.285000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 1.495000 2.145000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.690000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.290000 1.905000 5.535000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.335000 2.125000 5.505000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.905000 6.395000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.195000 2.125000 6.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.010000 1.905000 7.255000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.050000 2.125000 7.220000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.870000 1.905000 8.125000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.900000 2.125000 8.070000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.755000 1.890000 9.025000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.780000 2.125000 8.950000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 9.130000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+        RECT 5.275000 2.080000 5.565000 2.140000 ;
+        RECT 6.135000 2.080000 6.425000 2.140000 ;
+        RECT 6.990000 2.080000 7.280000 2.140000 ;
+        RECT 7.840000 2.080000 8.130000 2.140000 ;
+        RECT 8.720000 2.080000 9.010000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.595000  0.265000 0.820000 1.075000 ;
+      RECT 0.595000  1.075000 7.690000 1.325000 ;
+      RECT 0.595000  1.325000 0.785000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.455000  1.325000 1.645000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.240000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.750000 1.725000 1.970000 ;
+        RECT 1.060000 1.970000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.750000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.855000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 2.140000 1.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.410000 ;
+      RECT 0.085000  1.410000 1.215000 1.580000 ;
+      RECT 0.085000  1.580000 0.355000 2.435000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.410000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.875000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.525000 0.390000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.525000 1.250000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.905000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.725000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 4.990000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+MACRO sky130_fd_sc_hd__o221ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.430000 1.075000 3.760000 1.445000 ;
+        RECT 3.430000 1.445000 4.815000 1.615000 ;
+        RECT 4.645000 1.075000 5.435000 1.275000 ;
+        RECT 4.645000 1.275000 4.815000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.980000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 1.075000 2.035000 1.445000 ;
+        RECT 1.020000 1.445000 3.260000 1.615000 ;
+        RECT 2.930000 1.075000 3.260000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 2.760000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.520000 0.645000 0.850000 0.865000 ;
+        RECT 0.560000 1.445000 0.850000 1.785000 ;
+        RECT 0.560000 1.785000 4.350000 1.955000 ;
+        RECT 0.560000 1.955000 0.810000 2.465000 ;
+        RECT 0.605000 0.865000 0.850000 1.445000 ;
+        RECT 2.340000 1.955000 2.590000 2.125000 ;
+        RECT 4.100000 1.955000 4.350000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  0.255000 1.270000 0.475000 ;
+      RECT 0.100000  0.475000 0.350000 0.895000 ;
+      RECT 0.140000  1.455000 0.390000 2.635000 ;
+      RECT 0.980000  2.125000 1.750000 2.635000 ;
+      RECT 1.020000  0.475000 1.270000 0.645000 ;
+      RECT 1.020000  0.645000 3.050000 0.905000 ;
+      RECT 1.460000  0.255000 3.550000 0.475000 ;
+      RECT 1.920000  2.125000 2.170000 2.295000 ;
+      RECT 1.920000  2.295000 3.010000 2.465000 ;
+      RECT 2.760000  2.125000 3.010000 2.295000 ;
+      RECT 3.180000  2.125000 3.510000 2.635000 ;
+      RECT 3.220000  0.475000 3.550000 0.735000 ;
+      RECT 3.220000  0.735000 5.230000 0.905000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 3.680000  2.295000 4.770000 2.465000 ;
+      RECT 3.720000  0.085000 3.890000 0.555000 ;
+      RECT 4.060000  0.255000 4.390000 0.725000 ;
+      RECT 4.060000  0.725000 5.230000 0.735000 ;
+      RECT 4.520000  1.785000 4.770000 2.295000 ;
+      RECT 4.560000  0.085000 4.730000 0.555000 ;
+      RECT 4.900000  0.255000 5.230000 0.725000 ;
+      RECT 4.985000  1.455000 5.190000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_2
+MACRO sky130_fd_sc_hd__o221ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.505000 1.245000 ;
+        RECT 2.295000 1.245000 2.505000 1.445000 ;
+        RECT 2.295000 1.445000 2.675000 1.615000 ;
+        RECT 2.465000 1.615000 2.675000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.355000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.985000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.465000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.899000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.345000 0.645000 ;
+        RECT 0.085000 0.645000 0.840000 0.825000 ;
+        RECT 0.085000 1.495000 2.125000 1.705000 ;
+        RECT 0.085000 1.705000 0.365000 2.465000 ;
+        RECT 0.635000 0.825000 0.840000 1.495000 ;
+        RECT 1.735000 1.705000 2.125000 1.785000 ;
+        RECT 1.735000 1.785000 2.245000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.305000 1.775000 0.475000 ;
+      RECT 0.550000  1.875000 1.340000 2.635000 ;
+      RECT 1.010000  0.645000 2.220000 0.695000 ;
+      RECT 1.010000  0.695000 3.135000 0.825000 ;
+      RECT 1.945000  0.280000 2.220000 0.645000 ;
+      RECT 2.105000  0.825000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.875000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_1
+MACRO sky130_fd_sc_hd__o221ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.420000 1.615000 ;
+        RECT 8.155000 1.075000 9.575000 1.275000 ;
+        RECT 8.155000 1.275000 8.420000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.360000 1.075000 4.505000 1.275000 ;
+        RECT 4.335000 1.275000 4.505000 1.495000 ;
+        RECT 4.335000 1.495000 5.795000 1.665000 ;
+        RECT 5.465000 1.075000 5.795000 1.495000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 0.995000 5.285000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.750000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 2.125000 0.865000 ;
+        RECT 0.575000 1.445000 4.165000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.465000 ;
+        RECT 1.415000 1.615000 2.125000 1.955000 ;
+        RECT 1.415000 1.955000 1.665000 2.465000 ;
+        RECT 1.920000 0.865000 2.125000 1.445000 ;
+        RECT 3.995000 1.615000 4.165000 1.835000 ;
+        RECT 3.995000 1.835000 7.725000 1.955000 ;
+        RECT 3.995000 1.955000 6.885000 2.005000 ;
+        RECT 3.995000 2.005000 4.285000 2.125000 ;
+        RECT 4.875000 2.005000 5.085000 2.125000 ;
+        RECT 5.965000 1.785000 7.725000 1.835000 ;
+        RECT 6.675000 2.005000 6.885000 2.125000 ;
+        RECT 7.475000 1.955000 7.725000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.115000  0.255000 5.585000 0.475000 ;
+      RECT 0.115000  0.475000 0.365000 0.895000 ;
+      RECT 0.155000  1.485000 0.405000 2.635000 ;
+      RECT 0.995000  1.825000 1.245000 2.635000 ;
+      RECT 1.835000  2.125000 2.605000 2.635000 ;
+      RECT 2.315000  0.645000 6.085000 0.735000 ;
+      RECT 2.315000  0.735000 9.445000 0.820000 ;
+      RECT 2.775000  1.785000 3.825000 1.955000 ;
+      RECT 2.775000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  2.125000 3.445000 2.635000 ;
+      RECT 3.615000  1.955000 3.825000 2.295000 ;
+      RECT 3.615000  2.295000 5.585000 2.465000 ;
+      RECT 4.455000  2.175000 4.705000 2.295000 ;
+      RECT 5.255000  2.175000 5.585000 2.295000 ;
+      RECT 5.465000  0.820000 9.445000 0.905000 ;
+      RECT 5.755000  0.255000 6.085000 0.645000 ;
+      RECT 5.755000  2.175000 6.005000 2.635000 ;
+      RECT 6.175000  2.175000 6.505000 2.295000 ;
+      RECT 6.175000  2.295000 8.145000 2.465000 ;
+      RECT 6.255000  0.085000 6.425000 0.555000 ;
+      RECT 6.595000  0.255000 6.925000 0.725000 ;
+      RECT 6.595000  0.725000 7.765000 0.735000 ;
+      RECT 7.055000  2.125000 7.305000 2.295000 ;
+      RECT 7.095000  0.085000 7.265000 0.555000 ;
+      RECT 7.435000  0.255000 7.765000 0.725000 ;
+      RECT 7.895000  1.785000 8.985000 1.955000 ;
+      RECT 7.895000  1.955000 8.145000 2.295000 ;
+      RECT 7.935000  0.085000 8.105000 0.555000 ;
+      RECT 8.275000  0.255000 8.605000 0.725000 ;
+      RECT 8.275000  0.725000 9.445000 0.735000 ;
+      RECT 8.315000  2.125000 8.565000 2.635000 ;
+      RECT 8.735000  1.445000 8.985000 1.785000 ;
+      RECT 8.735000  1.955000 8.985000 2.465000 ;
+      RECT 8.775000  0.085000 8.945000 0.555000 ;
+      RECT 9.115000  0.255000 9.445000 0.725000 ;
+      RECT 9.155000  1.445000 9.405000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_4
+MACRO sky130_fd_sc_hd__dlrbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.380000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.560000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.470000 0.995000 5.455000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.300000 1.165000 ;
+      RECT 3.480000  1.165000 4.300000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.090000 2.635000 ;
+      RECT 3.840000  1.535000 5.875000 1.765000 ;
+      RECT 3.840000  1.765000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.875000 0.825000 ;
+      RECT 4.260000  2.135000 4.590000 2.635000 ;
+      RECT 4.760000  1.865000 4.970000 2.435000 ;
+      RECT 5.135000  0.085000 5.875000 0.485000 ;
+      RECT 5.150000  1.935000 5.890000 2.635000 ;
+      RECT 5.625000  0.825000 5.875000 1.535000 ;
+      RECT 6.580000  0.255000 6.750000 0.985000 ;
+      RECT 6.580000  0.985000 6.830000 0.995000 ;
+      RECT 6.580000  0.995000 7.390000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_1
+MACRO sky130_fd_sc_hd__dlrbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.536250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.415000 5.910000 0.655000 ;
+        RECT 5.650000 0.655000 5.950000 0.685000 ;
+        RECT 5.650000 0.685000 5.975000 0.825000 ;
+        RECT 5.650000 1.495000 5.975000 1.660000 ;
+        RECT 5.650000 1.660000 5.915000 2.465000 ;
+        RECT 5.740000 0.825000 5.975000 0.860000 ;
+        RECT 5.790000 0.860000 5.975000 0.885000 ;
+        RECT 5.790000 0.885000 6.355000 1.325000 ;
+        RECT 5.790000 1.325000 5.975000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.500000 0.255000 7.755000 0.825000 ;
+        RECT 7.500000 1.445000 7.755000 2.465000 ;
+        RECT 7.545000 0.825000 7.755000 1.055000 ;
+        RECT 7.545000 1.055000 8.195000 1.325000 ;
+        RECT 7.545000 1.325000 7.755000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.390000 0.995000 5.140000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.780000 1.070000 ;
+      RECT 0.605000  1.070000 0.840000 1.400000 ;
+      RECT 0.605000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.825000 ;
+      RECT 3.820000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.495000 5.480000 1.665000 ;
+      RECT 3.840000  1.665000 4.930000 1.865000 ;
+      RECT 4.340000  0.415000 4.560000 0.655000 ;
+      RECT 4.340000  0.655000 5.480000 0.825000 ;
+      RECT 4.760000  1.865000 4.930000 2.435000 ;
+      RECT 5.100000  0.085000 5.480000 0.485000 ;
+      RECT 5.100000  1.855000 5.350000 2.635000 ;
+      RECT 5.310000  0.825000 5.480000 0.995000 ;
+      RECT 5.310000  0.995000 5.620000 1.325000 ;
+      RECT 5.310000  1.325000 5.480000 1.495000 ;
+      RECT 6.085000  0.085000 6.355000 0.545000 ;
+      RECT 6.085000  1.830000 6.355000 2.635000 ;
+      RECT 6.525000  0.255000 6.855000 0.995000 ;
+      RECT 6.525000  0.995000 7.375000 1.325000 ;
+      RECT 6.525000  1.325000 6.855000 2.465000 ;
+      RECT 7.025000  0.085000 7.330000 0.545000 ;
+      RECT 7.035000  1.835000 7.330000 2.635000 ;
+      RECT 7.925000  0.085000 8.195000 0.885000 ;
+      RECT 7.925000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_2
+MACRO sky130_fd_sc_hd__o31ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.240000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 1.055000 2.220000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.055000 3.205000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.755000 4.515000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.063500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.495000 4.515000 1.665000 ;
+        RECT 2.335000 1.665000 2.665000 2.125000 ;
+        RECT 3.175000 1.665000 3.505000 2.465000 ;
+        RECT 3.675000 0.595000 4.005000 1.495000 ;
+        RECT 4.175000 1.665000 4.515000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 3.505000 0.885000 ;
+      RECT 0.090000  1.495000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  1.835000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.665000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.965000 0.545000 ;
+      RECT 1.455000  1.835000 1.625000 2.295000 ;
+      RECT 1.455000  2.295000 3.005000 2.465000 ;
+      RECT 1.795000  1.665000 2.125000 2.125000 ;
+      RECT 2.175000  0.255000 2.505000 0.715000 ;
+      RECT 2.675000  0.085000 3.005000 0.545000 ;
+      RECT 2.835000  1.835000 3.005000 2.295000 ;
+      RECT 3.175000  0.255000 4.515000 0.425000 ;
+      RECT 3.175000  0.425000 3.505000 0.715000 ;
+      RECT 3.675000  1.835000 4.005000 2.635000 ;
+      RECT 4.175000  0.425000 4.515000 0.585000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_2
+MACRO sky130_fd_sc_hd__o31ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.780000 1.425000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.055000 3.605000 1.425000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.055000 5.940000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.055000 7.735000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.683800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.445000 7.735000 1.695000 ;
+        RECT 5.770000 1.695000 5.940000 2.465000 ;
+        RECT 6.110000 0.645000 7.280000 0.885000 ;
+        RECT 6.110000 0.885000 6.295000 1.445000 ;
+        RECT 6.610000 1.695000 6.780000 2.465000 ;
+        RECT 7.450000 1.695000 7.735000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 5.940000 0.885000 ;
+      RECT 0.090000  1.595000 2.125000 1.895000 ;
+      RECT 0.090000  1.895000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  2.065000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.895000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.625000 0.545000 ;
+      RECT 1.455000  2.065000 1.625000 2.635000 ;
+      RECT 1.795000  0.255000 2.125000 0.715000 ;
+      RECT 1.795000  1.895000 2.125000 2.205000 ;
+      RECT 1.795000  2.205000 3.885000 2.465000 ;
+      RECT 2.295000  0.085000 2.465000 0.545000 ;
+      RECT 2.295000  1.595000 3.605000 1.765000 ;
+      RECT 2.295000  1.765000 2.465000 2.035000 ;
+      RECT 2.635000  0.255000 2.965000 0.715000 ;
+      RECT 2.635000  1.935000 2.965000 2.205000 ;
+      RECT 3.135000  0.085000 3.305000 0.545000 ;
+      RECT 3.135000  1.765000 3.605000 1.865000 ;
+      RECT 3.135000  1.865000 5.600000 2.035000 ;
+      RECT 3.475000  0.255000 3.805000 0.715000 ;
+      RECT 3.995000  0.085000 4.640000 0.545000 ;
+      RECT 4.080000  2.035000 5.600000 2.465000 ;
+      RECT 4.810000  0.395000 4.980000 0.715000 ;
+      RECT 5.150000  0.085000 5.600000 0.545000 ;
+      RECT 5.770000  0.255000 7.735000 0.475000 ;
+      RECT 5.770000  0.475000 5.940000 0.715000 ;
+      RECT 6.110000  1.890000 6.440000 2.635000 ;
+      RECT 6.950000  1.890000 7.280000 2.635000 ;
+      RECT 7.450000  0.475000 7.735000 0.885000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_4
+MACRO sky130_fd_sc_hd__o31ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+        RECT 1.460000 1.325000 1.700000 2.405000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.330000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.006000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.260000 2.675000 0.825000 ;
+        RECT 1.945000 0.825000 2.160000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.440000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.515000  0.255000 0.845000 0.735000 ;
+      RECT 0.515000  0.735000 1.700000 0.905000 ;
+      RECT 1.015000  0.085000 1.185000 0.565000 ;
+      RECT 1.370000  0.255000 1.700000 0.735000 ;
+      RECT 2.330000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_1
+END LIBRARY
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
new file mode 100644
index 0000000..ebe22e5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
@@ -0,0 +1,68055 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+UNITS
+  TIME NANOSECONDS 1 ;
+  CAPACITANCE PICOFARADS 1 ;
+  RESISTANCE OHMS 1 ;
+  DATABASE MICRONS 1000 ;
+END UNITS
+
+MANUFACTURINGGRID 0.005 ;
+
+PROPERTYDEFINITIONS
+  LAYER LEF58_TYPE STRING ;
+END PROPERTYDEFINITIONS
+
+# High density, single height
+SITE unithd
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 2.72 ;
+END unithd
+
+# High density, double height
+SITE unithddbl
+  SYMMETRY Y ;
+  CLASS CORE ;
+  SIZE 0.46 BY 5.44 ;
+END unithddbl
+
+LAYER nwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
+END nwell
+
+LAYER pwell
+  TYPE MASTERSLICE ;
+  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
+END pwell
+
+LAYER li1
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 0.34 ;
+  OFFSET 0.23 0.17 ;
+
+  WIDTH 0.17 ;          # LI 1
+  # SPACING  0.17 ;     # LI 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.17 ;
+  AREA 0.0561 ;         # LI 6
+  THICKNESS 0.1 ;
+  EDGECAPACITANCE 40.697E-6 ;
+  CAPACITANCE CPERSQDIST 36.9866E-6 ;
+  RESISTANCE RPERSQ 12.2 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
+END li1
+
+LAYER mcon
+  TYPE CUT ;
+
+  WIDTH 0.17 ;                # Mcon 1
+  SPACING 0.19 ;              # Mcon 2
+  ENCLOSURE BELOW 0 0 ;       # Mcon 4
+  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
+
+END mcon
+
+LAYER met1
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.34 ;
+  OFFSET 0.17 ;
+
+  WIDTH 0.14 ;                     # Met1 1
+  # SPACING 0.14 ;                 # Met1 2
+  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.083 ;                     # Met1 6
+  THICKNESS 0.35 ;
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  EDGECAPACITANCE 40.567E-6 ;
+  CAPACITANCE CPERSQDIST 25.7784E-6 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+
+  RESISTANCE RPERSQ 0.125 ;
+END met1
+
+LAYER via
+  TYPE CUT ;
+  WIDTH 0.15 ;                  # Via 1a
+  SPACING 0.17 ;                # Via 2
+  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
+  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
+
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
+END via
+
+LAYER met2
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.46 ;
+  OFFSET 0.23 ;
+
+  WIDTH 0.14 ;                        # Met2 1
+  # SPACING  0.14 ;                   # Met2 2
+  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.14
+     WIDTH 3 0.28 ;
+  AREA 0.0676 ;                       # Met2 6
+  THICKNESS 0.35 ;
+
+  EDGECAPACITANCE 37.759E-6 ;
+  CAPACITANCE CPERSQDIST 16.9423E-6 ;
+  RESISTANCE RPERSQ 0.125 ;
+  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met2
+
+# ******** Layer via2, type routing, number 44 **************
+LAYER via2
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via2 1
+  SPACING 0.2 ;                 # Via2 2
+  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via2
+
+LAYER met3
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 0.68 ;
+  OFFSET 0.34 ;
+
+  WIDTH 0.3 ;              # Met3 1
+  # SPACING 0.3 ;          # Met3 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;              # Met3 6
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 40.989E-6 ;
+  CAPACITANCE CPERSQDIST 12.3729E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met3
+
+LAYER via3
+  TYPE CUT ;
+  WIDTH 0.2 ;                   # Via3 1
+  SPACING 0.2 ;                 # Via3 2
+  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
+  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
+END via3
+
+LAYER met4
+  TYPE ROUTING ;
+  DIRECTION VERTICAL ;
+
+  PITCH 0.92 ;
+  OFFSET 0.46 ;
+
+  WIDTH 0.3 ;             # Met4 1
+  # SPACING  0.3 ;             # Met4 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 0.3
+     WIDTH 3 0.4 ;
+  AREA 0.24 ;            # Met4 4a
+
+  THICKNESS 0.8 ;
+
+  EDGECAPACITANCE 36.676E-6 ;
+  CAPACITANCE CPERSQDIST 8.41537E-6 ;
+  RESISTANCE RPERSQ 0.047 ;
+  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+
+  MAXIMUMDENSITY 70 ;
+  DENSITYCHECKWINDOW 700 700 ;
+  DENSITYCHECKSTEP 70 ;
+END met4
+
+LAYER via4
+  TYPE CUT ;
+
+  WIDTH 0.8 ;                 # Via4 1
+  SPACING 0.8 ;               # Via4 2
+  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
+  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
+  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
+  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
+END via4
+
+LAYER met5
+  TYPE ROUTING ;
+  DIRECTION HORIZONTAL ;
+
+  PITCH 3.4 ;
+  OFFSET 1.7 ;
+
+  WIDTH 1.6 ;            # Met5 1
+  #SPACING  1.6 ;        # Met5 2
+  SPACINGTABLE
+     PARALLELRUNLENGTH 0
+     WIDTH 0 1.6 ;
+  AREA 4 ;               # Met5 4
+
+  THICKNESS 1.2 ;
+
+  EDGECAPACITANCE 38.851E-6 ;
+  CAPACITANCE CPERSQDIST 6.32063E-6 ;
+  RESISTANCE RPERSQ 0.0285 ;
+  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
+  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
+
+  ANTENNAMODEL OXIDE1 ;
+  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
+END met5
+
+
+### Routing via cells section   ###
+# Plus via rule, metals are along the prefered direction
+VIA L1M1_PR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR
+
+VIARULE L1M1_PR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA L1M1_PR_R DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_R
+
+VIARULE L1M1_PR_R GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA L1M1_PR_M DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.115 -0.145 0.115 0.145 ;
+END L1M1_PR_M
+
+VIARULE L1M1_PR_M GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.03 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA L1M1_PR_MR DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.115 0.145 0.115 ;
+END L1M1_PR_MR
+
+VIARULE L1M1_PR_MR GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.03 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA L1M1_PR_C DEFAULT
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER li1 ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  LAYER met1 ;
+  RECT -0.145 -0.145 0.145 0.145 ;
+END L1M1_PR_C
+
+VIARULE L1M1_PR_C GENERATE
+  LAYER li1 ;
+  ENCLOSURE 0 0 ;
+  LAYER met1 ;
+  ENCLOSURE 0.06 0.06 ;
+  LAYER mcon ;
+  RECT -0.085 -0.085 0.085 0.085 ;
+  SPACING 0.36 BY 0.36 ;
+END L1M1_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M1M2_PR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR
+
+VIARULE M1M2_PR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M1M2_PR_R DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_R
+
+VIARULE M1M2_PR_R GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M1M2_PR_M DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+  LAYER met2 ;
+  RECT -0.16 -0.13 0.16 0.13 ;
+END M1M2_PR_M
+
+VIARULE M1M2_PR_M GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.055 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M1M2_PR_MR DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+  LAYER met2 ;
+  RECT -0.13 -0.16 0.13 0.16 ;
+END M1M2_PR_MR
+
+VIARULE M1M2_PR_MR GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.055 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M1M2_PR_C DEFAULT
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  LAYER met1 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+  LAYER met2 ;
+  RECT -0.16 -0.16 0.16 0.16 ;
+END M1M2_PR_C
+
+VIARULE M1M2_PR_C GENERATE
+  LAYER met1 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER via ;
+  RECT -0.075 -0.075 0.075 0.075 ;
+  SPACING 0.32 BY 0.32 ;
+END M1M2_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M2M3_PR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR
+
+VIARULE M2M3_PR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M2M3_PR_R DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_R
+
+VIARULE M2M3_PR_R GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M2M3_PR_M DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.14 -0.185 0.14 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_M
+
+VIARULE M2M3_PR_M GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.04 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M2M3_PR_MR DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.14 0.185 0.14 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_MR
+
+VIARULE M2M3_PR_MR GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.04 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M2M3_PR_C DEFAULT
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met2 ;
+  RECT -0.185 -0.185 0.185 0.185 ;
+  LAYER met3 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M2M3_PR_C
+
+VIARULE M2M3_PR_C GENERATE
+  LAYER met2 ;
+  ENCLOSURE 0.085 0.085 ;
+  LAYER met3 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via2 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M2M3_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M3M4_PR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR
+
+VIARULE M3M4_PR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M3M4_PR_R DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_R
+
+VIARULE M3M4_PR_R GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M3M4_PR_M DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.16 0.19 0.16 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_M
+
+VIARULE M3M4_PR_M GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.06 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M3M4_PR_MR DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.16 -0.19 0.16 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_MR
+
+VIARULE M3M4_PR_MR GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.06 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M3M4_PR_C DEFAULT
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  LAYER met3 ;
+  RECT -0.19 -0.19 0.19 0.19 ;
+  LAYER met4 ;
+  RECT -0.165 -0.165 0.165 0.165 ;
+END M3M4_PR_C
+
+VIARULE M3M4_PR_C GENERATE
+  LAYER met3 ;
+  ENCLOSURE 0.09 0.09 ;
+  LAYER met4 ;
+  ENCLOSURE 0.065 0.065 ;
+  LAYER via3 ;
+  RECT -0.1 -0.1 0.1 0.1 ;
+  SPACING 0.4 BY 0.4 ;
+END M3M4_PR_C
+
+# Plus via rule, metals are along the prefered direction
+VIA M4M5_PR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR
+
+VIARULE M4M5_PR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR
+
+# Plus via rule, metals are along the non prefered direction
+VIA M4M5_PR_R DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_R
+
+VIARULE M4M5_PR_R GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_R
+
+# Minus via rule, lower layer metal is along prefered direction
+VIA M4M5_PR_M DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_M
+
+VIARULE M4M5_PR_M GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_M
+
+# Minus via rule, upper layer metal is along prefered direction
+VIA M4M5_PR_MR DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_MR
+
+VIARULE M4M5_PR_MR GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_MR
+
+# Centered via rule, we really do not want to use it
+VIA M4M5_PR_C DEFAULT
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  LAYER met4 ;
+  RECT -0.59 -0.59 0.59 0.59 ;
+  LAYER met5 ;
+  RECT -0.71 -0.71 0.71 0.71 ;
+END M4M5_PR_C
+
+VIARULE M4M5_PR_C GENERATE
+  LAYER met4 ;
+  ENCLOSURE 0.19 0.19 ;
+  LAYER met5 ;
+  ENCLOSURE 0.31 0.31 ;
+  LAYER via4 ;
+  RECT -0.4 -0.4 0.4 0.4 ;
+  SPACING 1.6 BY 1.6 ;
+END M4M5_PR_C
+###  end of single via cells   ###
+
+
+MACRO sky130_fd_sc_hd__dlxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 0.415000 5.435000 0.745000 ;
+        RECT 5.150000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.770000  2.255000 3.605000 2.425000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.175000 1.165000 ;
+      RECT 3.435000  1.165000 4.175000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.685000  0.085000 4.015000 0.530000 ;
+      RECT 3.775000  2.135000 3.945000 2.635000 ;
+      RECT 3.840000  1.535000 4.515000 1.865000 ;
+      RECT 4.295000  0.415000 4.515000 0.745000 ;
+      RECT 4.295000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.515000 0.995000 ;
+      RECT 4.345000  0.995000 5.095000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.695000  0.085000 4.900000 0.715000 ;
+      RECT 4.695000  1.570000 4.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxtp_1
+MACRO sky130_fd_sc_hd__a41o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.995000 1.915000 1.325000 ;
+        RECT 1.535000 1.325000 1.835000 1.620000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.700000 0.415000 2.650000 0.600000 ;
+        RECT 2.225000 0.600000 2.445000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.995000 3.085000 1.625000 ;
+        RECT 2.880000 0.395000 3.085000 0.995000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 0.995000 3.570000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.635000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.300000 0.425000 0.560000 ;
+        RECT 0.085000 0.560000 0.345000 2.165000 ;
+        RECT 0.085000 2.165000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  0.735000 1.530000 0.810000 ;
+      RECT 0.515000  0.810000 1.335000 0.905000 ;
+      RECT 0.515000  0.905000 0.685000 1.825000 ;
+      RECT 0.515000  1.825000 1.365000 1.995000 ;
+      RECT 0.595000  0.085000 0.925000 0.565000 ;
+      RECT 0.595000  2.175000 0.845000 2.635000 ;
+      RECT 1.035000  1.995000 1.365000 2.425000 ;
+      RECT 1.115000  0.300000 1.530000 0.735000 ;
+      RECT 1.535000  1.795000 3.505000 1.965000 ;
+      RECT 1.535000  1.965000 1.705000 2.465000 ;
+      RECT 1.915000  2.175000 2.165000 2.635000 ;
+      RECT 2.375000  1.965000 2.545000 2.465000 ;
+      RECT 2.845000  2.175000 3.095000 2.635000 ;
+      RECT 3.255000  0.085000 3.595000 0.810000 ;
+      RECT 3.335000  1.965000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_1
+MACRO sky130_fd_sc_hd__a41o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.785000 0.730000 4.005000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.085000 1.075000 3.550000 1.245000 ;
+        RECT 3.335000 0.745000 3.550000 1.075000 ;
+        RECT 3.335000 1.245000 3.550000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 2.855000 1.435000 ;
+        RECT 2.685000 1.435000 3.090000 1.625000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 2.335000 1.625000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.730000 1.295000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.295000 0.765000 0.755000 ;
+        RECT 0.595000 0.755000 0.785000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.980000  0.635000 2.545000 0.805000 ;
+      RECT 0.980000  0.805000 1.150000 1.495000 ;
+      RECT 0.980000  1.495000 1.785000 1.665000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  1.665000 1.785000 2.425000 ;
+      RECT 1.495000  0.255000 1.705000 0.635000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 1.955000  1.795000 3.965000 1.965000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.335000  2.175000 2.585000 2.635000 ;
+      RECT 2.375000  0.295000 4.045000 0.465000 ;
+      RECT 2.375000  0.465000 2.545000 0.635000 ;
+      RECT 2.795000  1.965000 2.965000 2.465000 ;
+      RECT 3.335000  2.175000 3.585000 2.635000 ;
+      RECT 3.795000  1.965000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_2
+MACRO sky130_fd_sc_hd__a41o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.065000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 4.975000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.075000 6.185000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.495000 1.075000 7.505000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.075000 3.145000 1.280000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.635000 1.605000 0.805000 ;
+        RECT 0.150000 0.805000 0.320000 1.575000 ;
+        RECT 0.150000 1.575000 1.605000 1.745000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.490000  1.075000 1.945000 1.245000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.125000 0.465000 ;
+      RECT 1.775000  0.645000 3.905000 0.815000 ;
+      RECT 1.775000  0.815000 1.945000 1.075000 ;
+      RECT 1.775000  1.245000 1.945000 1.455000 ;
+      RECT 1.775000  1.455000 2.965000 1.625000 ;
+      RECT 1.775000  1.915000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 2.465000 0.645000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.375000  2.295000 3.405000 2.465000 ;
+      RECT 2.635000  0.085000 2.965000 0.465000 ;
+      RECT 2.715000  1.955000 3.045000 2.125000 ;
+      RECT 2.795000  1.625000 2.965000 1.955000 ;
+      RECT 3.155000  0.295000 4.245000 0.465000 ;
+      RECT 3.235000  1.535000 7.370000 1.705000 ;
+      RECT 3.235000  1.705000 3.405000 2.295000 ;
+      RECT 3.575000  1.915000 3.905000 2.635000 ;
+      RECT 4.075000  0.465000 4.245000 0.645000 ;
+      RECT 4.075000  0.645000 5.165000 0.815000 ;
+      RECT 4.075000  1.705000 4.245000 2.465000 ;
+      RECT 4.415000  0.295000 6.105000 0.465000 ;
+      RECT 4.415000  1.915000 4.745000 2.635000 ;
+      RECT 4.935000  1.705000 5.105000 2.465000 ;
+      RECT 5.345000  1.915000 6.035000 2.635000 ;
+      RECT 5.355000  0.645000 7.285000 0.815000 ;
+      RECT 6.275000  1.705000 6.445000 2.465000 ;
+      RECT 6.615000  0.085000 6.945000 0.465000 ;
+      RECT 6.615000  1.915000 6.945000 2.635000 ;
+      RECT 7.115000  0.255000 7.285000 0.645000 ;
+      RECT 7.115000  1.705000 7.285000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41o_4
+MACRO sky130_fd_sc_hd__dfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 1.005000 2.160000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.445000 11.875000 2.465000 ;
+        RECT 11.660000 0.825000 11.875000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.280000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.590000 0.735000 4.000000 0.965000 ;
+        RECT 3.590000 0.965000 3.920000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.830000 0.765000 4.000000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.460000 0.735000 7.835000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.510000 0.765000 7.680000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 0.735000 4.060000 0.780000 ;
+        RECT 3.770000 0.780000 7.740000 0.920000 ;
+        RECT 3.770000 0.920000 4.060000 0.965000 ;
+        RECT 7.450000 0.735000 7.740000 0.780000 ;
+        RECT 7.450000 0.920000 7.740000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.410000  0.635000  2.125000 0.825000 ;
+      RECT  1.410000  0.825000  1.580000 1.795000 ;
+      RECT  1.410000  1.795000  2.125000 1.965000 ;
+      RECT  1.435000  0.085000  1.785000 0.465000 ;
+      RECT  1.435000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.330000  0.705000  2.550000 1.575000 ;
+      RECT  2.330000  1.575000  2.830000 1.955000 ;
+      RECT  2.340000  2.250000  3.170000 2.420000 ;
+      RECT  2.405000  0.265000  3.400000 0.465000 ;
+      RECT  2.730000  0.645000  3.060000 1.015000 ;
+      RECT  3.000000  1.195000  3.400000 1.235000 ;
+      RECT  3.000000  1.235000  4.350000 1.405000 ;
+      RECT  3.000000  1.405000  3.170000 2.250000 ;
+      RECT  3.230000  0.465000  3.400000 1.195000 ;
+      RECT  3.340000  1.575000  3.590000 1.785000 ;
+      RECT  3.340000  1.785000  4.690000 2.035000 ;
+      RECT  3.410000  2.205000  3.790000 2.635000 ;
+      RECT  3.570000  0.085000  3.740000 0.525000 ;
+      RECT  3.910000  0.255000  5.080000 0.425000 ;
+      RECT  3.910000  0.425000  4.240000 0.545000 ;
+      RECT  4.090000  2.035000  4.260000 2.375000 ;
+      RECT  4.100000  1.405000  4.350000 1.485000 ;
+      RECT  4.130000  1.155000  4.350000 1.235000 ;
+      RECT  4.410000  0.595000  4.740000 0.765000 ;
+      RECT  4.520000  0.765000  4.740000 0.895000 ;
+      RECT  4.520000  0.895000  5.830000 1.065000 ;
+      RECT  4.520000  1.065000  4.690000 1.785000 ;
+      RECT  4.860000  1.235000  5.190000 1.415000 ;
+      RECT  4.860000  1.415000  5.865000 1.655000 ;
+      RECT  4.880000  1.915000  5.210000 2.635000 ;
+      RECT  4.910000  0.425000  5.080000 0.715000 ;
+      RECT  5.350000  0.085000  5.680000 0.465000 ;
+      RECT  5.500000  1.065000  5.830000 1.235000 ;
+      RECT  6.065000  1.575000  6.300000 1.985000 ;
+      RECT  6.125000  0.705000  6.410000 1.125000 ;
+      RECT  6.125000  1.125000  6.745000 1.305000 ;
+      RECT  6.255000  2.250000  7.085000 2.420000 ;
+      RECT  6.320000  0.265000  7.085000 0.465000 ;
+      RECT  6.540000  1.305000  6.745000 1.905000 ;
+      RECT  6.915000  0.465000  7.085000 1.235000 ;
+      RECT  6.915000  1.235000  8.265000 1.405000 ;
+      RECT  6.915000  1.405000  7.085000 2.250000 ;
+      RECT  7.255000  1.575000  7.505000 1.915000 ;
+      RECT  7.255000  1.915000 10.030000 2.085000 ;
+      RECT  7.265000  0.085000  7.525000 0.525000 ;
+      RECT  7.325000  2.255000  7.705000 2.635000 ;
+      RECT  7.785000  0.255000  8.955000 0.425000 ;
+      RECT  7.785000  0.425000  8.115000 0.545000 ;
+      RECT  7.945000  2.085000  8.115000 2.375000 ;
+      RECT  8.045000  1.075000  8.265000 1.235000 ;
+      RECT  8.285000  0.595000  8.615000 0.780000 ;
+      RECT  8.435000  0.780000  8.615000 1.915000 ;
+      RECT  8.645000  2.255000 10.030000 2.635000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.785000  0.755000  9.475000 0.925000 ;
+      RECT  8.785000  0.925000  9.060000 1.575000 ;
+      RECT  8.785000  1.575000  9.545000 1.745000 ;
+      RECT  9.240000  0.265000  9.475000 0.755000 ;
+      RECT  9.700000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.110000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.655000  0.255000 10.970000 0.995000 ;
+      RECT 10.655000  0.995000 11.490000 1.325000 ;
+      RECT 10.655000  1.325000 10.970000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.150000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.785000  0.780000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  0.765000  1.240000 0.935000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.785000  2.620000 1.955000 ;
+      RECT  2.890000  0.765000  3.060000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.670000  1.445000  5.840000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.130000  1.105000  6.300000 1.275000 ;
+      RECT  6.130000  1.785000  6.300000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.890000  1.445000  9.060000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 6.360000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.120000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.390000 1.755000 2.680000 1.800000 ;
+      RECT 2.390000 1.940000 2.680000 1.985000 ;
+      RECT 2.830000 0.735000 3.120000 0.780000 ;
+      RECT 2.830000 0.920000 3.120000 0.965000 ;
+      RECT 2.925000 0.965000 3.120000 1.120000 ;
+      RECT 2.925000 1.120000 6.360000 1.260000 ;
+      RECT 5.610000 1.415000 5.900000 1.460000 ;
+      RECT 5.610000 1.460000 9.120000 1.600000 ;
+      RECT 5.610000 1.600000 5.900000 1.645000 ;
+      RECT 6.070000 1.075000 6.360000 1.120000 ;
+      RECT 6.070000 1.260000 6.360000 1.305000 ;
+      RECT 6.070000 1.755000 6.360000 1.800000 ;
+      RECT 6.070000 1.940000 6.360000 1.985000 ;
+      RECT 8.830000 1.415000 9.120000 1.460000 ;
+      RECT 8.830000 1.600000 9.120000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbp_1
+MACRO sky130_fd_sc_hd__xor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.965000 1.075000 8.375000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.145000 0.995000 7.315000 1.445000 ;
+        RECT 7.145000 1.445000 7.725000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.320000 0.995000 2.955000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.660000 1.050000 0.925000 ;
+        RECT 0.545000 0.925000 0.860000 1.440000 ;
+        RECT 0.545000 1.440000 1.070000 2.045000 ;
+        RECT 0.800000 0.350000 1.050000 0.660000 ;
+        RECT 0.820000 2.045000 1.070000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.300000  0.085000 0.630000 0.465000 ;
+      RECT 0.300000  2.215000 0.650000 2.635000 ;
+      RECT 1.210000  0.995000 1.410000 1.325000 ;
+      RECT 1.220000  0.085000 1.470000 0.525000 ;
+      RECT 1.240000  0.695000 1.810000 0.865000 ;
+      RECT 1.240000  0.865000 1.410000 0.995000 ;
+      RECT 1.240000  1.325000 1.410000 1.875000 ;
+      RECT 1.240000  1.875000 1.930000 2.045000 ;
+      RECT 1.240000  2.215000 1.575000 2.635000 ;
+      RECT 1.640000  0.255000 3.200000 0.425000 ;
+      RECT 1.640000  0.425000 1.810000 0.695000 ;
+      RECT 1.645000  1.535000 3.295000 1.705000 ;
+      RECT 1.760000  2.045000 1.930000 2.235000 ;
+      RECT 1.760000  2.235000 3.355000 2.405000 ;
+      RECT 1.980000  0.595000 2.150000 1.535000 ;
+      RECT 2.330000  1.895000 3.635000 2.065000 ;
+      RECT 2.430000  0.655000 3.540000 0.825000 ;
+      RECT 2.850000  0.425000 3.200000 0.455000 ;
+      RECT 3.125000  0.995000 3.400000 1.325000 ;
+      RECT 3.125000  1.325000 3.295000 1.535000 ;
+      RECT 3.370000  0.255000 4.220000 0.425000 ;
+      RECT 3.370000  0.425000 3.540000 0.655000 ;
+      RECT 3.465000  1.525000 3.995000 1.695000 ;
+      RECT 3.465000  1.695000 3.635000 1.895000 ;
+      RECT 3.570000  2.235000 3.975000 2.405000 ;
+      RECT 3.710000  0.595000 3.880000 1.375000 ;
+      RECT 3.710000  1.375000 3.995000 1.525000 ;
+      RECT 3.805000  1.895000 4.980000 2.065000 ;
+      RECT 3.805000  2.065000 3.975000 2.235000 ;
+      RECT 4.050000  0.425000 4.220000 1.035000 ;
+      RECT 4.050000  1.035000 4.335000 1.205000 ;
+      RECT 4.145000  2.235000 4.475000 2.635000 ;
+      RECT 4.165000  1.205000 4.335000 1.895000 ;
+      RECT 4.390000  0.085000 4.560000 0.865000 ;
+      RECT 4.565000  1.445000 4.980000 1.715000 ;
+      RECT 4.740000  0.415000 4.980000 1.445000 ;
+      RECT 4.810000  2.065000 4.980000 2.275000 ;
+      RECT 4.810000  2.275000 7.905000 2.445000 ;
+      RECT 5.155000  0.265000 5.570000 0.485000 ;
+      RECT 5.155000  0.485000 5.375000 0.595000 ;
+      RECT 5.155000  0.595000 5.325000 2.105000 ;
+      RECT 5.495000  0.720000 5.910000 0.825000 ;
+      RECT 5.495000  0.825000 5.715000 0.890000 ;
+      RECT 5.495000  0.890000 5.665000 2.275000 ;
+      RECT 5.545000  0.655000 5.910000 0.720000 ;
+      RECT 5.740000  0.320000 5.910000 0.655000 ;
+      RECT 5.855000  1.445000 6.635000 1.615000 ;
+      RECT 5.855000  1.615000 6.270000 2.045000 ;
+      RECT 5.870000  0.995000 6.295000 1.270000 ;
+      RECT 6.080000  0.630000 6.295000 0.995000 ;
+      RECT 6.465000  0.255000 7.610000 0.425000 ;
+      RECT 6.465000  0.425000 6.635000 1.445000 ;
+      RECT 6.805000  0.595000 6.975000 1.935000 ;
+      RECT 6.805000  1.935000 9.115000 2.105000 ;
+      RECT 7.145000  0.425000 7.610000 0.465000 ;
+      RECT 7.485000  0.730000 7.690000 0.945000 ;
+      RECT 7.485000  0.945000 7.795000 1.275000 ;
+      RECT 7.895000  1.495000 8.715000 1.705000 ;
+      RECT 7.935000  0.295000 8.225000 0.735000 ;
+      RECT 7.935000  0.735000 8.715000 0.750000 ;
+      RECT 7.975000  0.750000 8.715000 0.905000 ;
+      RECT 8.315000  2.275000 8.650000 2.635000 ;
+      RECT 8.395000  0.085000 8.565000 0.565000 ;
+      RECT 8.545000  0.905000 8.715000 0.995000 ;
+      RECT 8.545000  0.995000 8.775000 1.325000 ;
+      RECT 8.545000  1.325000 8.715000 1.495000 ;
+      RECT 8.630000  1.875000 9.115000 1.935000 ;
+      RECT 8.815000  0.255000 9.115000 0.585000 ;
+      RECT 8.820000  2.105000 9.115000 2.465000 ;
+      RECT 8.945000  0.585000 9.115000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  1.445000 3.995000 1.615000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.425000 5.375000 0.595000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  0.765000 6.295000 0.935000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.765000 7.675000 0.935000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.425000 8.135000 0.595000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.765000 1.415000 4.055000 1.460000 ;
+      RECT 3.765000 1.460000 6.355000 1.600000 ;
+      RECT 3.765000 1.600000 4.055000 1.645000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.780000 7.735000 0.920000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 0.395000 5.435000 0.440000 ;
+      RECT 5.145000 0.440000 8.195000 0.580000 ;
+      RECT 5.145000 0.580000 5.435000 0.625000 ;
+      RECT 6.065000 0.735000 6.355000 0.780000 ;
+      RECT 6.065000 0.920000 6.355000 0.965000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+      RECT 7.445000 0.735000 7.735000 0.780000 ;
+      RECT 7.445000 0.920000 7.735000 0.965000 ;
+      RECT 7.905000 0.395000 8.195000 0.440000 ;
+      RECT 7.905000 0.580000 8.195000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_2
+MACRO sky130_fd_sc_hd__xor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.860000 0.995000 2.495000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.590000 0.925000 ;
+        RECT 0.085000 0.925000 0.400000 1.440000 ;
+        RECT 0.085000 1.440000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.750000  0.995000 0.950000 1.325000 ;
+      RECT 0.760000  0.085000 1.010000 0.525000 ;
+      RECT 0.780000  0.695000 1.350000 0.865000 ;
+      RECT 0.780000  0.865000 0.950000 0.995000 ;
+      RECT 0.780000  1.325000 0.950000 1.875000 ;
+      RECT 0.780000  1.875000 1.470000 2.045000 ;
+      RECT 0.780000  2.215000 1.115000 2.635000 ;
+      RECT 1.180000  0.255000 2.740000 0.425000 ;
+      RECT 1.180000  0.425000 1.350000 0.695000 ;
+      RECT 1.185000  1.535000 2.835000 1.705000 ;
+      RECT 1.300000  2.045000 1.470000 2.235000 ;
+      RECT 1.300000  2.235000 2.895000 2.405000 ;
+      RECT 1.520000  0.595000 1.690000 1.535000 ;
+      RECT 1.870000  1.895000 3.175000 2.065000 ;
+      RECT 1.970000  0.655000 3.080000 0.825000 ;
+      RECT 2.390000  0.425000 2.740000 0.455000 ;
+      RECT 2.665000  0.995000 2.940000 1.325000 ;
+      RECT 2.665000  1.325000 2.835000 1.535000 ;
+      RECT 2.910000  0.255000 3.760000 0.425000 ;
+      RECT 2.910000  0.425000 3.080000 0.655000 ;
+      RECT 3.005000  1.525000 3.535000 1.695000 ;
+      RECT 3.005000  1.695000 3.175000 1.895000 ;
+      RECT 3.110000  2.235000 3.515000 2.405000 ;
+      RECT 3.250000  0.595000 3.420000 1.375000 ;
+      RECT 3.250000  1.375000 3.535000 1.525000 ;
+      RECT 3.345000  1.895000 4.520000 2.065000 ;
+      RECT 3.345000  2.065000 3.515000 2.235000 ;
+      RECT 3.590000  0.425000 3.760000 1.035000 ;
+      RECT 3.590000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.930000  0.085000 4.100000 0.865000 ;
+      RECT 4.105000  1.445000 4.520000 1.715000 ;
+      RECT 4.280000  0.415000 4.520000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.695000  0.265000 5.110000 0.485000 ;
+      RECT 4.695000  0.485000 4.915000 0.595000 ;
+      RECT 4.695000  0.595000 4.865000 2.105000 ;
+      RECT 5.035000  0.720000 5.450000 0.825000 ;
+      RECT 5.035000  0.825000 5.255000 0.890000 ;
+      RECT 5.035000  0.890000 5.205000 2.275000 ;
+      RECT 5.085000  0.655000 5.450000 0.720000 ;
+      RECT 5.280000  0.320000 5.450000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.620000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_1
+MACRO sky130_fd_sc_hd__xor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.525000 1.075000 8.935000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.705000 0.995000 7.875000 1.445000 ;
+        RECT 7.705000 1.445000 8.285000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.880000 0.995000 3.515000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.350000 0.765000 0.660000 ;
+        RECT 0.595000 0.660000 1.605000 0.830000 ;
+        RECT 0.595000 0.830000 1.535000 0.925000 ;
+        RECT 0.695000 1.440000 1.420000 1.455000 ;
+        RECT 0.695000 1.455000 1.705000 2.045000 ;
+        RECT 0.695000 2.045000 0.865000 2.465000 ;
+        RECT 1.105000 0.925000 1.420000 1.440000 ;
+        RECT 1.435000 0.350000 1.605000 0.660000 ;
+        RECT 1.535000 2.045000 1.705000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 10.120000 0.085000 ;
+        RECT 0.175000  0.085000  0.345000 0.545000 ;
+        RECT 0.935000  0.085000  1.265000 0.465000 ;
+        RECT 1.855000  0.085000  2.025000 0.525000 ;
+        RECT 4.950000  0.085000  5.120000 0.885000 ;
+        RECT 8.995000  0.085000  9.165000 0.565000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+        RECT 4.285000 -0.085000 4.455000 0.085000 ;
+        RECT 4.745000 -0.085000 4.915000 0.085000 ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+        RECT 5.665000 -0.085000 5.835000 0.085000 ;
+        RECT 6.125000 -0.085000 6.295000 0.085000 ;
+        RECT 6.585000 -0.085000 6.755000 0.085000 ;
+        RECT 7.045000 -0.085000 7.215000 0.085000 ;
+        RECT 7.505000 -0.085000 7.675000 0.085000 ;
+        RECT 7.965000 -0.085000 8.135000 0.085000 ;
+        RECT 8.425000 -0.085000 8.595000 0.085000 ;
+        RECT 8.885000 -0.085000 9.055000 0.085000 ;
+        RECT 9.345000 -0.085000 9.515000 0.085000 ;
+        RECT 9.805000 -0.085000 9.975000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.235000 -0.085000 0.405000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 10.120000 2.805000 ;
+        RECT 0.275000 2.135000  0.445000 2.635000 ;
+        RECT 1.035000 2.215000  1.365000 2.635000 ;
+        RECT 1.875000 2.215000  2.205000 2.635000 ;
+        RECT 4.705000 2.235000  5.035000 2.635000 ;
+        RECT 8.915000 2.275000  9.245000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+        RECT 7.505000 2.635000 7.675000 2.805000 ;
+        RECT 7.965000 2.635000 8.135000 2.805000 ;
+        RECT 8.425000 2.635000 8.595000 2.805000 ;
+        RECT 8.885000 2.635000 9.055000 2.805000 ;
+        RECT 9.345000 2.635000 9.515000 2.805000 ;
+        RECT 9.805000 2.635000 9.975000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.820000 0.965000 2.045000 1.325000 ;
+      RECT 1.875000 0.695000 2.365000 0.865000 ;
+      RECT 1.875000 0.865000 2.045000 0.965000 ;
+      RECT 1.875000 1.325000 2.045000 1.875000 ;
+      RECT 1.875000 1.875000 2.545000 2.045000 ;
+      RECT 2.195000 0.255000 3.760000 0.425000 ;
+      RECT 2.195000 0.425000 2.365000 0.695000 ;
+      RECT 2.370000 1.535000 3.855000 1.705000 ;
+      RECT 2.375000 2.045000 2.545000 2.235000 ;
+      RECT 2.375000 2.235000 3.915000 2.405000 ;
+      RECT 2.540000 0.595000 2.710000 1.535000 ;
+      RECT 2.890000 1.895000 4.195000 2.065000 ;
+      RECT 2.990000 0.655000 4.100000 0.825000 ;
+      RECT 3.410000 0.425000 3.760000 0.455000 ;
+      RECT 3.685000 0.995000 4.055000 1.325000 ;
+      RECT 3.685000 1.325000 3.855000 1.535000 ;
+      RECT 3.930000 0.255000 4.780000 0.425000 ;
+      RECT 3.930000 0.425000 4.100000 0.655000 ;
+      RECT 4.025000 1.525000 4.555000 1.695000 ;
+      RECT 4.025000 1.695000 4.195000 1.895000 ;
+      RECT 4.130000 2.235000 4.535000 2.405000 ;
+      RECT 4.270000 0.595000 4.440000 1.375000 ;
+      RECT 4.270000 1.375000 4.555000 1.525000 ;
+      RECT 4.365000 1.895000 5.540000 2.065000 ;
+      RECT 4.365000 2.065000 4.535000 2.235000 ;
+      RECT 4.610000 0.425000 4.780000 1.035000 ;
+      RECT 4.610000 1.035000 4.865000 1.040000 ;
+      RECT 4.610000 1.040000 4.880000 1.045000 ;
+      RECT 4.610000 1.045000 4.890000 1.050000 ;
+      RECT 4.610000 1.050000 4.895000 1.205000 ;
+      RECT 4.725000 1.205000 4.895000 1.895000 ;
+      RECT 5.125000 1.445000 5.540000 1.715000 ;
+      RECT 5.300000 0.415000 5.540000 1.445000 ;
+      RECT 5.370000 2.065000 5.540000 2.275000 ;
+      RECT 5.370000 2.275000 8.465000 2.445000 ;
+      RECT 5.715000 0.265000 6.130000 0.485000 ;
+      RECT 5.715000 0.485000 5.935000 0.595000 ;
+      RECT 5.715000 0.595000 5.885000 2.105000 ;
+      RECT 6.075000 0.720000 6.470000 0.825000 ;
+      RECT 6.075000 0.825000 6.275000 0.890000 ;
+      RECT 6.075000 0.890000 6.245000 2.275000 ;
+      RECT 6.105000 0.655000 6.470000 0.720000 ;
+      RECT 6.300000 0.320000 6.470000 0.655000 ;
+      RECT 6.415000 1.445000 7.195000 1.615000 ;
+      RECT 6.415000 1.615000 6.830000 2.045000 ;
+      RECT 6.430000 0.995000 6.855000 1.270000 ;
+      RECT 6.640000 0.630000 6.855000 0.995000 ;
+      RECT 7.025000 0.255000 8.170000 0.425000 ;
+      RECT 7.025000 0.425000 7.195000 1.445000 ;
+      RECT 7.365000 0.595000 7.535000 1.935000 ;
+      RECT 7.365000 1.935000 9.675000 2.105000 ;
+      RECT 7.705000 0.425000 8.170000 0.465000 ;
+      RECT 8.045000 0.730000 8.250000 0.945000 ;
+      RECT 8.045000 0.945000 8.355000 1.275000 ;
+      RECT 8.455000 1.495000 9.275000 1.705000 ;
+      RECT 8.495000 0.295000 8.785000 0.735000 ;
+      RECT 8.495000 0.735000 9.275000 0.750000 ;
+      RECT 8.535000 0.750000 9.275000 0.905000 ;
+      RECT 9.105000 0.905000 9.275000 0.995000 ;
+      RECT 9.105000 0.995000 9.335000 1.325000 ;
+      RECT 9.105000 1.325000 9.275000 1.495000 ;
+      RECT 9.190000 1.875000 9.675000 1.935000 ;
+      RECT 9.415000 0.255000 9.675000 0.585000 ;
+      RECT 9.415000 2.105000 9.675000 2.465000 ;
+      RECT 9.505000 0.585000 9.675000 1.875000 ;
+    LAYER mcon ;
+      RECT 4.385000 1.445000 4.555000 1.615000 ;
+      RECT 5.305000 0.765000 5.475000 0.935000 ;
+      RECT 5.765000 0.425000 5.935000 0.595000 ;
+      RECT 6.685000 0.765000 6.855000 0.935000 ;
+      RECT 6.685000 1.445000 6.855000 1.615000 ;
+      RECT 8.065000 0.765000 8.235000 0.935000 ;
+      RECT 8.525000 0.425000 8.695000 0.595000 ;
+    LAYER met1 ;
+      RECT 4.325000 1.415000 4.615000 1.460000 ;
+      RECT 4.325000 1.460000 6.915000 1.600000 ;
+      RECT 4.325000 1.600000 4.615000 1.645000 ;
+      RECT 5.245000 0.735000 5.535000 0.780000 ;
+      RECT 5.245000 0.780000 8.295000 0.920000 ;
+      RECT 5.245000 0.920000 5.535000 0.965000 ;
+      RECT 5.705000 0.395000 5.995000 0.440000 ;
+      RECT 5.705000 0.440000 8.755000 0.580000 ;
+      RECT 5.705000 0.580000 5.995000 0.625000 ;
+      RECT 6.625000 0.735000 6.915000 0.780000 ;
+      RECT 6.625000 0.920000 6.915000 0.965000 ;
+      RECT 6.625000 1.415000 6.915000 1.460000 ;
+      RECT 6.625000 1.600000 6.915000 1.645000 ;
+      RECT 8.005000 0.735000 8.295000 0.780000 ;
+      RECT 8.005000 0.920000 8.295000 0.965000 ;
+      RECT 8.465000 0.395000 8.755000 0.440000 ;
+      RECT 8.465000 0.580000 8.755000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xor3_4
+MACRO sky130_fd_sc_hd__o31a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.995000 1.760000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.995000 2.190000 1.325000 ;
+        RECT 1.990000 1.325000 2.190000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.640000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.255000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.577500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.860000 1.295000 ;
+        RECT 0.550000 0.265000 0.990000 0.825000 ;
+        RECT 0.550000 0.825000 0.860000 1.075000 ;
+        RECT 0.550000 1.295000 0.860000 1.835000 ;
+        RECT 0.550000 1.835000 0.990000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.905000 ;
+      RECT 0.085000  1.465000 0.380000 2.635000 ;
+      RECT 1.030000  0.995000 1.200000 1.445000 ;
+      RECT 1.030000  1.445000 1.820000 1.615000 ;
+      RECT 1.160000  0.085000 1.610000 0.825000 ;
+      RECT 1.165000  1.785000 1.480000 2.635000 ;
+      RECT 1.650000  1.615000 1.820000 2.295000 ;
+      RECT 1.650000  2.295000 3.080000 2.465000 ;
+      RECT 1.780000  0.255000 1.950000 0.655000 ;
+      RECT 1.780000  0.655000 2.940000 0.825000 ;
+      RECT 2.120000  0.085000 2.540000 0.485000 ;
+      RECT 2.710000  0.255000 2.940000 0.655000 ;
+      RECT 2.830000  1.495000 3.595000 1.665000 ;
+      RECT 2.830000  1.665000 3.080000 2.295000 ;
+      RECT 3.110000  0.255000 3.595000 0.825000 ;
+      RECT 3.255000  1.835000 3.590000 2.635000 ;
+      RECT 3.425000  0.825000 3.595000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_2
+MACRO sky130_fd_sc_hd__o31a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.295000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.725000 1.325000 ;
+        RECT 1.525000 1.325000 1.725000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.995000 2.175000 2.125000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 0.995000 2.795000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.594000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.525000 0.825000 ;
+        RECT 0.085000 0.825000 0.395000 1.835000 ;
+        RECT 0.085000 1.835000 0.525000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.995000 0.735000 1.445000 ;
+      RECT 0.565000  1.445000 1.355000 1.615000 ;
+      RECT 0.695000  0.085000 1.145000 0.825000 ;
+      RECT 0.700000  1.785000 1.015000 2.635000 ;
+      RECT 1.185000  1.615000 1.355000 2.295000 ;
+      RECT 1.185000  2.295000 2.615000 2.465000 ;
+      RECT 1.315000  0.255000 1.485000 0.655000 ;
+      RECT 1.315000  0.655000 2.475000 0.825000 ;
+      RECT 1.655000  0.085000 2.075000 0.485000 ;
+      RECT 2.245000  0.255000 2.475000 0.655000 ;
+      RECT 2.365000  1.495000 3.135000 1.665000 ;
+      RECT 2.365000  1.665000 2.615000 2.295000 ;
+      RECT 2.645000  0.255000 3.135000 0.825000 ;
+      RECT 2.795000  1.835000 3.125000 2.635000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31a_1
+MACRO sky130_fd_sc_hd__o31a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 1.055000 5.470000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 1.055000 4.970000 1.360000 ;
+        RECT 4.680000 1.360000 4.970000 1.530000 ;
+        RECT 4.680000 1.530000 6.355000 1.700000 ;
+        RECT 5.640000 1.055000 6.355000 1.530000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.765000 1.055000 4.095000 1.360000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 1.055000 3.575000 1.355000 ;
+        RECT 2.780000 1.355000 3.150000 1.695000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.765000 0.885000 ;
+        RECT 0.085000 0.885000 0.735000 1.460000 ;
+        RECT 0.085000 1.460000 1.750000 1.665000 ;
+        RECT 0.680000 0.255000 0.895000 0.655000 ;
+        RECT 0.680000 0.655000 1.765000 0.715000 ;
+        RECT 0.680000 1.665000 0.895000 2.465000 ;
+        RECT 1.565000 0.255000 1.765000 0.655000 ;
+        RECT 1.565000 1.665000 1.750000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.085000 0.510000 0.545000 ;
+      RECT 0.085000  1.835000 0.510000 2.635000 ;
+      RECT 0.905000  1.055000 2.610000 1.290000 ;
+      RECT 1.065000  0.085000 1.395000 0.485000 ;
+      RECT 1.065000  1.835000 1.395000 2.635000 ;
+      RECT 1.920000  1.460000 2.250000 2.635000 ;
+      RECT 1.935000  0.085000 2.250000 0.885000 ;
+      RECT 2.440000  0.255000 3.570000 0.465000 ;
+      RECT 2.440000  0.635000 3.210000 0.885000 ;
+      RECT 2.440000  0.885000 2.610000 1.055000 ;
+      RECT 2.440000  1.290000 2.610000 1.870000 ;
+      RECT 2.440000  1.870000 4.090000 2.070000 ;
+      RECT 2.440000  2.070000 2.610000 2.465000 ;
+      RECT 2.780000  2.240000 3.110000 2.635000 ;
+      RECT 3.320000  1.530000 4.510000 1.700000 ;
+      RECT 3.380000  0.465000 3.570000 0.635000 ;
+      RECT 3.380000  0.635000 6.355000 0.885000 ;
+      RECT 3.760000  0.085000 4.090000 0.445000 ;
+      RECT 3.760000  2.070000 4.090000 2.465000 ;
+      RECT 4.260000  0.255000 4.430000 0.635000 ;
+      RECT 4.260000  1.700000 4.510000 2.465000 ;
+      RECT 4.600000  0.085000 4.930000 0.445000 ;
+      RECT 4.680000  1.870000 5.720000 2.070000 ;
+      RECT 4.680000  2.070000 4.850000 2.465000 ;
+      RECT 5.020000  2.240000 5.350000 2.635000 ;
+      RECT 5.100000  0.255000 5.270000 0.635000 ;
+      RECT 5.440000  0.085000 5.770000 0.445000 ;
+      RECT 5.520000  2.070000 5.720000 2.465000 ;
+      RECT 5.890000  1.870000 6.355000 2.465000 ;
+      RECT 5.940000  0.255000 6.355000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.125000 6.295000 2.295000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.355000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 6.065000 2.095000 6.355000 2.140000 ;
+      RECT 6.065000 2.280000 6.355000 2.325000 ;
+  END
+END sky130_fd_sc_hd__o31a_4
+MACRO sky130_fd_sc_hd__nor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.655000 1.755000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.005000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.425000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.604500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.385000 0.345000 0.655000 ;
+        RECT 0.090000 0.655000 1.315000 0.825000 ;
+        RECT 0.090000 1.495000 0.425000 2.280000 ;
+        RECT 0.090000 2.280000 1.170000 2.450000 ;
+        RECT 1.000000 1.495000 1.315000 1.665000 ;
+        RECT 1.000000 1.665000 1.170000 2.280000 ;
+        RECT 1.015000 0.385000 1.185000 0.655000 ;
+        RECT 1.145000 0.825000 1.315000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.435000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_1
+MACRO sky130_fd_sc_hd__nor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.185000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.075000 2.965000 1.285000 ;
+        RECT 2.375000 1.285000 2.640000 1.625000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.595000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.755000 0.255000 3.085000 0.725000 ;
+        RECT 2.835000 1.455000 3.595000 1.625000 ;
+        RECT 2.835000 1.625000 3.045000 2.125000 ;
+        RECT 3.135000 0.905000 3.595000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.465000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.585000 0.555000 ;
+      RECT 2.415000  1.795000 2.625000 2.295000 ;
+      RECT 3.215000  1.795000 3.465000 2.295000 ;
+      RECT 3.255000  0.085000 3.545000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3_2
+MACRO sky130_fd_sc_hd__nor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.685000 1.285000 ;
+        RECT 3.515000 1.285000 3.685000 1.445000 ;
+        RECT 3.515000 1.445000 5.165000 1.615000 ;
+        RECT 4.995000 1.075000 5.415000 1.285000 ;
+        RECT 4.995000 1.285000 5.165000 1.445000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.855000 1.075000 4.765000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 5.895000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.515000 1.785000 5.895000 1.955000 ;
+        RECT 3.515000 1.955000 4.605000 1.965000 ;
+        RECT 3.515000 1.965000 3.765000 2.125000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 4.355000 1.965000 4.605000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 5.605000 0.255000 5.895000 0.725000 ;
+        RECT 5.605000 0.905000 5.895000 1.785000 ;
+        RECT 5.615000 1.955000 5.895000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.085000 ;
+      RECT 1.835000  2.085000 2.925000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 3.345000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 1.915000 ;
+      RECT 2.675000  1.795000 2.925000 2.085000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.295000 ;
+      RECT 3.095000  2.295000 5.025000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 3.935000  2.135000 4.185000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 4.775000  2.135000 5.025000 2.295000 ;
+      RECT 5.195000  2.125000 5.445000 2.465000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.125000 2.615000 2.295000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.125000 5.375000 2.295000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 2.065000 2.680000 2.140000 ;
+      RECT 2.385000 2.140000 5.440000 2.280000 ;
+      RECT 2.385000 2.280000 2.680000 2.335000 ;
+      RECT 5.145000 2.065000 5.440000 2.140000 ;
+      RECT 5.145000 2.280000 5.440000 2.335000 ;
+  END
+END sky130_fd_sc_hd__nor3_4
+MACRO sky130_fd_sc_hd__o311a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.450000 0.995000 1.790000 1.325000 ;
+        RECT 1.520000 1.325000 1.790000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.270000 1.325000 ;
+        RECT 1.980000 1.325000 2.215000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.840000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.355000 1.070000 ;
+        RECT 0.085000 1.070000 0.435000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.525000  0.085000 1.195000 0.825000 ;
+      RECT 0.605000  0.995000 0.775000 1.495000 ;
+      RECT 0.605000  1.495000 1.350000 1.665000 ;
+      RECT 0.605000  1.835000 1.010000 2.635000 ;
+      RECT 1.180000  1.665000 1.350000 2.295000 ;
+      RECT 1.180000  2.295000 2.715000 2.465000 ;
+      RECT 1.365000  0.310000 1.660000 0.655000 ;
+      RECT 1.365000  0.655000 2.760000 0.825000 ;
+      RECT 1.840000  0.085000 2.215000 0.485000 ;
+      RECT 2.385000  1.495000 3.595000 1.665000 ;
+      RECT 2.385000  1.665000 2.715000 2.295000 ;
+      RECT 2.430000  0.310000 2.760000 0.655000 ;
+      RECT 2.900000  1.835000 3.135000 2.635000 ;
+      RECT 3.010000  0.255000 3.595000 0.825000 ;
+      RECT 3.010000  0.825000 3.180000 1.495000 ;
+      RECT 3.305000  1.665000 3.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_1
+MACRO sky130_fd_sc_hd__o311a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.750000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.920000 0.995000 2.250000 1.325000 ;
+        RECT 1.980000 1.325000 2.250000 2.070000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.730000 1.325000 ;
+        RECT 2.440000 1.325000 2.675000 2.070000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.995000 3.300000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.810000 0.995000 4.055000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.905000 1.315000 ;
+        RECT 0.550000 0.255000 0.825000 0.995000 ;
+        RECT 0.550000 0.995000 0.905000 1.055000 ;
+        RECT 0.550000 1.315000 0.905000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.380000 0.885000 ;
+      RECT 0.085000  1.485000 0.380000 2.635000 ;
+      RECT 0.995000  0.085000 1.665000 0.825000 ;
+      RECT 1.075000  0.995000 1.245000 1.495000 ;
+      RECT 1.075000  1.495000 1.810000 1.665000 ;
+      RECT 1.075000  1.835000 1.470000 2.635000 ;
+      RECT 1.640000  1.665000 1.810000 2.295000 ;
+      RECT 1.640000  2.295000 3.175000 2.465000 ;
+      RECT 1.835000  0.310000 2.120000 0.655000 ;
+      RECT 1.835000  0.655000 3.220000 0.825000 ;
+      RECT 2.300000  0.085000 2.675000 0.485000 ;
+      RECT 2.845000  1.495000 4.055000 1.665000 ;
+      RECT 2.845000  1.665000 3.175000 2.295000 ;
+      RECT 2.890000  0.310000 3.220000 0.655000 ;
+      RECT 3.360000  1.835000 3.595000 2.635000 ;
+      RECT 3.470000  0.255000 4.055000 0.825000 ;
+      RECT 3.470000  0.825000 3.640000 1.495000 ;
+      RECT 3.765000  1.665000 4.055000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_2
+MACRO sky130_fd_sc_hd__o311a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.950000 1.055000 7.735000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.020000 1.055000 6.770000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.655000 1.055000 5.850000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.055000 4.475000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.115000 1.055000 3.080000 1.315000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.765000 1.315000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 0.715000 1.605000 0.885000 ;
+        RECT 0.595000 0.885000 0.765000 1.055000 ;
+        RECT 0.595000 1.315000 0.765000 1.485000 ;
+        RECT 0.595000 1.485000 1.605000 1.725000 ;
+        RECT 0.595000 1.725000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.715000 ;
+        RECT 1.435000 1.725000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.885000 ;
+      RECT 0.085000  1.485000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.545000 ;
+      RECT 0.935000  1.055000 1.945000 1.315000 ;
+      RECT 0.935000  1.895000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.025000 0.545000 ;
+      RECT 1.775000  0.715000 3.045000 0.885000 ;
+      RECT 1.775000  0.885000 1.945000 1.055000 ;
+      RECT 1.775000  1.315000 1.945000 1.485000 ;
+      RECT 1.775000  1.485000 5.005000 1.725000 ;
+      RECT 1.775000  1.895000 2.445000 2.635000 ;
+      RECT 2.195000  0.255000 4.305000 0.505000 ;
+      RECT 2.195000  0.675000 3.045000 0.715000 ;
+      RECT 2.615000  1.725000 2.785000 2.465000 ;
+      RECT 2.955000  1.895000 3.285000 2.635000 ;
+      RECT 3.215000  0.505000 3.385000 0.885000 ;
+      RECT 3.455000  1.725000 3.625000 2.465000 ;
+      RECT 3.555000  0.675000 7.735000 0.885000 ;
+      RECT 3.855000  1.895000 4.045000 2.635000 ;
+      RECT 4.335000  1.895000 4.665000 2.295000 ;
+      RECT 4.335000  2.295000 6.445000 2.465000 ;
+      RECT 4.485000  0.255000 4.755000 0.675000 ;
+      RECT 4.835000  1.725000 5.005000 2.125000 ;
+      RECT 4.925000  0.085000 5.605000 0.505000 ;
+      RECT 5.255000  1.485000 5.525000 2.295000 ;
+      RECT 5.695000  1.485000 7.735000 1.725000 ;
+      RECT 5.695000  1.725000 5.945000 2.125000 ;
+      RECT 5.775000  0.255000 5.945000 0.675000 ;
+      RECT 6.115000  0.085000 6.445000 0.505000 ;
+      RECT 6.115000  1.895000 6.445000 2.295000 ;
+      RECT 6.615000  0.255000 6.785000 0.675000 ;
+      RECT 6.615000  1.725000 6.785000 2.125000 ;
+      RECT 6.955000  0.085000 7.285000 0.505000 ;
+      RECT 6.955000  1.895000 7.285000 2.635000 ;
+      RECT 7.455000  0.255000 7.735000 0.675000 ;
+      RECT 7.455000  1.725000 7.735000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311a_4
+MACRO sky130_fd_sc_hd__bufbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.230000 0.260000 3.560000 0.735000 ;
+        RECT 3.230000 0.735000 6.815000 0.905000 ;
+        RECT 3.230000 1.445000 6.815000 1.615000 ;
+        RECT 3.230000 1.615000 3.560000 2.465000 ;
+        RECT 4.070000 0.260000 4.400000 0.735000 ;
+        RECT 4.070000 1.615000 4.400000 2.465000 ;
+        RECT 4.910000 0.260000 5.240000 0.735000 ;
+        RECT 4.910000 1.615000 5.240000 2.465000 ;
+        RECT 5.750000 0.260000 6.080000 0.735000 ;
+        RECT 5.750000 1.615000 6.080000 2.465000 ;
+        RECT 6.435000 0.905000 6.815000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.095000  0.260000 0.425000 0.735000 ;
+      RECT 0.095000  0.735000 0.780000 0.905000 ;
+      RECT 0.095000  1.445000 0.780000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.160000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.785000 0.765000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 0.995000 ;
+      RECT 0.610000  0.995000 1.040000 1.325000 ;
+      RECT 0.610000  1.325000 0.780000 1.445000 ;
+      RECT 1.000000  0.260000 1.380000 0.825000 ;
+      RECT 1.000000  1.545000 1.380000 2.465000 ;
+      RECT 1.210000  0.825000 1.380000 1.075000 ;
+      RECT 1.210000  1.075000 2.720000 1.275000 ;
+      RECT 1.210000  1.275000 1.380000 1.545000 ;
+      RECT 1.550000  0.260000 1.880000 0.735000 ;
+      RECT 1.550000  0.735000 3.060000 0.905000 ;
+      RECT 1.550000  1.445000 3.060000 1.615000 ;
+      RECT 1.550000  1.615000 1.880000 2.465000 ;
+      RECT 2.050000  0.085000 2.220000 0.565000 ;
+      RECT 2.050000  1.785000 2.220000 2.635000 ;
+      RECT 2.390000  0.260000 2.720000 0.735000 ;
+      RECT 2.390000  1.615000 2.720000 2.465000 ;
+      RECT 2.890000  0.085000 3.060000 0.565000 ;
+      RECT 2.890000  0.905000 3.060000 1.075000 ;
+      RECT 2.890000  1.075000 5.360000 1.275000 ;
+      RECT 2.890000  1.275000 3.060000 1.445000 ;
+      RECT 2.890000  1.785000 3.060000 2.635000 ;
+      RECT 3.730000  0.085000 3.900000 0.565000 ;
+      RECT 3.730000  1.835000 3.900000 2.635000 ;
+      RECT 4.570000  0.085000 4.740000 0.565000 ;
+      RECT 4.570000  1.835000 4.740000 2.635000 ;
+      RECT 5.410000  0.085000 5.580000 0.565000 ;
+      RECT 5.410000  1.835000 5.580000 2.635000 ;
+      RECT 6.250000  0.085000 6.420000 0.565000 ;
+      RECT 6.250000  1.835000 6.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_8
+MACRO sky130_fd_sc_hd__bufbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  5.235000 0.255000  5.485000 0.260000 ;
+        RECT  5.235000 0.260000  5.565000 0.735000 ;
+        RECT  5.235000 0.735000 11.875000 0.905000 ;
+        RECT  5.235000 1.445000 11.875000 1.615000 ;
+        RECT  5.235000 1.615000  5.565000 2.465000 ;
+        RECT  6.075000 0.260000  6.405000 0.735000 ;
+        RECT  6.075000 1.615000  6.405000 2.465000 ;
+        RECT  6.155000 0.255000  6.325000 0.260000 ;
+        RECT  6.915000 0.260000  7.245000 0.735000 ;
+        RECT  6.915000 1.615000  7.245000 2.465000 ;
+        RECT  6.995000 0.255000  7.165000 0.260000 ;
+        RECT  7.755000 0.260000  8.085000 0.735000 ;
+        RECT  7.755000 1.615000  8.085000 2.465000 ;
+        RECT  8.595000 0.260000  8.925000 0.735000 ;
+        RECT  8.595000 1.615000  8.925000 2.465000 ;
+        RECT  9.435000 0.260000  9.765000 0.735000 ;
+        RECT  9.435000 1.615000  9.765000 2.465000 ;
+        RECT 10.275000 0.260000 10.605000 0.735000 ;
+        RECT 10.275000 1.615000 10.605000 2.465000 ;
+        RECT 11.115000 0.260000 11.445000 0.735000 ;
+        RECT 11.115000 1.615000 11.445000 2.465000 ;
+        RECT 11.620000 0.905000 11.875000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.085000  0.345000 0.905000 ;
+      RECT  0.175000  1.445000  0.345000 2.635000 ;
+      RECT  0.515000  0.260000  0.845000 0.905000 ;
+      RECT  0.515000  1.445000  0.845000 2.465000 ;
+      RECT  0.610000  0.905000  0.845000 1.075000 ;
+      RECT  0.610000  1.075000  2.205000 1.275000 ;
+      RECT  0.610000  1.275000  0.845000 1.445000 ;
+      RECT  1.035000  0.260000  1.365000 0.735000 ;
+      RECT  1.035000  0.735000  2.545000 0.905000 ;
+      RECT  1.035000  1.445000  2.545000 1.615000 ;
+      RECT  1.035000  1.615000  1.365000 2.465000 ;
+      RECT  1.535000  0.085000  1.705000 0.565000 ;
+      RECT  1.535000  1.785000  1.705000 2.635000 ;
+      RECT  1.875000  0.260000  2.205000 0.735000 ;
+      RECT  1.875000  1.615000  2.205000 2.465000 ;
+      RECT  2.375000  0.085000  2.545000 0.565000 ;
+      RECT  2.375000  0.905000  2.545000 1.075000 ;
+      RECT  2.375000  1.075000  4.685000 1.275000 ;
+      RECT  2.375000  1.275000  2.545000 1.445000 ;
+      RECT  2.375000  1.785000  2.545000 2.635000 ;
+      RECT  2.715000  0.260000  3.045000 0.735000 ;
+      RECT  2.715000  0.735000  5.065000 0.905000 ;
+      RECT  2.715000  1.445000  5.065000 1.615000 ;
+      RECT  2.715000  1.615000  3.045000 2.465000 ;
+      RECT  3.215000  0.085000  3.385000 0.565000 ;
+      RECT  3.215000  1.835000  3.385000 2.635000 ;
+      RECT  3.555000  0.260000  3.885000 0.735000 ;
+      RECT  3.555000  1.615000  3.885000 2.465000 ;
+      RECT  4.055000  0.085000  4.225000 0.565000 ;
+      RECT  4.055000  1.835000  4.225000 2.635000 ;
+      RECT  4.395000  0.260000  4.725000 0.735000 ;
+      RECT  4.395000  1.615000  4.725000 2.465000 ;
+      RECT  4.890000  0.905000  5.065000 1.075000 ;
+      RECT  4.890000  1.075000 11.450000 1.275000 ;
+      RECT  4.890000  1.275000  5.065000 1.445000 ;
+      RECT  4.895000  0.085000  5.065000 0.565000 ;
+      RECT  4.895000  1.835000  5.065000 2.635000 ;
+      RECT  5.735000  0.085000  5.905000 0.565000 ;
+      RECT  5.735000  1.835000  5.905000 2.635000 ;
+      RECT  6.575000  0.085000  6.745000 0.565000 ;
+      RECT  6.575000  1.835000  6.745000 2.635000 ;
+      RECT  7.415000  0.085000  7.585000 0.565000 ;
+      RECT  7.415000  1.835000  7.585000 2.635000 ;
+      RECT  8.255000  0.085000  8.425000 0.565000 ;
+      RECT  8.255000  1.835000  8.425000 2.635000 ;
+      RECT  9.095000  0.085000  9.265000 0.565000 ;
+      RECT  9.095000  1.835000  9.265000 2.635000 ;
+      RECT  9.935000  0.085000 10.105000 0.565000 ;
+      RECT  9.935000  1.835000 10.105000 2.635000 ;
+      RECT 10.775000  0.085000 10.945000 0.565000 ;
+      RECT 10.775000  1.835000 10.945000 2.635000 ;
+      RECT 11.615000  0.085000 11.785000 0.565000 ;
+      RECT 11.615000  1.835000 11.785000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufbuf_16
+MACRO sky130_fd_sc_hd__sedfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.765000 0.305000 13.095000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.530000 2.910000 ;
+        RECT  7.200000 1.305000 13.530000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.595000 0.900000 ;
+      RECT 12.350000  1.465000 12.595000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_1
+MACRO sky130_fd_sc_hd__sedfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.72000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 1.070000 ;
+        RECT 12.755000 1.070000 13.925000 1.295000 ;
+        RECT 12.755000 1.295000 13.085000 2.420000 ;
+        RECT 13.595000 0.305000 13.925000 1.070000 ;
+        RECT 13.595000 1.295000 13.925000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.720000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.910000 2.910000 ;
+        RECT  7.200000 1.305000 14.910000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.720000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.720000 0.085000 ;
+      RECT  0.000000  2.635000 14.720000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.425000 0.900000 ;
+      RECT 13.255000  1.465000 13.425000 2.635000 ;
+      RECT 14.095000  0.085000 14.355000 1.280000 ;
+      RECT 14.095000  1.465000 14.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_4
+MACRO sky130_fd_sc_hd__sedfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.755000 0.305000 13.085000 2.420000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 13.990000 2.910000 ;
+        RECT  7.200000 1.305000 13.990000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.110000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.110000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.110000 0.995000 ;
+      RECT 10.940000  0.995000 11.810000 1.325000 ;
+      RECT 10.940000  1.325000 11.110000 2.165000 ;
+      RECT 11.280000  1.530000 12.180000 1.905000 ;
+      RECT 11.280000  2.135000 11.540000 2.635000 ;
+      RECT 11.350000  0.085000 11.665000 0.615000 ;
+      RECT 11.840000  1.905000 12.180000 2.465000 ;
+      RECT 11.850000  0.300000 12.180000 0.825000 ;
+      RECT 11.990000  0.825000 12.180000 1.530000 ;
+      RECT 12.350000  0.085000 12.585000 0.900000 ;
+      RECT 12.350000  1.465000 12.585000 2.635000 ;
+      RECT 13.255000  0.085000 13.515000 0.900000 ;
+      RECT 13.255000  1.465000 13.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.000000  0.765000 12.170000 0.935000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.230000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 11.940000 0.735000 12.230000 0.780000 ;
+      RECT 11.940000 0.920000 12.230000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxtp_2
+MACRO sky130_fd_sc_hd__nand4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.710000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 7.305000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.110000 0.655000 7.305000 0.905000 ;
+        RECT 6.110000 0.905000 6.290000 1.445000 ;
+        RECT 6.135000 1.665000 6.465000 2.465000 ;
+        RECT 6.975000 1.665000 7.305000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 2.025000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.485000 ;
+      RECT 1.855000  0.255000 3.785000 0.485000 ;
+      RECT 1.855000  0.485000 2.025000 0.655000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.655000 5.565000 0.905000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 3.975000  0.255000 7.730000 0.485000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.770000  0.485000 5.940000 0.905000 ;
+      RECT 5.770000  1.835000 5.940000 2.635000 ;
+      RECT 6.635000  1.835000 6.805000 2.635000 ;
+      RECT 7.475000  0.485000 7.730000 0.905000 ;
+      RECT 7.475000  1.445000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_4
+MACRO sky130_fd_sc_hd__nand4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.495000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.235000 1.075000 3.080000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.845000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 3.925000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.355000 1.665000 2.685000 2.465000 ;
+        RECT 3.370000 1.055000 3.925000 1.445000 ;
+        RECT 3.595000 0.635000 3.925000 1.055000 ;
+        RECT 3.595000 1.665000 3.925000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 1.185000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.125000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.085000 0.905000 ;
+      RECT 1.855000  1.835000 2.185000 2.635000 ;
+      RECT 2.315000  0.255000 4.425000 0.465000 ;
+      RECT 2.995000  1.835000 3.325000 2.635000 ;
+      RECT 3.255000  0.465000 3.425000 0.885000 ;
+      RECT 4.095000  0.465000 4.425000 0.905000 ;
+      RECT 4.095000  1.445000 4.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_2
+MACRO sky130_fd_sc_hd__nand4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.995000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.300000 1.350000 0.825000 ;
+        RECT 1.145000 0.825000 1.350000 0.995000 ;
+        RECT 1.145000 0.995000 1.455000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.300000 0.810000 0.995000 ;
+        RECT 0.595000 0.995000 0.975000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.995000 0.395000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.795000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 1.795000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.385000 1.665000 1.715000 2.465000 ;
+        RECT 1.520000 0.255000 2.215000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.090000  0.085000 0.425000 0.825000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4_1
+MACRO sky130_fd_sc_hd__a21boi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.605000 0.995000 3.215000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 2.425000 1.245000 ;
+        RECT 2.100000 1.245000 2.425000 1.495000 ;
+        RECT 2.100000 1.495000 3.675000 1.675000 ;
+        RECT 3.385000 1.035000 3.795000 1.295000 ;
+        RECT 3.385000 1.295000 3.675000 1.495000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.765000 0.425000 1.805000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.255000 1.720000 0.615000 ;
+        RECT 1.520000 0.615000 3.060000 0.785000 ;
+        RECT 1.520000 0.785000 1.715000 2.115000 ;
+        RECT 2.730000 0.255000 3.060000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  2.080000 0.425000 2.635000 ;
+      RECT 0.265000  0.360000 0.795000 0.530000 ;
+      RECT 0.595000  0.530000 0.795000 1.070000 ;
+      RECT 0.595000  1.070000 1.325000 1.285000 ;
+      RECT 0.595000  1.285000 0.855000 2.265000 ;
+      RECT 0.985000  0.085000 1.225000 0.885000 ;
+      RECT 1.045000  1.795000 1.350000 2.285000 ;
+      RECT 1.045000  2.285000 2.215000 2.465000 ;
+      RECT 1.885000  1.855000 3.920000 2.025000 ;
+      RECT 1.885000  2.025000 2.215000 2.285000 ;
+      RECT 1.940000  0.085000 2.270000 0.445000 ;
+      RECT 2.385000  2.195000 2.555000 2.635000 ;
+      RECT 2.810000  2.025000 3.920000 2.105000 ;
+      RECT 2.810000  2.105000 2.980000 2.465000 ;
+      RECT 3.160000  2.275000 3.490000 2.635000 ;
+      RECT 3.635000  0.085000 3.930000 0.865000 ;
+      RECT 3.660000  2.105000 3.920000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_2
+MACRO sky130_fd_sc_hd__a21boi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.995000 2.155000 1.345000 ;
+        RECT 1.945000 0.375000 2.155000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.995000 2.640000 1.345000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.975000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.045000 1.580000 1.345000 ;
+        RECT 1.045000 1.345000 1.375000 2.455000 ;
+        RECT 1.335000 0.265000 1.765000 0.795000 ;
+        RECT 1.335000 0.795000 1.580000 1.045000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.845000 0.855000 2.045000 ;
+      RECT 0.095000  2.045000 0.355000 2.435000 ;
+      RECT 0.365000  0.265000 0.745000 0.715000 ;
+      RECT 0.515000  0.715000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.525000  2.225000 0.855000 2.635000 ;
+      RECT 0.925000  0.085000 1.155000 0.865000 ;
+      RECT 1.545000  1.525000 2.585000 1.725000 ;
+      RECT 1.545000  1.725000 1.735000 2.455000 ;
+      RECT 1.905000  1.905000 2.235000 2.635000 ;
+      RECT 2.325000  0.085000 2.655000 0.815000 ;
+      RECT 2.415000  1.725000 2.585000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_1
+MACRO sky130_fd_sc_hd__a21boi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.765000 2.170000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.340000 0.765000 2.615000 1.435000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.470000 1.200000 0.895000 1.955000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.392200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.200000 1.610000 1.655000 ;
+        RECT 1.065000 1.655000 1.305000 2.465000 ;
+        RECT 1.315000 0.255000 1.610000 1.200000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.280000 0.380000 0.780000 ;
+      RECT 0.095000  0.780000 1.145000 1.030000 ;
+      RECT 0.095000  1.030000 0.300000 2.085000 ;
+      RECT 0.095000  2.085000 0.355000 2.465000 ;
+      RECT 0.525000  2.175000 0.855000 2.635000 ;
+      RECT 0.550000  0.085000 1.145000 0.610000 ;
+      RECT 1.475000  1.825000 2.665000 2.005000 ;
+      RECT 1.475000  2.005000 1.805000 2.465000 ;
+      RECT 1.975000  2.175000 2.165000 2.635000 ;
+      RECT 2.335000  0.085000 2.665000 0.595000 ;
+      RECT 2.335000  2.005000 2.665000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_0
+MACRO sky130_fd_sc_hd__a21boi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.065000 4.970000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.030000 1.065000 3.375000 1.480000 ;
+        RECT 3.030000 1.480000 6.450000 1.705000 ;
+        RECT 5.205000 1.075000 6.450000 1.480000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.650000 1.615000 ;
+        RECT 0.480000 0.995000 0.650000 1.075000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 0.370000 1.465000 0.615000 ;
+        RECT 1.275000 0.615000 2.325000 0.695000 ;
+        RECT 1.275000 0.695000 4.885000 0.865000 ;
+        RECT 1.560000 1.585000 2.860000 1.705000 ;
+        RECT 1.560000 1.705000 2.725000 2.035000 ;
+        RECT 2.135000 0.255000 2.325000 0.615000 ;
+        RECT 2.570000 0.865000 4.885000 0.895000 ;
+        RECT 2.570000 0.895000 2.860000 1.585000 ;
+        RECT 3.255000 0.675000 4.885000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.615000 ;
+      RECT 0.090000  0.615000 1.105000 0.795000 ;
+      RECT 0.125000  1.785000 0.990000 2.005000 ;
+      RECT 0.125000  2.005000 0.455000 2.465000 ;
+      RECT 0.625000  2.175000 0.885000 2.635000 ;
+      RECT 0.720000  0.085000 1.105000 0.445000 ;
+      RECT 0.820000  0.795000 1.105000 1.035000 ;
+      RECT 0.820000  1.035000 2.400000 1.345000 ;
+      RECT 0.820000  1.345000 0.990000 1.785000 ;
+      RECT 1.160000  1.795000 1.355000 2.215000 ;
+      RECT 1.160000  2.215000 3.095000 2.465000 ;
+      RECT 1.635000  0.085000 1.965000 0.445000 ;
+      RECT 1.935000  2.205000 3.095000 2.215000 ;
+      RECT 2.495000  0.085000 3.085000 0.525000 ;
+      RECT 2.895000  1.875000 6.605000 2.105000 ;
+      RECT 2.895000  2.105000 3.095000 2.205000 ;
+      RECT 3.265000  0.255000 5.315000 0.505000 ;
+      RECT 3.265000  2.275000 3.595000 2.635000 ;
+      RECT 4.125000  2.275000 4.455000 2.635000 ;
+      RECT 4.625000  2.105000 4.815000 2.465000 ;
+      RECT 4.985000  2.275000 5.315000 2.635000 ;
+      RECT 5.055000  0.505000 5.315000 0.735000 ;
+      RECT 5.055000  0.735000 6.175000 0.905000 ;
+      RECT 5.485000  0.085000 5.675000 0.565000 ;
+      RECT 5.485000  2.105000 5.665000 2.465000 ;
+      RECT 5.845000  0.255000 6.175000 0.735000 ;
+      RECT 5.845000  2.275000 6.175000 2.635000 ;
+      RECT 6.345000  0.085000 6.605000 0.885000 ;
+      RECT 6.345000  2.105000 6.605000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21boi_4
+MACRO sky130_fd_sc_hd__sdfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.80000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.040000 0.275000 12.370000 0.825000 ;
+        RECT 12.040000 1.495000 12.370000 2.450000 ;
+        RECT 12.145000 0.825000 12.370000 1.055000 ;
+        RECT 12.145000 1.055000 13.210000 1.325000 ;
+        RECT 12.145000 1.325000 12.370000 1.495000 ;
+        RECT 12.880000 0.255000 13.210000 1.055000 ;
+        RECT 12.880000 1.325000 13.210000 2.465000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.800000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.990000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.800000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.800000 0.085000 ;
+      RECT  0.000000  2.635000 13.800000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.870000 0.825000 ;
+      RECT 11.515000  1.495000 11.870000 2.635000 ;
+      RECT 12.540000  0.085000 12.710000 0.885000 ;
+      RECT 12.540000  1.495000 12.710000 2.635000 ;
+      RECT 13.380000  0.085000 13.715000 0.885000 ;
+      RECT 13.380000  1.495000 13.715000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_4
+MACRO sky130_fd_sc_hd__sdfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.275000 12.335000 0.825000 ;
+        RECT 11.995000 1.495000 12.335000 2.450000 ;
+        RECT 12.145000 0.825000 12.335000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.515000  0.085000 11.825000 0.825000 ;
+      RECT 11.515000  1.790000 11.825000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_1
+MACRO sky130_fd_sc_hd__sdfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.519750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.035000 0.255000 12.365000 0.825000 ;
+        RECT 12.035000 1.495000 12.365000 2.450000 ;
+        RECT 12.145000 0.825000 12.365000 1.495000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.425000 9.135000 1.545000 ;
+        RECT 8.880000 1.545000 9.945000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.125000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.785000 2.635000 ;
+      RECT  0.870000  0.255000  1.625000 0.555000 ;
+      RECT  0.870000  0.555000  1.640000 0.575000 ;
+      RECT  0.870000  0.575000  1.650000 0.595000 ;
+      RECT  0.955000  2.025000  1.125000 2.255000 ;
+      RECT  0.955000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.845000  1.695000 2.085000 ;
+      RECT  1.380000  0.595000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.660000 0.620000 ;
+      RECT  1.440000  0.620000  1.665000 0.630000 ;
+      RECT  1.445000  0.630000  1.665000 0.635000 ;
+      RECT  1.460000  0.635000  1.665000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.660000 ;
+      RECT  1.475000  0.660000  1.675000 0.665000 ;
+      RECT  1.495000  0.665000  1.675000 0.705000 ;
+      RECT  1.505000  0.705000  1.675000 0.710000 ;
+      RECT  1.505000  0.710000  1.695000 1.845000 ;
+      RECT  1.825000  0.085000  2.090000 0.545000 ;
+      RECT  1.865000  0.715000  2.520000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.520000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.100000 0.555000 ;
+      RECT  2.690000  2.140000  2.985000 2.635000 ;
+      RECT  3.255000  1.830000  3.995000 1.990000 ;
+      RECT  3.255000  1.990000  3.985000 2.000000 ;
+      RECT  3.255000  2.000000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.625000  0.085000  3.955000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.125000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.615000  1.590000  4.915000 1.615000 ;
+      RECT  4.615000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.715000 1.275000 ;
+      RECT  5.035000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.645000  0.635000  6.535000 0.805000 ;
+      RECT  5.645000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.820000 2.105000 ;
+      RECT  5.945000  2.275000  6.330000 2.635000 ;
+      RECT  6.285000  0.255000  6.535000 0.635000 ;
+      RECT  6.305000  0.975000  7.715000 1.225000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.705000  0.085000  7.715000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.235000  1.670000  8.135000 1.955000 ;
+      RECT  7.355000  1.275000  7.715000 1.325000 ;
+      RECT  7.885000  0.720000  9.105000 0.905000 ;
+      RECT  7.885000  0.905000  8.135000 1.670000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.425000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.910000 0.545000 ;
+      RECT  8.820000  0.905000  9.105000 1.255000 ;
+      RECT  8.820000  1.895000 10.485000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.320000  0.855000  9.530000 1.195000 ;
+      RECT  9.320000  1.195000 10.915000 1.365000 ;
+      RECT  9.660000  2.065000  9.965000 2.450000 ;
+      RECT  9.710000  0.545000  9.910000 0.785000 ;
+      RECT  9.710000  0.785000 10.515000 1.015000 ;
+      RECT 10.115000  0.085000 10.365000 0.545000 ;
+      RECT 10.155000  1.605000 10.485000 1.895000 ;
+      RECT 10.155000  2.235000 10.485000 2.635000 ;
+      RECT 10.575000  0.255000 10.915000 0.585000 ;
+      RECT 10.655000  1.365000 10.915000 2.465000 ;
+      RECT 10.685000  0.585000 10.915000 1.195000 ;
+      RECT 11.085000  0.255000 11.345000 0.995000 ;
+      RECT 11.085000  0.995000 11.975000 1.325000 ;
+      RECT 11.085000  1.325000 11.345000 2.465000 ;
+      RECT 11.570000  0.085000 11.865000 0.825000 ;
+      RECT 11.570000  1.790000 11.820000 2.635000 ;
+      RECT 12.535000  0.085000 12.795000 0.885000 ;
+      RECT 12.535000  1.495000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfstp_2
+MACRO sky130_fd_sc_hd__dfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.865000 0.255000 10.125000 0.825000 ;
+        RECT 9.865000 1.445000 10.125000 2.465000 ;
+        RECT 9.910000 0.825000 10.125000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.840000 0.805000 ;
+      RECT 0.175000  1.795000  0.840000 1.965000 ;
+      RECT 0.175000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.240000 2.465000 ;
+      RECT 1.430000  0.635000  2.125000 0.825000 ;
+      RECT 1.430000  0.825000  1.600000 1.795000 ;
+      RECT 1.430000  1.795000  2.125000 1.965000 ;
+      RECT 1.455000  0.085000  1.785000 0.465000 ;
+      RECT 1.455000  2.135000  1.785000 2.635000 ;
+      RECT 1.955000  0.305000  2.125000 0.635000 ;
+      RECT 1.955000  1.965000  2.125000 2.465000 ;
+      RECT 2.350000  0.705000  2.570000 1.575000 ;
+      RECT 2.350000  1.575000  2.850000 1.955000 ;
+      RECT 2.360000  2.250000  3.190000 2.420000 ;
+      RECT 2.425000  0.265000  3.440000 0.465000 ;
+      RECT 2.750000  0.645000  3.100000 1.015000 ;
+      RECT 3.020000  1.195000  3.440000 1.235000 ;
+      RECT 3.020000  1.235000  4.370000 1.405000 ;
+      RECT 3.020000  1.405000  3.190000 2.250000 ;
+      RECT 3.270000  0.465000  3.440000 1.195000 ;
+      RECT 3.360000  1.575000  3.610000 1.835000 ;
+      RECT 3.360000  1.835000  4.710000 2.085000 ;
+      RECT 3.430000  2.255000  3.810000 2.635000 ;
+      RECT 3.610000  0.085000  4.020000 0.525000 ;
+      RECT 3.990000  2.085000  4.160000 2.375000 ;
+      RECT 4.120000  1.405000  4.370000 1.565000 ;
+      RECT 4.310000  0.295000  4.560000 0.725000 ;
+      RECT 4.310000  0.725000  4.710000 1.065000 ;
+      RECT 4.330000  2.255000  4.660000 2.635000 ;
+      RECT 4.540000  1.065000  4.710000 1.835000 ;
+      RECT 4.740000  0.085000  5.080000 0.545000 ;
+      RECT 4.900000  0.725000  6.150000 0.895000 ;
+      RECT 4.900000  0.895000  5.070000 1.655000 ;
+      RECT 4.900000  1.655000  5.400000 1.965000 ;
+      RECT 5.110000  2.165000  5.760000 2.415000 ;
+      RECT 5.240000  1.065000  5.420000 1.475000 ;
+      RECT 5.590000  1.235000  7.470000 1.405000 ;
+      RECT 5.590000  1.405000  5.760000 1.915000 ;
+      RECT 5.590000  1.915000  6.780000 2.085000 ;
+      RECT 5.590000  2.085000  5.760000 2.165000 ;
+      RECT 5.640000  0.305000  6.490000 0.475000 ;
+      RECT 5.820000  0.895000  6.150000 1.015000 ;
+      RECT 5.930000  1.575000  7.830000 1.745000 ;
+      RECT 5.930000  2.255000  6.340000 2.635000 ;
+      RECT 6.320000  0.475000  6.490000 1.235000 ;
+      RECT 6.540000  2.085000  6.780000 2.375000 ;
+      RECT 6.670000  0.085000  7.330000 0.565000 ;
+      RECT 7.010000  1.945000  7.340000 2.635000 ;
+      RECT 7.140000  1.175000  7.470000 1.235000 ;
+      RECT 7.510000  0.350000  7.830000 0.680000 ;
+      RECT 7.510000  1.745000  7.830000 1.765000 ;
+      RECT 7.510000  1.765000  7.680000 2.375000 ;
+      RECT 7.640000  0.680000  7.830000 1.575000 ;
+      RECT 8.020000  0.085000  8.200000 0.905000 ;
+      RECT 8.020000  1.480000  8.200000 2.635000 ;
+      RECT 8.890000  0.255000  9.220000 0.995000 ;
+      RECT 8.890000  0.995000  9.740000 1.325000 ;
+      RECT 8.890000  1.325000  9.220000 2.465000 ;
+      RECT 9.445000  0.085000  9.615000 0.585000 ;
+      RECT 9.445000  1.825000  9.615000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_1
+MACRO sky130_fd_sc_hd__dfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.150000 1.495000 10.915000 1.665000 ;
+        RECT 10.150000 1.665000 10.480000 2.465000 ;
+        RECT 10.230000 0.255000 10.480000 0.720000 ;
+        RECT 10.230000 0.720000 10.915000 0.825000 ;
+        RECT 10.345000 0.825000 10.915000 0.845000 ;
+        RECT 10.360000 0.845000 10.915000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.370000 0.255000 8.700000 2.465000 ;
+    END
+  END Q_N
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.900000  0.725000  6.150000 0.895000 ;
+      RECT  4.900000  0.895000  5.070000 1.655000 ;
+      RECT  4.900000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.760000 2.415000 ;
+      RECT  5.240000  1.065000  5.420000 1.475000 ;
+      RECT  5.590000  1.235000  7.470000 1.405000 ;
+      RECT  5.590000  1.405000  5.760000 1.915000 ;
+      RECT  5.590000  1.915000  6.780000 2.085000 ;
+      RECT  5.590000  2.085000  5.760000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.930000  1.575000  7.830000 1.745000 ;
+      RECT  5.930000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.830000 0.680000 ;
+      RECT  7.510000  1.745000  7.830000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.830000 1.575000 ;
+      RECT  8.020000  0.085000  8.200000 0.905000 ;
+      RECT  8.020000  1.480000  8.200000 2.635000 ;
+      RECT  8.870000  0.085000  9.120000 0.905000 ;
+      RECT  8.870000  1.480000  9.120000 2.635000 ;
+      RECT  9.310000  0.255000  9.560000 0.995000 ;
+      RECT  9.310000  0.995000 10.190000 1.325000 ;
+      RECT  9.310000  1.325000  9.640000 2.465000 ;
+      RECT  9.730000  0.085000 10.060000 0.825000 ;
+      RECT  9.810000  1.495000  9.980000 2.635000 ;
+      RECT 10.650000  0.085000 10.915000 0.550000 ;
+      RECT 10.650000  1.835000 10.915000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.245000  1.105000  5.415000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 5.435000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.475000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.185000 1.075000 5.475000 1.120000 ;
+      RECT 5.185000 1.260000 5.475000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfsbp_2
+MACRO sky130_fd_sc_hd__buf_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.280000 1.075000 1.185000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.255000 1.865000 0.735000 ;
+        RECT 1.695000 0.735000 3.545000 0.905000 ;
+        RECT 1.695000 1.445000 3.545000 1.615000 ;
+        RECT 1.695000 1.615000 1.865000 2.465000 ;
+        RECT 2.210000 0.905000 3.545000 1.445000 ;
+        RECT 2.535000 0.255000 2.705000 0.735000 ;
+        RECT 2.535000 1.615000 2.705000 2.465000 ;
+        RECT 3.375000 0.255000 3.545000 0.735000 ;
+        RECT 3.375000 1.615000 3.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.435000  0.085000 0.605000 0.565000 ;
+      RECT 0.435000  1.485000 0.605000 2.635000 ;
+      RECT 0.775000  0.255000 1.105000 0.735000 ;
+      RECT 0.775000  0.735000 1.525000 0.905000 ;
+      RECT 0.775000  1.485000 1.525000 1.655000 ;
+      RECT 0.775000  1.655000 1.105000 2.465000 ;
+      RECT 1.275000  0.085000 1.445000 0.565000 ;
+      RECT 1.275000  1.835000 1.515000 2.635000 ;
+      RECT 1.355000  0.905000 1.525000 1.075000 ;
+      RECT 1.355000  1.075000 1.825000 1.245000 ;
+      RECT 1.355000  1.245000 1.525000 1.485000 ;
+      RECT 2.035000  0.085000 2.365000 0.565000 ;
+      RECT 2.035000  1.835000 2.365000 2.635000 ;
+      RECT 2.875000  0.085000 3.205000 0.565000 ;
+      RECT 2.875000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.885000 ;
+      RECT 3.715000  1.485000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_6
+MACRO sky130_fd_sc_hd__buf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.985000 0.445000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.560000 1.295000 2.465000 ;
+        RECT 1.035000 0.255000 1.295000 0.760000 ;
+        RECT 1.115000 0.760000 1.295000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.165000  1.535000 0.840000 1.705000 ;
+      RECT 0.165000  1.705000 0.345000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.670000  0.805000 0.840000 1.060000 ;
+      RECT 0.670000  1.060000 0.945000 1.390000 ;
+      RECT 0.670000  1.390000 0.840000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_1
+MACRO sky130_fd_sc_hd__buf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 2.485000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 0.255000  3.285000 0.260000 ;
+        RECT 3.035000 0.260000  3.365000 0.735000 ;
+        RECT 3.035000 0.735000 10.035000 0.905000 ;
+        RECT 3.035000 1.445000 10.035000 1.615000 ;
+        RECT 3.035000 1.615000  3.365000 2.465000 ;
+        RECT 3.875000 0.260000  4.205000 0.735000 ;
+        RECT 3.875000 1.615000  4.205000 2.465000 ;
+        RECT 3.955000 0.255000  4.125000 0.260000 ;
+        RECT 4.715000 0.260000  5.045000 0.735000 ;
+        RECT 4.715000 1.615000  5.045000 2.465000 ;
+        RECT 4.795000 0.255000  4.965000 0.260000 ;
+        RECT 5.555000 0.260000  5.885000 0.735000 ;
+        RECT 5.555000 1.615000  5.885000 2.465000 ;
+        RECT 6.395000 0.260000  6.725000 0.735000 ;
+        RECT 6.395000 1.615000  6.725000 2.465000 ;
+        RECT 7.235000 0.260000  7.565000 0.735000 ;
+        RECT 7.235000 1.615000  7.565000 2.465000 ;
+        RECT 8.075000 0.260000  8.405000 0.735000 ;
+        RECT 8.075000 1.615000  8.405000 2.465000 ;
+        RECT 8.915000 0.260000  9.245000 0.735000 ;
+        RECT 8.915000 1.615000  9.245000 2.465000 ;
+        RECT 9.655000 0.905000 10.035000 1.445000 ;
+        RECT 9.760000 0.365000 10.035000 0.735000 ;
+        RECT 9.760000 1.615000 10.035000 2.360000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.905000 ;
+      RECT 0.175000  1.445000  0.345000 2.635000 ;
+      RECT 0.515000  0.260000  0.845000 0.735000 ;
+      RECT 0.515000  0.735000  2.865000 0.905000 ;
+      RECT 0.515000  1.445000  2.865000 1.615000 ;
+      RECT 0.515000  1.615000  0.845000 2.465000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.355000  0.260000  1.685000 0.735000 ;
+      RECT 1.355000  1.615000  1.685000 2.465000 ;
+      RECT 1.855000  0.085000  2.025000 0.565000 ;
+      RECT 1.855000  1.835000  2.025000 2.635000 ;
+      RECT 2.195000  0.260000  2.525000 0.735000 ;
+      RECT 2.195000  1.615000  2.525000 2.465000 ;
+      RECT 2.690000  0.905000  2.865000 1.075000 ;
+      RECT 2.690000  1.075000  9.410000 1.275000 ;
+      RECT 2.690000  1.275000  2.865000 1.445000 ;
+      RECT 2.695000  0.085000  2.865000 0.565000 ;
+      RECT 2.695000  1.835000  2.865000 2.635000 ;
+      RECT 3.535000  0.085000  3.705000 0.565000 ;
+      RECT 3.535000  1.835000  3.705000 2.635000 ;
+      RECT 4.375000  0.085000  4.545000 0.565000 ;
+      RECT 4.375000  1.835000  4.545000 2.635000 ;
+      RECT 5.215000  0.085000  5.385000 0.565000 ;
+      RECT 5.215000  1.835000  5.385000 2.635000 ;
+      RECT 6.055000  0.085000  6.225000 0.565000 ;
+      RECT 6.055000  1.835000  6.225000 2.635000 ;
+      RECT 6.895000  0.085000  7.065000 0.565000 ;
+      RECT 6.895000  1.835000  7.065000 2.635000 ;
+      RECT 7.735000  0.085000  7.905000 0.565000 ;
+      RECT 7.735000  1.835000  7.905000 2.635000 ;
+      RECT 8.575000  0.085000  8.745000 0.565000 ;
+      RECT 8.575000  1.835000  8.745000 2.635000 ;
+      RECT 9.415000  0.085000  9.585000 0.565000 ;
+      RECT 9.415000  1.835000  9.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_16
+MACRO sky130_fd_sc_hd__buf_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.075000 1.660000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.275000 0.255000 2.445000 0.735000 ;
+        RECT 2.275000 0.735000 6.645000 0.905000 ;
+        RECT 2.275000 1.445000 6.645000 1.615000 ;
+        RECT 2.275000 1.615000 2.445000 2.465000 ;
+        RECT 3.115000 0.255000 3.285000 0.735000 ;
+        RECT 3.115000 1.615000 3.285000 2.465000 ;
+        RECT 3.955000 0.255000 4.125000 0.735000 ;
+        RECT 3.955000 1.615000 4.125000 2.465000 ;
+        RECT 4.710000 0.905000 6.645000 1.445000 ;
+        RECT 4.795000 0.255000 4.965000 0.735000 ;
+        RECT 4.795000 1.615000 4.965000 2.465000 ;
+        RECT 5.635000 0.255000 5.805000 0.735000 ;
+        RECT 5.635000 1.615000 5.805000 2.465000 ;
+        RECT 6.475000 0.255000 6.645000 0.735000 ;
+        RECT 6.475000 1.615000 6.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.570000 -0.085000 0.740000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.565000 ;
+      RECT 0.175000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.445000 2.015000 1.615000 ;
+      RECT 0.515000  1.615000 0.845000 2.465000 ;
+      RECT 0.595000  0.255000 0.765000 0.735000 ;
+      RECT 0.595000  0.735000 2.015000 0.905000 ;
+      RECT 0.935000  0.085000 1.265000 0.565000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  1.615000 1.685000 2.465000 ;
+      RECT 1.435000  0.260000 1.605000 0.735000 ;
+      RECT 1.775000  0.085000 2.105000 0.565000 ;
+      RECT 1.840000  0.905000 2.015000 1.075000 ;
+      RECT 1.840000  1.075000 4.465000 1.245000 ;
+      RECT 1.840000  1.245000 2.015000 1.445000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.565000 ;
+      RECT 2.615000  1.835000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.565000 ;
+      RECT 3.455000  1.835000 3.785000 2.635000 ;
+      RECT 4.295000  0.085000 4.625000 0.565000 ;
+      RECT 4.295000  1.835000 4.625000 2.635000 ;
+      RECT 5.135000  0.085000 5.465000 0.565000 ;
+      RECT 5.135000  1.835000 5.465000 2.635000 ;
+      RECT 5.975000  0.085000 6.305000 0.565000 ;
+      RECT 5.975000  1.835000 6.305000 2.635000 ;
+      RECT 6.815000  0.085000 7.145000 0.885000 ;
+      RECT 6.815000  1.485000 7.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_12
+MACRO sky130_fd_sc_hd__buf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.440000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 0.255000 1.315000 0.830000 ;
+        RECT 1.060000 1.560000 1.315000 2.465000 ;
+        RECT 1.145000 0.830000 1.315000 1.560000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.890000 0.805000 ;
+      RECT 0.175000  1.535000 0.890000 1.705000 ;
+      RECT 0.175000  1.705000 0.345000 2.465000 ;
+      RECT 0.560000  0.085000 0.890000 0.465000 ;
+      RECT 0.560000  1.875000 0.890000 2.635000 ;
+      RECT 0.720000  0.805000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 0.975000 1.325000 ;
+      RECT 0.720000  1.325000 0.890000 1.535000 ;
+      RECT 1.490000  0.085000 1.750000 0.925000 ;
+      RECT 1.490000  1.485000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_2
+MACRO sky130_fd_sc_hd__buf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 0.735000 4.545000 0.905000 ;
+        RECT 1.855000 1.445000 4.545000 1.615000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+        RECT 2.695000 0.255000 2.865000 0.735000 ;
+        RECT 2.695000 1.615000 2.865000 2.465000 ;
+        RECT 3.535000 0.255000 3.705000 0.735000 ;
+        RECT 3.535000 1.615000 3.705000 2.465000 ;
+        RECT 4.290000 0.905000 4.545000 1.445000 ;
+        RECT 4.375000 0.255000 4.545000 0.735000 ;
+        RECT 4.375000 1.615000 4.545000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_8
+MACRO sky130_fd_sc_hd__buf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__buf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.470000 1.315000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 0.255000 1.185000 0.735000 ;
+        RECT 1.015000 0.735000 2.025000 0.905000 ;
+        RECT 1.015000 1.445000 2.025000 1.615000 ;
+        RECT 1.015000 1.615000 1.185000 2.465000 ;
+        RECT 1.530000 0.905000 2.025000 1.445000 ;
+        RECT 1.855000 0.255000 2.025000 0.735000 ;
+        RECT 1.855000 1.615000 2.025000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  1.485000 0.810000 1.655000 ;
+      RECT 0.095000  1.655000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 0.810000 0.905000 ;
+      RECT 0.525000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  1.835000 0.835000 2.635000 ;
+      RECT 0.640000  0.905000 0.810000 1.075000 ;
+      RECT 0.640000  1.075000 1.140000 1.245000 ;
+      RECT 0.640000  1.245000 0.810000 1.485000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.355000  1.835000 1.685000 2.635000 ;
+      RECT 2.195000  0.085000 2.525000 0.885000 ;
+      RECT 2.195000  1.485000 2.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__buf_4
+MACRO sky130_fd_sc_hd__a211oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.035000 3.080000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.035000 4.500000 1.285000 ;
+        RECT 4.175000 1.285000 4.500000 1.655000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.035000 1.785000 1.285000 ;
+        RECT 1.035000 1.285000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.405000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.826000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.575000 0.255000 0.835000 0.655000 ;
+        RECT 0.575000 0.655000 3.145000 0.855000 ;
+        RECT 0.575000 0.855000 0.855000 1.785000 ;
+        RECT 0.575000 1.785000 0.905000 2.105000 ;
+        RECT 1.505000 0.285000 1.695000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.145000  0.085000 0.395000 0.815000 ;
+      RECT 0.145000  1.785000 0.405000 2.285000 ;
+      RECT 0.145000  2.285000 2.215000 2.455000 ;
+      RECT 1.005000  0.085000 1.335000 0.475000 ;
+      RECT 1.075000  1.785000 1.265000 2.255000 ;
+      RECT 1.075000  2.255000 2.215000 2.285000 ;
+      RECT 1.435000  1.455000 3.975000 1.655000 ;
+      RECT 1.435000  1.655000 1.765000 2.075000 ;
+      RECT 1.865000  0.085000 2.195000 0.475000 ;
+      RECT 1.935000  1.835000 2.215000 2.255000 ;
+      RECT 2.385000  0.265000 3.495000 0.475000 ;
+      RECT 2.435000  1.835000 2.665000 2.635000 ;
+      RECT 2.845000  1.655000 3.115000 2.465000 ;
+      RECT 3.295000  1.835000 3.525000 2.635000 ;
+      RECT 3.325000  0.475000 3.495000 0.635000 ;
+      RECT 3.325000  0.635000 4.435000 0.855000 ;
+      RECT 3.675000  0.085000 4.005000 0.455000 ;
+      RECT 3.705000  1.655000 3.975000 2.465000 ;
+      RECT 4.155000  1.835000 4.385000 2.635000 ;
+      RECT 4.185000  0.265000 4.435000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_2
+MACRO sky130_fd_sc_hd__a211oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.265000 0.855000 0.995000 ;
+        RECT 0.605000 0.995000 1.245000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.765000 0.435000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+        RECT 1.525000 1.325000 1.755000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 2.235000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.619250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.180000 0.265000 1.365000 0.625000 ;
+        RECT 1.180000 0.625000 2.660000 0.815000 ;
+        RECT 1.935000 1.785000 2.660000 2.455000 ;
+        RECT 2.055000 0.265000 2.280000 0.625000 ;
+        RECT 2.445000 0.815000 2.660000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.595000 ;
+      RECT 0.250000  1.525000 1.355000 1.725000 ;
+      RECT 0.250000  1.725000 0.500000 2.455000 ;
+      RECT 0.670000  1.905000 1.000000 2.635000 ;
+      RECT 1.170000  1.725000 1.355000 2.455000 ;
+      RECT 1.545000  0.085000 1.875000 0.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_1
+MACRO sky130_fd_sc_hd__a211oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.075000 3.005000 1.245000 ;
+        RECT 1.660000 1.035000 3.005000 1.075000 ;
+        RECT 1.660000 1.245000 3.005000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.035000 1.385000 1.445000 ;
+        RECT 0.100000 1.445000 3.575000 1.625000 ;
+        RECT 3.245000 1.035000 3.575000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.745000 1.035000 4.755000 1.275000 ;
+        RECT 3.745000 1.275000 4.460000 1.615000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.445000 4.000000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.590000 0.995000 6.935000 1.325000 ;
+        RECT 6.590000 1.325000 6.760000 1.615000 ;
+      LAYER mcon ;
+        RECT 6.590000 1.445000 6.760000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.770000 1.415000 4.060000 1.460000 ;
+        RECT 3.770000 1.460000 6.820000 1.600000 ;
+        RECT 3.770000 1.600000 4.060000 1.645000 ;
+        RECT 6.530000 1.415000 6.820000 1.460000 ;
+        RECT 6.530000 1.600000 6.820000 1.645000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.000000 1.035000 6.350000 1.275000 ;
+        RECT 6.130000 1.275000 6.350000 1.695000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.685000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.675000 3.330000 0.695000 ;
+        RECT 1.775000 0.695000 7.275000 0.825000 ;
+        RECT 1.775000 0.825000 6.355000 0.865000 ;
+        RECT 3.875000 0.255000 4.195000 0.615000 ;
+        RECT 3.875000 0.615000 5.045000 0.625000 ;
+        RECT 3.875000 0.625000 7.275000 0.695000 ;
+        RECT 4.875000 0.255000 5.045000 0.615000 ;
+        RECT 5.170000 1.865000 7.275000 2.085000 ;
+        RECT 5.715000 0.255000 5.885000 0.615000 ;
+        RECT 5.715000 0.615000 7.275000 0.625000 ;
+        RECT 6.930000 1.495000 7.275000 1.865000 ;
+        RECT 7.105000 0.825000 7.275000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.395000 0.585000 ;
+      RECT 0.095000  1.795000 3.705000 2.085000 ;
+      RECT 0.095000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.565000  0.530000 0.775000 0.695000 ;
+      RECT 0.565000  0.695000 1.605000 0.865000 ;
+      RECT 0.950000  0.085000 1.185000 0.525000 ;
+      RECT 1.015000  2.085000 3.705000 2.105000 ;
+      RECT 1.015000  2.105000 1.185000 2.465000 ;
+      RECT 1.355000  0.255000 3.365000 0.505000 ;
+      RECT 1.355000  0.505000 1.605000 0.695000 ;
+      RECT 1.355000  2.275000 1.685000 2.635000 ;
+      RECT 1.855000  2.105000 2.025000 2.465000 ;
+      RECT 2.195000  2.275000 2.525000 2.635000 ;
+      RECT 2.695000  2.105000 2.865000 2.465000 ;
+      RECT 3.035000  2.275000 3.365000 2.635000 ;
+      RECT 3.535000  0.085000 3.705000 0.525000 ;
+      RECT 3.535000  2.105000 3.705000 2.255000 ;
+      RECT 3.535000  2.255000 7.270000 2.465000 ;
+      RECT 3.875000  1.785000 4.910000 2.085000 ;
+      RECT 4.365000  0.085000 4.695000 0.445000 ;
+      RECT 4.630000  1.445000 5.960000 1.695000 ;
+      RECT 4.630000  1.695000 4.910000 1.785000 ;
+      RECT 5.215000  0.085000 5.545000 0.445000 ;
+      RECT 6.055000  0.085000 6.385000 0.445000 ;
+      RECT 6.915000  0.085000 7.270000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211oi_4
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.480000 1.285000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.390500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.185000 0.270000 3.625000 0.640000 ;
+        RECT 3.185000 1.530000 3.625000 2.465000 ;
+        RECT 3.345000 0.640000 3.625000 1.530000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.270000 0.905000 ;
+      RECT 0.085000  1.455000 1.270000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.765000  1.075000 1.435000 1.245000 ;
+      RECT 0.850000  0.905000 1.270000 1.075000 ;
+      RECT 0.850000  1.245000 1.270000 1.455000 ;
+      RECT 1.390000  1.785000 1.795000 2.465000 ;
+      RECT 1.440000  0.270000 1.795000 0.900000 ;
+      RECT 1.625000  0.900000 1.795000 1.075000 ;
+      RECT 1.625000  1.075000 2.305000 1.245000 ;
+      RECT 1.625000  1.245000 1.795000 1.785000 ;
+      RECT 1.985000  0.270000 2.235000 0.735000 ;
+      RECT 1.985000  0.735000 2.645000 0.905000 ;
+      RECT 1.985000  1.460000 2.645000 1.630000 ;
+      RECT 1.985000  1.630000 2.235000 2.465000 ;
+      RECT 2.475000  0.905000 2.645000 0.995000 ;
+      RECT 2.475000  0.995000 3.175000 1.325000 ;
+      RECT 2.475000  1.325000 2.645000 1.460000 ;
+      RECT 2.685000  0.085000 3.015000 0.565000 ;
+      RECT 2.685000  1.800000 3.015000 2.635000 ;
+      RECT 3.795000  0.085000 4.055000 0.635000 ;
+      RECT 3.795000  1.800000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.535000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.504100 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.255000 3.595000 0.640000 ;
+        RECT 3.190000 1.690000 3.595000 2.465000 ;
+        RECT 3.345000 0.640000 3.595000 1.690000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.705000  0.905000 1.055000 1.025000 ;
+      RECT 0.705000  1.025000 1.135000 1.315000 ;
+      RECT 0.705000  1.315000 1.055000 1.460000 ;
+      RECT 1.380000  0.255000 1.730000 1.070000 ;
+      RECT 1.380000  1.070000 2.240000 1.320000 ;
+      RECT 1.380000  1.320000 1.730000 2.465000 ;
+      RECT 1.990000  0.255000 2.240000 0.730000 ;
+      RECT 1.990000  0.730000 2.580000 0.900000 ;
+      RECT 1.990000  1.495000 2.580000 1.665000 ;
+      RECT 1.990000  1.665000 2.240000 2.465000 ;
+      RECT 2.410000  0.900000 2.580000 0.995000 ;
+      RECT 2.410000  0.995000 3.175000 1.325000 ;
+      RECT 2.410000  1.325000 2.580000 1.495000 ;
+      RECT 2.690000  0.085000 3.020000 0.600000 ;
+      RECT 2.690000  1.835000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s50_1
+MACRO sky130_fd_sc_hd__a2111o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.495000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 1.075000 5.625000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.975000 3.255000 1.285000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.975000 2.280000 1.285000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.370000 1.625000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.165000 0.255000 6.355000 0.635000 ;
+        RECT 6.165000 0.635000 7.735000 0.805000 ;
+        RECT 6.165000 1.465000 7.735000 1.635000 ;
+        RECT 6.165000 1.635000 7.215000 1.715000 ;
+        RECT 6.165000 1.715000 6.355000 2.465000 ;
+        RECT 7.025000 0.255000 7.215000 0.635000 ;
+        RECT 7.025000 1.715000 7.215000 2.465000 ;
+        RECT 7.490000 0.805000 7.735000 1.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.110000  1.795000 0.370000 2.295000 ;
+      RECT 0.110000  2.295000 2.160000 2.465000 ;
+      RECT 0.180000  0.255000 0.440000 0.635000 ;
+      RECT 0.180000  0.635000 3.655000 0.805000 ;
+      RECT 0.540000  0.805000 0.870000 2.125000 ;
+      RECT 0.610000  0.085000 0.940000 0.465000 ;
+      RECT 1.040000  1.455000 1.230000 2.295000 ;
+      RECT 1.110000  0.255000 1.340000 0.615000 ;
+      RECT 1.110000  0.615000 3.655000 0.635000 ;
+      RECT 1.400000  1.455000 3.100000 1.625000 ;
+      RECT 1.400000  1.625000 1.730000 2.125000 ;
+      RECT 1.510000  0.085000 1.840000 0.445000 ;
+      RECT 1.900000  1.795000 2.160000 2.295000 ;
+      RECT 2.015000  0.255000 2.240000 0.615000 ;
+      RECT 2.340000  1.795000 2.675000 2.295000 ;
+      RECT 2.340000  2.295000 3.650000 2.465000 ;
+      RECT 2.420000  0.085000 3.295000 0.445000 ;
+      RECT 2.845000  1.625000 3.100000 2.125000 ;
+      RECT 3.320000  1.795000 5.495000 1.995000 ;
+      RECT 3.320000  1.995000 3.650000 2.295000 ;
+      RECT 3.465000  0.255000 4.585000 0.445000 ;
+      RECT 3.465000  0.445000 3.655000 0.615000 ;
+      RECT 3.465000  0.805000 3.655000 1.445000 ;
+      RECT 3.465000  1.445000 5.975000 1.625000 ;
+      RECT 3.825000  0.615000 5.495000 0.785000 ;
+      RECT 3.865000  2.165000 4.195000 2.635000 ;
+      RECT 4.365000  1.995000 4.625000 2.415000 ;
+      RECT 4.805000  0.085000 5.140000 0.445000 ;
+      RECT 4.805000  2.255000 5.140000 2.635000 ;
+      RECT 5.310000  0.255000 5.495000 0.615000 ;
+      RECT 5.310000  1.995000 5.495000 2.465000 ;
+      RECT 5.665000  0.085000 5.995000 0.515000 ;
+      RECT 5.665000  1.800000 5.995000 2.635000 ;
+      RECT 5.795000  1.075000 7.320000 1.245000 ;
+      RECT 5.795000  1.245000 5.975000 1.445000 ;
+      RECT 6.525000  0.085000 6.855000 0.445000 ;
+      RECT 6.525000  1.885000 6.855000 2.635000 ;
+      RECT 7.385000  0.085000 7.715000 0.465000 ;
+      RECT 7.385000  1.805000 7.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_4
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.565000  1.815000 0.895000 2.635000 ;
+      RECT 0.635000  0.085000 1.310000 0.470000 ;
+      RECT 0.695000  0.650000 1.915000 0.655000 ;
+      RECT 0.695000  0.655000 2.805000 0.825000 ;
+      RECT 0.695000  0.825000 0.915000 1.465000 ;
+      RECT 0.695000  1.465000 1.345000 1.645000 ;
+      RECT 1.135000  1.645000 1.345000 2.460000 ;
+      RECT 1.585000  0.260000 1.915000 0.650000 ;
+      RECT 2.085000  0.085000 2.430000 0.485000 ;
+      RECT 2.600000  0.260000 2.805000 0.655000 ;
+      RECT 2.860000  1.495000 3.990000 1.665000 ;
+      RECT 2.860000  1.665000 3.145000 2.460000 ;
+      RECT 3.325000  1.835000 3.540000 2.635000 ;
+      RECT 3.715000  0.085000 3.955000 0.760000 ;
+      RECT 3.720000  1.665000 3.990000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.435000 0.885000 ;
+      RECT 0.085000  1.635000 0.435000 2.635000 ;
+      RECT 1.065000  0.085000 2.010000 0.445000 ;
+      RECT 1.065000  0.445000 1.325000 0.865000 ;
+      RECT 1.065000  1.075000 1.705000 1.325000 ;
+      RECT 1.065000  1.495000 1.315000 2.635000 ;
+      RECT 1.495000  0.615000 3.335000 0.785000 ;
+      RECT 1.495000  0.785000 1.705000 1.075000 ;
+      RECT 1.495000  1.325000 1.705000 1.495000 ;
+      RECT 1.495000  1.495000 1.785000 2.465000 ;
+      RECT 2.180000  0.255000 2.420000 0.615000 ;
+      RECT 2.590000  0.085000 2.920000 0.445000 ;
+      RECT 3.070000  1.915000 4.515000 2.085000 ;
+      RECT 3.070000  2.085000 3.400000 2.465000 ;
+      RECT 3.090000  0.255000 3.335000 0.615000 ;
+      RECT 3.590000  2.255000 3.920000 2.635000 ;
+      RECT 4.090000  2.085000 4.515000 2.465000 ;
+      RECT 4.165000  0.085000 4.515000 0.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
+MACRO sky130_fd_sc_hd__o221a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.635000 1.075000 3.075000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.075000 2.465000 1.285000 ;
+        RECT 1.980000 1.285000 2.285000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 1.075000 1.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.400000 1.075000 1.790000 1.275000 ;
+        RECT 1.500000 1.275000 1.790000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.345000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.295000 0.265000 3.625000 0.735000 ;
+        RECT 3.295000 0.735000 4.055000 0.905000 ;
+        RECT 3.295000 1.875000 4.055000 2.045000 ;
+        RECT 3.295000 2.045000 3.545000 2.465000 ;
+        RECT 3.745000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.170000  0.255000 0.500000 0.635000 ;
+      RECT 0.170000  0.635000 0.715000 0.805000 ;
+      RECT 0.250000  1.495000 1.330000 1.670000 ;
+      RECT 0.250000  1.670000 0.580000 2.465000 ;
+      RECT 0.545000  0.805000 0.715000 1.445000 ;
+      RECT 0.545000  1.445000 1.330000 1.495000 ;
+      RECT 0.670000  0.295000 1.855000 0.465000 ;
+      RECT 0.750000  1.850000 0.990000 2.635000 ;
+      RECT 1.085000  0.645000 1.470000 0.735000 ;
+      RECT 1.085000  0.735000 2.785000 0.905000 ;
+      RECT 1.160000  1.670000 1.330000 1.875000 ;
+      RECT 1.160000  1.875000 2.625000 2.045000 ;
+      RECT 1.550000  2.045000 2.305000 2.465000 ;
+      RECT 2.115000  0.085000 2.285000 0.555000 ;
+      RECT 2.455000  0.270000 2.785000 0.735000 ;
+      RECT 2.455000  1.455000 3.415000 1.625000 ;
+      RECT 2.455000  1.625000 2.625000 1.875000 ;
+      RECT 2.795000  1.795000 3.125000 2.635000 ;
+      RECT 2.955000  0.085000 3.125000 0.905000 ;
+      RECT 3.245000  1.075000 3.575000 1.285000 ;
+      RECT 3.245000  1.285000 3.415000 1.455000 ;
+      RECT 3.715000  2.215000 4.055000 2.635000 ;
+      RECT 3.795000  0.085000 3.965000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_2
+MACRO sky130_fd_sc_hd__o221a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.130000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 1.075000 2.490000 1.285000 ;
+        RECT 2.005000 1.285000 2.380000 1.705000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.925000 1.075000 1.255000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.815000 1.325000 ;
+        RECT 1.495000 1.325000 1.815000 1.705000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.415000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 0.265000 4.055000 0.905000 ;
+        RECT 3.390000 1.875000 4.055000 2.465000 ;
+        RECT 3.805000 0.905000 4.055000 1.875000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.240000  1.455000 1.325000 1.625000 ;
+      RECT 0.240000  1.625000 0.540000 2.465000 ;
+      RECT 0.245000  0.255000 0.575000 0.645000 ;
+      RECT 0.245000  0.645000 0.755000 0.825000 ;
+      RECT 0.585000  0.825000 0.755000 1.455000 ;
+      RECT 0.735000  1.795000 0.985000 2.635000 ;
+      RECT 0.745000  0.305000 1.930000 0.475000 ;
+      RECT 1.155000  1.625000 1.325000 1.875000 ;
+      RECT 1.155000  1.875000 2.720000 2.045000 ;
+      RECT 1.160000  0.645000 1.545000 0.735000 ;
+      RECT 1.160000  0.735000 2.860000 0.905000 ;
+      RECT 1.575000  2.045000 2.380000 2.465000 ;
+      RECT 2.190000  0.085000 2.360000 0.555000 ;
+      RECT 2.530000  0.270000 2.860000 0.735000 ;
+      RECT 2.550000  1.455000 3.470000 1.625000 ;
+      RECT 2.550000  1.625000 2.720000 1.875000 ;
+      RECT 2.890000  1.795000 3.220000 2.635000 ;
+      RECT 3.030000  0.085000 3.200000 0.905000 ;
+      RECT 3.300000  1.075000 3.635000 1.285000 ;
+      RECT 3.300000  1.285000 3.470000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_1
+MACRO sky130_fd_sc_hd__o221a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.005000 1.075000 3.605000 1.445000 ;
+        RECT 3.005000 1.445000 4.775000 1.615000 ;
+        RECT 4.525000 1.075000 5.035000 1.275000 ;
+        RECT 4.525000 1.275000 4.775000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 4.355000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 1.075000 1.520000 1.445000 ;
+        RECT 1.025000 1.445000 2.745000 1.615000 ;
+        RECT 2.415000 1.075000 2.745000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.690000 1.075000 2.245000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.405000 0.735000 ;
+        RECT 5.235000 0.735000 6.920000 0.905000 ;
+        RECT 5.315000 1.785000 5.900000 1.955000 ;
+        RECT 5.315000 1.955000 5.525000 2.465000 ;
+        RECT 5.730000 1.445000 6.920000 1.615000 ;
+        RECT 5.730000 1.615000 5.900000 1.785000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 1.615000 6.365000 2.465000 ;
+        RECT 6.575000 0.905000 6.920000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 2.955000 0.475000 ;
+      RECT 0.085000  0.475000 0.345000 0.895000 ;
+      RECT 0.145000  1.455000 0.395000 2.635000 ;
+      RECT 0.515000  0.645000 0.845000 0.865000 ;
+      RECT 0.565000  1.445000 0.845000 1.785000 ;
+      RECT 0.565000  1.785000 5.145000 1.955000 ;
+      RECT 0.565000  1.955000 0.815000 2.465000 ;
+      RECT 0.610000  0.865000 0.845000 1.445000 ;
+      RECT 0.985000  2.125000 1.235000 2.635000 ;
+      RECT 1.015000  0.475000 1.185000 0.905000 ;
+      RECT 1.355000  0.645000 2.535000 0.715000 ;
+      RECT 1.355000  0.715000 3.885000 0.725000 ;
+      RECT 1.355000  0.725000 4.725000 0.905000 ;
+      RECT 1.405000  2.125000 1.655000 2.295000 ;
+      RECT 1.405000  2.295000 2.495000 2.465000 ;
+      RECT 1.825000  1.955000 2.075000 2.125000 ;
+      RECT 2.245000  2.125000 2.495000 2.295000 ;
+      RECT 2.665000  2.125000 3.425000 2.635000 ;
+      RECT 3.145000  0.085000 3.385000 0.545000 ;
+      RECT 3.555000  0.255000 3.885000 0.715000 ;
+      RECT 3.595000  2.125000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.955000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  2.125000 4.685000 2.295000 ;
+      RECT 4.855000  2.125000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.905000 ;
+      RECT 4.975000  1.445000 5.375000 1.615000 ;
+      RECT 4.975000  1.615000 5.145000 1.785000 ;
+      RECT 5.205000  1.075000 6.405000 1.275000 ;
+      RECT 5.205000  1.275000 5.375000 1.445000 ;
+      RECT 5.695000  2.125000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.830000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221a_4
+MACRO sky130_fd_sc_hd__clkinvlp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.330000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.425000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.714000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 1.215000 0.680000 ;
+        RECT 0.595000 0.680000 0.955000 1.015000 ;
+        RECT 0.595000 1.015000 2.015000 1.295000 ;
+        RECT 0.595000 1.295000 0.955000 2.465000 ;
+        RECT 1.685000 1.295000 2.015000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.575000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 1.155000  1.465000 1.485000 2.635000 ;
+      RECT 1.675000  0.085000 2.005000 0.775000 ;
+      RECT 2.215000  1.465000 2.545000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_4
+MACRO sky130_fd_sc_hd__clkinvlp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.665000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.600000 1.665000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.436750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.810000 0.315000 1.445000 0.750000 ;
+        RECT 0.810000 0.750000 1.235000 2.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.225000  1.835000 0.555000 2.625000 ;
+      RECT 0.225000  2.625000 1.740000 2.635000 ;
+      RECT 0.295000  0.085000 0.625000 0.745000 ;
+      RECT 1.440000  1.455000 1.740000 2.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinvlp_2
+MACRO sky130_fd_sc_hd__nor3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 2.640000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.030000 1.075000 4.515000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.105000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 2.815000 0.905000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.480000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.375000  1.455000 2.645000 2.295000 ;
+      RECT 3.235000  1.075000 3.860000 1.285000 ;
+      RECT 3.235000  1.455000 3.480000 2.295000 ;
+      RECT 3.275000  0.085000 3.480000 0.895000 ;
+      RECT 3.690000  0.380000 4.045000 0.905000 ;
+      RECT 3.690000  0.905000 3.860000 1.075000 ;
+      RECT 3.690000  1.285000 3.860000 1.455000 ;
+      RECT 3.690000  1.455000 4.045000 1.870000 ;
+      RECT 4.215000  0.085000 4.505000 0.825000 ;
+      RECT 4.215000  1.540000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_2
+MACRO sky130_fd_sc_hd__nor3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.475000 0.995000 1.815000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.995000 1.305000 1.615000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.335000 1.615000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  0.716500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 0.655000 ;
+        RECT 0.085000 0.655000 1.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.255000 1.445000 ;
+        RECT 0.085000 1.445000 0.545000 2.455000 ;
+        RECT 1.275000 0.310000 1.445000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.425000  1.075000 0.885000 1.245000 ;
+      RECT 0.715000  1.245000 0.885000 1.785000 ;
+      RECT 0.715000  1.785000 2.675000 1.955000 ;
+      RECT 0.775000  0.085000 1.105000 0.485000 ;
+      RECT 1.615000  0.085000 1.945000 0.825000 ;
+      RECT 1.615000  2.125000 1.945000 2.635000 ;
+      RECT 2.180000  0.405000 2.350000 0.655000 ;
+      RECT 2.180000  0.655000 2.675000 0.825000 ;
+      RECT 2.505000  0.825000 2.675000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_1
+MACRO sky130_fd_sc_hd__nor3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.075000 2.690000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.035000 1.075000 4.300000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.285000 ;
+    END
+  END C_N
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.285000 0.725000 ;
+        RECT 0.955000 0.725000 6.760000 0.905000 ;
+        RECT 1.795000 0.255000 2.125000 0.725000 ;
+        RECT 3.155000 0.255000 3.485000 0.725000 ;
+        RECT 3.995000 0.255000 4.325000 0.725000 ;
+        RECT 4.835000 0.255000 5.165000 0.725000 ;
+        RECT 4.875000 1.455000 6.760000 1.625000 ;
+        RECT 4.875000 1.625000 5.125000 2.125000 ;
+        RECT 5.675000 0.255000 6.005000 0.725000 ;
+        RECT 5.715000 1.625000 5.965000 2.125000 ;
+        RECT 6.420000 0.905000 6.760000 1.455000 ;
+        RECT 6.515000 0.315000 6.760000 0.725000 ;
+        RECT 6.555000 1.625000 6.760000 2.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.110000  0.255000 0.445000 0.735000 ;
+      RECT 0.110000  0.735000 0.785000 0.905000 ;
+      RECT 0.110000  1.455000 4.705000 1.625000 ;
+      RECT 0.110000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.905000 0.785000 1.455000 ;
+      RECT 0.995000  1.795000 4.285000 1.965000 ;
+      RECT 0.995000  1.965000 1.245000 2.465000 ;
+      RECT 1.415000  2.135000 1.665000 2.635000 ;
+      RECT 1.455000  0.085000 1.625000 0.555000 ;
+      RECT 1.835000  1.965000 2.085000 2.465000 ;
+      RECT 2.255000  2.135000 2.505000 2.635000 ;
+      RECT 2.295000  0.085000 2.985000 0.555000 ;
+      RECT 2.775000  2.135000 3.025000 2.295000 ;
+      RECT 2.775000  2.295000 6.385000 2.465000 ;
+      RECT 3.195000  1.965000 3.445000 2.125000 ;
+      RECT 3.615000  2.135000 3.865000 2.295000 ;
+      RECT 3.655000  0.085000 3.825000 0.555000 ;
+      RECT 4.035000  1.965000 4.285000 2.125000 ;
+      RECT 4.455000  1.795000 4.705000 2.295000 ;
+      RECT 4.495000  0.085000 4.665000 0.555000 ;
+      RECT 4.535000  1.075000 6.125000 1.285000 ;
+      RECT 4.535000  1.285000 4.705000 1.455000 ;
+      RECT 5.295000  1.795000 5.545000 2.295000 ;
+      RECT 5.335000  0.085000 5.505000 0.555000 ;
+      RECT 6.135000  1.795000 6.385000 2.295000 ;
+      RECT 6.175000  0.085000 6.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor3b_4
+MACRO sky130_fd_sc_hd__and3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.470000 1.245000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.895000 2.125000 1.370000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.305000 1.295000 0.750000 ;
+        RECT 1.065000 0.750000 1.475000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.795000 2.245000 2.465000 ;
+        RECT 1.980000 0.255000 2.230000 0.715000 ;
+        RECT 2.060000 0.715000 2.230000 0.925000 ;
+        RECT 2.060000 0.925000 2.675000 1.445000 ;
+        RECT 2.075000 1.445000 2.245000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  2.130000 0.715000 2.635000 ;
+      RECT 0.100000  1.425000 1.890000 1.595000 ;
+      RECT 0.100000  1.595000 0.355000 1.960000 ;
+      RECT 0.105000  0.305000 0.895000 0.570000 ;
+      RECT 0.525000  1.765000 0.855000 1.955000 ;
+      RECT 0.525000  1.955000 0.715000 2.130000 ;
+      RECT 0.640000  0.570000 0.895000 1.425000 ;
+      RECT 1.080000  1.595000 1.330000 1.890000 ;
+      RECT 1.475000  0.085000 1.805000 0.580000 ;
+      RECT 1.555000  1.790000 1.770000 2.635000 ;
+      RECT 1.660000  0.995000 1.890000 1.425000 ;
+      RECT 2.400000  0.085000 2.675000 0.745000 ;
+      RECT 2.415000  1.625000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_2
+MACRO sky130_fd_sc_hd__and3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.995000 0.875000 1.340000 ;
+        RECT 0.115000 1.340000 0.365000 2.335000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.745000 1.355000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.900000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.515000 2.640000 0.615000 ;
+        RECT 2.450000 0.615000 4.055000 0.845000 ;
+        RECT 2.450000 1.535000 4.055000 1.760000 ;
+        RECT 2.450000 1.760000 2.640000 2.465000 ;
+        RECT 3.310000 0.255000 3.500000 0.615000 ;
+        RECT 3.310000 1.760000 4.055000 1.765000 ;
+        RECT 3.310000 1.765000 3.500000 2.465000 ;
+        RECT 3.775000 0.845000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.465000  0.255000 0.800000 0.375000 ;
+      RECT 0.465000  0.375000 1.725000 0.565000 ;
+      RECT 0.465000  0.565000 0.800000 0.805000 ;
+      RECT 0.545000  1.580000 2.280000 1.750000 ;
+      RECT 0.545000  1.750000 0.725000 2.465000 ;
+      RECT 0.895000  1.935000 1.345000 2.635000 ;
+      RECT 1.520000  1.750000 1.700000 2.465000 ;
+      RECT 1.535000  0.565000 1.725000 0.615000 ;
+      RECT 1.535000  0.615000 2.280000 0.805000 ;
+      RECT 1.905000  0.085000 2.235000 0.445000 ;
+      RECT 1.910000  1.935000 2.240000 2.635000 ;
+      RECT 2.070000  0.805000 2.280000 1.020000 ;
+      RECT 2.070000  1.020000 3.605000 1.355000 ;
+      RECT 2.070000  1.355000 2.280000 1.580000 ;
+      RECT 2.810000  0.085000 3.140000 0.445000 ;
+      RECT 2.810000  1.935000 3.140000 2.635000 ;
+      RECT 3.670000  0.085000 4.000000 0.445000 ;
+      RECT 3.670000  1.935000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_4
+MACRO sky130_fd_sc_hd__and3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 0.635000 1.020000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 2.125000 1.345000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.145000 0.305000 1.365000 0.790000 ;
+        RECT 1.145000 0.790000 1.475000 1.215000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.765000 2.215000 2.465000 ;
+        RECT 1.955000 0.255000 2.215000 0.735000 ;
+        RECT 2.045000 0.735000 2.215000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 0.975000 0.465000 ;
+      RECT 0.085000  1.190000 0.975000 1.260000 ;
+      RECT 0.085000  1.260000 0.980000 1.285000 ;
+      RECT 0.085000  1.285000 0.990000 1.300000 ;
+      RECT 0.085000  1.300000 0.995000 1.315000 ;
+      RECT 0.085000  1.315000 1.005000 1.320000 ;
+      RECT 0.085000  1.320000 1.010000 1.330000 ;
+      RECT 0.085000  1.330000 1.015000 1.340000 ;
+      RECT 0.085000  1.340000 1.025000 1.345000 ;
+      RECT 0.085000  1.345000 1.035000 1.355000 ;
+      RECT 0.085000  1.355000 1.045000 1.360000 ;
+      RECT 0.085000  1.360000 0.345000 1.810000 ;
+      RECT 0.085000  1.980000 0.700000 2.080000 ;
+      RECT 0.085000  2.080000 0.690000 2.635000 ;
+      RECT 0.515000  1.710000 0.845000 1.955000 ;
+      RECT 0.515000  1.955000 0.700000 1.980000 ;
+      RECT 0.710000  1.360000 1.045000 1.365000 ;
+      RECT 0.710000  1.365000 1.060000 1.370000 ;
+      RECT 0.710000  1.370000 1.075000 1.380000 ;
+      RECT 0.710000  1.380000 1.100000 1.385000 ;
+      RECT 0.710000  1.385000 1.875000 1.390000 ;
+      RECT 0.740000  1.390000 1.875000 1.425000 ;
+      RECT 0.775000  1.425000 1.875000 1.450000 ;
+      RECT 0.805000  0.465000 0.975000 1.190000 ;
+      RECT 0.805000  1.450000 1.875000 1.480000 ;
+      RECT 0.825000  1.480000 1.875000 1.510000 ;
+      RECT 0.845000  1.510000 1.875000 1.540000 ;
+      RECT 0.915000  1.540000 1.875000 1.550000 ;
+      RECT 0.940000  1.550000 1.875000 1.560000 ;
+      RECT 0.960000  1.560000 1.875000 1.575000 ;
+      RECT 0.980000  1.575000 1.875000 1.590000 ;
+      RECT 0.985000  1.590000 1.770000 1.600000 ;
+      RECT 1.000000  1.600000 1.770000 1.635000 ;
+      RECT 1.015000  1.635000 1.770000 1.885000 ;
+      RECT 1.515000  2.090000 1.770000 2.635000 ;
+      RECT 1.535000  0.085000 1.785000 0.625000 ;
+      RECT 1.645000  0.990000 1.875000 1.385000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3_1
+MACRO sky130_fd_sc_hd__clkinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.575000 1.455000 10.480000 1.665000 ;
+        RECT  0.575000 1.665000  0.830000 2.465000 ;
+        RECT  1.435000 1.665000  1.690000 2.450000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.325000 1.665000  2.550000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.155000 1.665000  3.410000 2.450000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.015000 1.665000  4.255000 2.450000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  4.905000 1.665000  5.280000 2.450000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.925000 1.665000  6.175000 2.450000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.785000 1.665000  7.035000 2.450000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.645000 1.665000  7.895000 2.450000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.505000 1.665000  8.755000 2.450000 ;
+        RECT  9.365000 1.665000  9.605000 2.450000 ;
+        RECT 10.225000 1.665000 10.480000 2.450000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.140000  1.495000  0.405000 2.635000 ;
+      RECT  1.000000  1.835000  1.260000 2.635000 ;
+      RECT  1.855000  0.085000  2.125000 0.610000 ;
+      RECT  1.865000  1.835000  2.120000 2.635000 ;
+      RECT  2.720000  0.085000  2.985000 0.610000 ;
+      RECT  2.720000  1.835000  2.980000 2.635000 ;
+      RECT  3.580000  0.085000  3.845000 0.610000 ;
+      RECT  3.585000  1.835000  3.840000 2.635000 ;
+      RECT  4.465000  0.085000  4.730000 0.610000 ;
+      RECT  4.465000  1.835000  4.720000 2.635000 ;
+      RECT  5.490000  0.085000  5.755000 0.610000 ;
+      RECT  5.490000  1.835000  5.745000 2.120000 ;
+      RECT  5.490000  2.120000  5.750000 2.635000 ;
+      RECT  6.350000  0.085000  6.575000 0.610000 ;
+      RECT  6.355000  1.835000  6.610000 2.635000 ;
+      RECT  7.210000  0.085000  7.475000 0.610000 ;
+      RECT  7.215000  1.835000  7.470000 2.635000 ;
+      RECT  8.070000  0.085000  8.335000 0.610000 ;
+      RECT  8.075000  1.835000  8.330000 2.635000 ;
+      RECT  8.930000  0.085000  9.195000 0.610000 ;
+      RECT  8.935000  1.835000  9.190000 2.635000 ;
+      RECT  9.795000  1.835000 10.050000 2.635000 ;
+      RECT 10.650000  1.835000 10.910000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_16
+MACRO sky130_fd_sc_hd__clkinv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.605000 1.630000 0.860000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.465000 1.630000 1.720000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.320000 1.630000 2.580000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.800000 0.430000 2.635000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.030000  1.800000 1.290000 2.635000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 1.890000  1.800000 2.150000 2.635000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+      RECT 2.750000  1.800000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_4
+MACRO sky130_fd_sc_hd__clkinv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.840000 0.760000 ;
+        RECT 0.515000 0.760000 1.295000 1.290000 ;
+        RECT 0.515000 1.290000 0.845000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  1.665000 0.345000 2.635000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+      RECT 1.015000  1.665000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_1
+MACRO sky130_fd_sc_hd__clkinv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.410000 2.435000 ;
+        RECT 1.010000 1.630000 1.270000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 0.580000  1.800000 0.840000 2.635000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+      RECT 1.440000  1.800000 1.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_2
+MACRO sky130_fd_sc_hd__clkinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.565000 1.630000 0.805000 2.435000 ;
+        RECT 1.405000 1.630000 1.645000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.245000 1.630000 2.495000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.080000 1.630000 3.325000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.920000 1.630000 4.175000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.765000 1.630000 5.005000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.135000  1.800000 0.395000 2.635000 ;
+      RECT 0.975000  1.800000 1.235000 2.635000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.815000  1.800000 2.075000 2.635000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.665000  1.800000 2.910000 2.635000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.495000  1.800000 3.750000 2.635000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.345000  1.800000 4.595000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+      RECT 5.175000  1.800000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkinv_8
+MACRO sky130_fd_sc_hd__mux4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.540000 0.375000 6.850000 0.995000 ;
+        RECT 6.540000 0.995000 6.950000 1.075000 ;
+        RECT 6.640000 1.075000 6.950000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 0.715000 5.120000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.765000 1.240000 1.095000 ;
+        RECT 1.025000 0.395000 1.240000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.715000 2.620000 1.015000 ;
+        RECT 2.415000 1.015000 2.620000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.975000 0.330000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.445000 0.320000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 1.445000 1.395000 1.615000 ;
+        RECT 1.225000 1.285000 1.395000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.445000 1.240000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.130000 1.245000 6.470000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.130000 1.445000 6.300000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.380000 1.460000 ;
+        RECT 0.085000 1.460000 6.360000 1.600000 ;
+        RECT 0.085000 1.600000 0.380000 1.645000 ;
+        RECT 1.010000 1.415000 1.300000 1.460000 ;
+        RECT 1.010000 1.600000 1.300000 1.645000 ;
+        RECT 6.070000 1.415000 6.360000 1.460000 ;
+        RECT 6.070000 1.600000 6.360000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 0.715000 3.080000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.360000 1.835000 7.770000 2.455000 ;
+        RECT 7.440000 0.265000 7.770000 0.725000 ;
+        RECT 7.460000 1.495000 7.770000 1.835000 ;
+        RECT 7.600000 0.725000 7.770000 1.065000 ;
+        RECT 7.600000 1.065000 8.685000 1.305000 ;
+        RECT 7.600000 1.305000 7.770000 1.495000 ;
+        RECT 8.360000 0.265000 8.685000 1.065000 ;
+        RECT 8.360000 1.305000 8.685000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.345000 0.345000 0.635000 ;
+      RECT 0.135000  0.635000 0.670000 0.805000 ;
+      RECT 0.135000  1.915000 1.905000 1.955000 ;
+      RECT 0.135000  1.955000 0.670000 2.085000 ;
+      RECT 0.135000  2.085000 0.345000 2.375000 ;
+      RECT 0.500000  0.805000 0.670000 1.785000 ;
+      RECT 0.500000  1.785000 1.905000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.705000 1.735000 1.035000 ;
+      RECT 1.415000  2.125000 2.245000 2.295000 ;
+      RECT 1.475000  0.365000 2.075000 0.535000 ;
+      RECT 1.565000  1.035000 1.735000 1.575000 ;
+      RECT 1.565000  1.575000 1.905000 1.785000 ;
+      RECT 1.905000  0.535000 2.075000 1.235000 ;
+      RECT 1.905000  1.235000 2.245000 1.405000 ;
+      RECT 2.075000  1.405000 2.245000 2.125000 ;
+      RECT 2.455000  0.085000 2.785000 0.545000 ;
+      RECT 2.600000  2.055000 2.830000 2.635000 ;
+      RECT 2.975000  1.785000 3.320000 1.955000 ;
+      RECT 2.990000  0.295000 3.420000 0.465000 ;
+      RECT 3.150000  1.490000 3.420000 1.660000 ;
+      RECT 3.150000  1.660000 3.320000 1.785000 ;
+      RECT 3.250000  0.465000 3.420000 1.060000 ;
+      RECT 3.250000  1.060000 3.485000 1.390000 ;
+      RECT 3.250000  1.390000 3.420000 1.490000 ;
+      RECT 3.310000  2.125000 3.825000 2.295000 ;
+      RECT 3.575000  1.810000 3.825000 2.125000 ;
+      RECT 3.590000  0.345000 3.825000 0.675000 ;
+      RECT 3.655000  0.675000 3.825000 1.810000 ;
+      RECT 3.995000  0.345000 4.185000 2.125000 ;
+      RECT 3.995000  2.125000 4.520000 2.295000 ;
+      RECT 4.400000  0.255000 4.605000 0.585000 ;
+      RECT 4.400000  0.585000 4.570000 1.565000 ;
+      RECT 4.400000  1.565000 5.500000 1.735000 ;
+      RECT 4.400000  1.735000 4.590000 1.895000 ;
+      RECT 4.760000  2.005000 5.105000 2.635000 ;
+      RECT 4.800000  0.085000 5.130000 0.545000 ;
+      RECT 5.330000  0.295000 6.225000 0.465000 ;
+      RECT 5.330000  0.465000 5.500000 1.565000 ;
+      RECT 5.330000  1.735000 5.500000 2.155000 ;
+      RECT 5.330000  2.155000 6.280000 2.325000 ;
+      RECT 5.670000  0.705000 6.290000 1.035000 ;
+      RECT 5.670000  1.035000 5.960000 1.985000 ;
+      RECT 6.530000  2.125000 6.850000 2.295000 ;
+      RECT 6.680000  1.495000 7.290000 1.665000 ;
+      RECT 6.680000  1.665000 6.850000 2.125000 ;
+      RECT 7.020000  0.085000 7.270000 0.815000 ;
+      RECT 7.020000  1.835000 7.190000 2.635000 ;
+      RECT 7.120000  0.995000 7.430000 1.325000 ;
+      RECT 7.120000  1.325000 7.290000 1.495000 ;
+      RECT 7.940000  0.085000 8.190000 0.885000 ;
+      RECT 7.940000  1.495000 8.190000 2.635000 ;
+      RECT 8.855000  0.085000 9.105000 0.885000 ;
+      RECT 8.855000  1.495000 9.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.785000 1.700000 1.955000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  2.125000 2.160000 2.295000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.370000  2.125000 3.540000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  2.125000 4.460000 2.295000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.670000  1.785000 5.840000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  2.125000 6.760000 2.295000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.755000 1.760000 1.800000 ;
+      RECT 1.470000 1.800000 5.900000 1.940000 ;
+      RECT 1.470000 1.940000 1.760000 1.985000 ;
+      RECT 1.930000 2.095000 2.220000 2.140000 ;
+      RECT 1.930000 2.140000 3.600000 2.280000 ;
+      RECT 1.930000 2.280000 2.220000 2.325000 ;
+      RECT 3.310000 2.095000 3.600000 2.140000 ;
+      RECT 3.310000 2.280000 3.600000 2.325000 ;
+      RECT 4.230000 2.095000 4.520000 2.140000 ;
+      RECT 4.230000 2.140000 6.820000 2.280000 ;
+      RECT 4.230000 2.280000 4.520000 2.325000 ;
+      RECT 5.610000 1.755000 5.900000 1.800000 ;
+      RECT 5.610000 1.940000 5.900000 1.985000 ;
+      RECT 6.530000 2.095000 6.820000 2.140000 ;
+      RECT 6.530000 2.280000 6.820000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_4
+MACRO sky130_fd_sc_hd__mux4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 0.995000 1.240000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.495000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.250000 1.055000 5.580000 1.675000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.800000 1.055000 5.045000 1.675000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.265000 0.995000 3.565000 1.995000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.995000 6.345000 1.675000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.315000 0.255000 9.575000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 1.185000 0.805000 ;
+      RECT 0.175000  1.795000 1.705000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 2.090000 0.425000 ;
+      RECT 1.015000  0.425000 1.185000 0.635000 ;
+      RECT 1.015000  2.135000 1.185000 2.295000 ;
+      RECT 1.015000  2.295000 2.545000 2.465000 ;
+      RECT 1.410000  0.595000 1.750000 0.765000 ;
+      RECT 1.410000  0.765000 1.700000 0.935000 ;
+      RECT 1.410000  0.935000 1.580000 1.455000 ;
+      RECT 1.410000  1.455000 2.045000 1.625000 ;
+      RECT 1.535000  1.965000 1.705000 2.125000 ;
+      RECT 1.875000  1.625000 2.045000 1.955000 ;
+      RECT 1.875000  1.955000 2.205000 2.125000 ;
+      RECT 1.920000  0.425000 2.090000 0.760000 ;
+      RECT 2.080000  1.105000 2.620000 1.285000 ;
+      RECT 2.260000  0.430000 2.620000 1.105000 ;
+      RECT 2.260000  1.285000 2.620000 1.395000 ;
+      RECT 2.260000  1.395000 3.065000 1.625000 ;
+      RECT 2.375000  1.795000 2.545000 2.295000 ;
+      RECT 2.715000  1.625000 3.065000 2.465000 ;
+      RECT 2.800000  0.085000 3.090000 0.805000 ;
+      RECT 3.235000  2.255000 3.565000 2.635000 ;
+      RECT 3.380000  0.255000 4.980000 0.425000 ;
+      RECT 3.380000  0.425000 3.550000 0.795000 ;
+      RECT 3.720000  0.595000 4.050000 0.845000 ;
+      RECT 3.735000  0.845000 4.050000 0.920000 ;
+      RECT 3.735000  0.920000 3.905000 1.445000 ;
+      RECT 3.735000  1.445000 4.495000 1.615000 ;
+      RECT 3.825000  1.785000 3.995000 2.295000 ;
+      RECT 3.825000  2.295000 4.835000 2.465000 ;
+      RECT 4.075000  1.095000 4.405000 1.105000 ;
+      RECT 4.075000  1.105000 4.460000 1.265000 ;
+      RECT 4.165000  1.615000 4.495000 2.125000 ;
+      RECT 4.220000  0.595000 4.390000 0.715000 ;
+      RECT 4.220000  0.715000 5.740000 0.885000 ;
+      RECT 4.220000  0.885000 4.390000 0.925000 ;
+      RECT 4.290000  1.265000 4.460000 1.275000 ;
+      RECT 4.625000  0.425000 4.980000 0.465000 ;
+      RECT 4.665000  1.915000 5.730000 2.085000 ;
+      RECT 4.665000  2.085000 4.835000 2.295000 ;
+      RECT 5.060000  2.255000 5.390000 2.635000 ;
+      RECT 5.150000  0.085000 5.320000 0.545000 ;
+      RECT 5.495000  0.295000 5.740000 0.715000 ;
+      RECT 5.560000  2.085000 5.730000 2.465000 ;
+      RECT 5.980000  2.255000 6.330000 2.635000 ;
+      RECT 6.010000  0.085000 6.340000 0.465000 ;
+      RECT 6.500000  2.135000 6.685000 2.465000 ;
+      RECT 6.510000  0.325000 6.685000 0.655000 ;
+      RECT 6.515000  0.655000 6.685000 1.105000 ;
+      RECT 6.515000  1.105000 6.805000 1.275000 ;
+      RECT 6.515000  1.275000 6.685000 2.135000 ;
+      RECT 6.980000  0.765000 7.220000 0.935000 ;
+      RECT 6.980000  0.935000 7.150000 2.135000 ;
+      RECT 6.980000  2.135000 7.190000 2.465000 ;
+      RECT 7.030000  0.255000 7.200000 0.415000 ;
+      RECT 7.030000  0.415000 7.560000 0.585000 ;
+      RECT 7.360000  2.255000 7.690000 2.295000 ;
+      RECT 7.360000  2.295000 8.645000 2.465000 ;
+      RECT 7.390000  0.585000 7.560000 1.755000 ;
+      RECT 7.390000  1.755000 8.175000 1.985000 ;
+      RECT 7.730000  0.255000 8.725000 0.425000 ;
+      RECT 7.730000  0.425000 7.900000 0.585000 ;
+      RECT 7.845000  1.985000 8.175000 2.125000 ;
+      RECT 7.970000  0.765000 8.385000 0.925000 ;
+      RECT 7.970000  0.925000 8.380000 0.935000 ;
+      RECT 8.190000  1.105000 8.645000 1.275000 ;
+      RECT 8.210000  0.595000 8.385000 0.765000 ;
+      RECT 8.475000  1.665000 9.125000 1.835000 ;
+      RECT 8.475000  1.835000 8.645000 2.295000 ;
+      RECT 8.555000  0.425000 8.725000 0.715000 ;
+      RECT 8.555000  0.715000 9.125000 0.885000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 8.895000  0.085000 9.065000 0.545000 ;
+      RECT 8.955000  0.885000 9.125000 1.665000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.105000 2.620000 1.275000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.105000 4.460000 1.275000 ;
+      RECT 4.325000  1.785000 4.495000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.635000  1.105000 6.805000 1.275000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.050000  0.765000 7.220000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.555000  1.785000 7.725000 1.955000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.475000  1.105000 8.645000 1.275000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 8.200000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 2.390000 1.075000 2.680000 1.120000 ;
+      RECT 2.390000 1.120000 4.520000 1.260000 ;
+      RECT 2.390000 1.260000 2.680000 1.305000 ;
+      RECT 4.230000 1.075000 4.520000 1.120000 ;
+      RECT 4.230000 1.260000 4.520000 1.305000 ;
+      RECT 4.265000 1.755000 4.555000 1.800000 ;
+      RECT 4.265000 1.800000 7.785000 1.940000 ;
+      RECT 4.265000 1.940000 4.555000 1.985000 ;
+      RECT 6.575000 1.075000 6.865000 1.120000 ;
+      RECT 6.575000 1.120000 8.705000 1.260000 ;
+      RECT 6.575000 1.260000 6.865000 1.305000 ;
+      RECT 6.990000 0.735000 7.280000 0.780000 ;
+      RECT 6.990000 0.920000 7.280000 0.965000 ;
+      RECT 7.495000 1.755000 7.785000 1.800000 ;
+      RECT 7.495000 1.940000 7.785000 1.985000 ;
+      RECT 7.910000 0.735000 8.200000 0.780000 ;
+      RECT 7.910000 0.920000 8.200000 0.965000 ;
+      RECT 8.415000 1.075000 8.705000 1.120000 ;
+      RECT 8.415000 1.260000 8.705000 1.305000 ;
+  END
+END sky130_fd_sc_hd__mux4_1
+MACRO sky130_fd_sc_hd__mux4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.535000 0.375000 6.845000 0.995000 ;
+        RECT 6.535000 0.995000 6.945000 1.075000 ;
+        RECT 6.635000 1.075000 6.945000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.715000 5.115000 1.395000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.765000 1.235000 1.095000 ;
+        RECT 1.020000 0.395000 1.235000 0.765000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.715000 2.615000 1.015000 ;
+        RECT 2.410000 1.015000 2.615000 1.320000 ;
+    END
+  END A3
+  PIN S0
+    ANTENNAGATEAREA  0.393000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.975000 0.325000 1.745000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.445000 0.315000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.445000 1.390000 1.615000 ;
+        RECT 1.220000 1.285000 1.390000 1.445000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.445000 1.235000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.125000 1.245000 6.465000 1.645000 ;
+      LAYER mcon ;
+        RECT 6.125000 1.445000 6.295000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.415000 0.375000 1.460000 ;
+        RECT 0.085000 1.460000 6.355000 1.600000 ;
+        RECT 0.085000 1.600000 0.375000 1.645000 ;
+        RECT 1.005000 1.415000 1.295000 1.460000 ;
+        RECT 1.005000 1.600000 1.295000 1.645000 ;
+        RECT 6.065000 1.415000 6.355000 1.460000 ;
+        RECT 6.065000 1.600000 6.355000 1.645000 ;
+    END
+  END S0
+  PIN S1
+    ANTENNAGATEAREA  0.303000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 0.715000 3.075000 1.320000 ;
+    END
+  END S1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.355000 1.835000 7.765000 2.455000 ;
+        RECT 7.435000 0.265000 7.765000 0.725000 ;
+        RECT 7.455000 1.495000 7.765000 1.835000 ;
+        RECT 7.595000 0.725000 7.765000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.665000 0.805000 ;
+      RECT 0.175000  1.915000 1.900000 1.955000 ;
+      RECT 0.175000  1.955000 0.665000 2.085000 ;
+      RECT 0.175000  2.085000 0.345000 2.375000 ;
+      RECT 0.495000  0.805000 0.665000 1.785000 ;
+      RECT 0.495000  1.785000 1.900000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.405000  0.705000 1.730000 1.035000 ;
+      RECT 1.410000  2.125000 2.240000 2.295000 ;
+      RECT 1.470000  0.365000 2.070000 0.535000 ;
+      RECT 1.560000  1.035000 1.730000 1.575000 ;
+      RECT 1.560000  1.575000 1.900000 1.785000 ;
+      RECT 1.900000  0.535000 2.070000 1.235000 ;
+      RECT 1.900000  1.235000 2.240000 1.405000 ;
+      RECT 2.070000  1.405000 2.240000 2.125000 ;
+      RECT 2.450000  0.085000 2.780000 0.545000 ;
+      RECT 2.595000  2.055000 2.825000 2.635000 ;
+      RECT 2.970000  1.785000 3.315000 1.955000 ;
+      RECT 2.985000  0.295000 3.415000 0.465000 ;
+      RECT 3.145000  1.490000 3.415000 1.660000 ;
+      RECT 3.145000  1.660000 3.315000 1.785000 ;
+      RECT 3.245000  0.465000 3.415000 1.060000 ;
+      RECT 3.245000  1.060000 3.480000 1.390000 ;
+      RECT 3.245000  1.390000 3.415000 1.490000 ;
+      RECT 3.305000  2.125000 3.820000 2.295000 ;
+      RECT 3.565000  1.810000 3.820000 2.125000 ;
+      RECT 3.585000  0.345000 3.820000 0.675000 ;
+      RECT 3.650000  0.675000 3.820000 1.810000 ;
+      RECT 3.990000  0.345000 4.180000 2.125000 ;
+      RECT 3.990000  2.125000 4.515000 2.295000 ;
+      RECT 4.395000  0.255000 4.600000 0.585000 ;
+      RECT 4.395000  0.585000 4.565000 1.565000 ;
+      RECT 4.395000  1.565000 5.495000 1.735000 ;
+      RECT 4.395000  1.735000 4.585000 1.895000 ;
+      RECT 4.755000  2.005000 5.100000 2.635000 ;
+      RECT 4.795000  0.085000 5.125000 0.545000 ;
+      RECT 5.325000  0.295000 6.220000 0.465000 ;
+      RECT 5.325000  0.465000 5.495000 1.565000 ;
+      RECT 5.325000  1.735000 5.495000 2.155000 ;
+      RECT 5.325000  2.155000 6.275000 2.325000 ;
+      RECT 5.665000  0.705000 6.285000 1.035000 ;
+      RECT 5.665000  1.035000 5.955000 1.985000 ;
+      RECT 6.525000  2.125000 6.845000 2.295000 ;
+      RECT 6.675000  1.495000 7.285000 1.665000 ;
+      RECT 6.675000  1.665000 6.845000 2.125000 ;
+      RECT 7.015000  0.085000 7.265000 0.815000 ;
+      RECT 7.015000  1.835000 7.185000 2.635000 ;
+      RECT 7.115000  0.995000 7.425000 1.325000 ;
+      RECT 7.115000  1.325000 7.285000 1.495000 ;
+      RECT 7.935000  0.085000 8.190000 0.885000 ;
+      RECT 7.935000  1.495000 8.185000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.785000 1.695000 1.955000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.125000 2.155000 2.295000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.125000 3.535000 2.295000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.125000 4.455000 2.295000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  1.785000 5.835000 1.955000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.125000 6.755000 2.295000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.800000 5.895000 1.940000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+      RECT 1.925000 2.095000 2.215000 2.140000 ;
+      RECT 1.925000 2.140000 3.595000 2.280000 ;
+      RECT 1.925000 2.280000 2.215000 2.325000 ;
+      RECT 3.305000 2.095000 3.595000 2.140000 ;
+      RECT 3.305000 2.280000 3.595000 2.325000 ;
+      RECT 4.225000 2.095000 4.515000 2.140000 ;
+      RECT 4.225000 2.140000 6.815000 2.280000 ;
+      RECT 4.225000 2.280000 4.515000 2.325000 ;
+      RECT 5.605000 1.755000 5.895000 1.800000 ;
+      RECT 5.605000 1.940000 5.895000 1.985000 ;
+      RECT 6.525000 2.095000 6.815000 2.140000 ;
+      RECT 6.525000 2.280000 6.815000 2.325000 ;
+  END
+END sky130_fd_sc_hd__mux4_2
+MACRO sky130_fd_sc_hd__a221oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.675000 2.200000 1.075000 ;
+        RECT 1.945000 1.075000 2.275000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 0.995000 2.755000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.695000 1.285000 ;
+        RECT 1.415000 0.675000 1.695000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.615000 1.075000 1.055000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.285000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.767000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.170000 0.255000 0.345000 0.735000 ;
+        RECT 0.170000 0.735000 1.235000 0.905000 ;
+        RECT 0.175000 1.455000 2.300000 1.495000 ;
+        RECT 0.175000 1.495000 3.135000 1.625000 ;
+        RECT 0.175000 1.625000 0.345000 2.465000 ;
+        RECT 1.065000 0.255000 2.580000 0.505000 ;
+        RECT 1.065000 0.505000 1.235000 0.735000 ;
+        RECT 2.150000 1.625000 3.135000 1.665000 ;
+        RECT 2.380000 0.505000 2.580000 0.655000 ;
+        RECT 2.380000 0.655000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.025000 1.835000 ;
+      RECT 1.015000  1.835000 2.625000 2.045000 ;
+      RECT 1.015000  2.045000 1.240000 2.125000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.205000 2.635000 ;
+      RECT 2.375000  2.045000 2.625000 2.465000 ;
+      RECT 2.750000  0.085000 3.080000 0.485000 ;
+      RECT 2.795000  1.875000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_1
+MACRO sky130_fd_sc_hd__a221oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 4.480000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.435000 1.075000 3.765000 1.445000 ;
+        RECT 3.435000 1.445000 4.820000 1.615000 ;
+        RECT 4.650000 1.075000 5.435000 1.275000 ;
+        RECT 4.650000 1.275000 4.820000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.765000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 2.040000 1.445000 ;
+        RECT 1.505000 1.445000 3.265000 1.615000 ;
+        RECT 2.935000 1.075000 3.265000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.420000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.796500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.305000 0.855000 0.725000 ;
+        RECT 0.525000 0.725000 4.395000 0.865000 ;
+        RECT 0.605000 0.865000 4.395000 0.905000 ;
+        RECT 0.605000 0.905000 0.855000 2.125000 ;
+        RECT 2.285000 0.645000 2.635000 0.725000 ;
+        RECT 4.065000 0.645000 4.395000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  1.795000 0.435000 2.295000 ;
+      RECT 0.090000  2.295000 1.275000 2.465000 ;
+      RECT 0.105000  0.085000 0.355000 0.895000 ;
+      RECT 1.025000  0.085000 1.715000 0.555000 ;
+      RECT 1.025000  1.495000 1.275000 1.785000 ;
+      RECT 1.025000  1.785000 3.015000 1.955000 ;
+      RECT 1.025000  1.955000 1.275000 2.295000 ;
+      RECT 1.505000  2.125000 1.755000 2.295000 ;
+      RECT 1.505000  2.295000 3.475000 2.465000 ;
+      RECT 1.885000  0.255000 3.055000 0.475000 ;
+      RECT 1.925000  1.955000 2.175000 2.125000 ;
+      RECT 2.345000  2.125000 2.595000 2.295000 ;
+      RECT 2.765000  1.955000 3.015000 2.125000 ;
+      RECT 3.225000  1.785000 5.195000 1.955000 ;
+      RECT 3.225000  1.955000 3.475000 2.295000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.645000  0.255000 4.815000 0.475000 ;
+      RECT 3.685000  2.125000 3.935000 2.635000 ;
+      RECT 4.105000  1.955000 4.355000 2.465000 ;
+      RECT 4.525000  2.125000 4.775000 2.635000 ;
+      RECT 4.565000  0.475000 4.815000 0.905000 ;
+      RECT 4.985000  0.085000 5.155000 0.905000 ;
+      RECT 4.990000  1.455000 5.195000 1.785000 ;
+      RECT 4.990000  1.955000 5.195000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_2
+MACRO sky130_fd_sc_hd__a221oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.265000 1.615000 ;
+        RECT 8.095000 1.075000 9.575000 1.275000 ;
+        RECT 8.095000 1.275000 8.265000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.935000 0.995000 5.285000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.415000 0.995000 3.765000 1.325000 ;
+        RECT 3.595000 1.325000 3.765000 1.445000 ;
+        RECT 3.595000 1.445000 5.795000 1.615000 ;
+        RECT 5.465000 1.075000 5.795000 1.445000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.335000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.593000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.905000 ;
+        RECT 0.575000 1.445000 1.705000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.125000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 1.615000 1.665000 2.125000 ;
+        RECT 1.505000 0.905000 1.705000 1.095000 ;
+        RECT 1.505000 1.095000 3.245000 1.275000 ;
+        RECT 1.505000 1.275000 1.705000 1.445000 ;
+        RECT 3.075000 0.645000 5.680000 0.735000 ;
+        RECT 3.075000 0.735000 7.765000 0.820000 ;
+        RECT 3.075000 0.820000 3.245000 1.095000 ;
+        RECT 5.510000 0.820000 6.460000 0.905000 ;
+        RECT 6.290000 0.645000 7.765000 0.735000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  1.445000 0.405000 2.295000 ;
+      RECT 0.090000  2.295000 2.125000 2.465000 ;
+      RECT 0.115000  0.085000 0.365000 0.895000 ;
+      RECT 0.995000  1.785000 1.245000 2.295000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.875000  0.085000 2.045000 0.645000 ;
+      RECT 1.875000  0.645000 2.905000 0.925000 ;
+      RECT 1.875000  1.445000 3.030000 1.615000 ;
+      RECT 1.875000  1.615000 2.125000 2.295000 ;
+      RECT 2.235000  0.255000 5.585000 0.425000 ;
+      RECT 2.235000  0.425000 2.610000 0.475000 ;
+      RECT 2.315000  1.795000 2.565000 2.215000 ;
+      RECT 2.315000  2.215000 6.005000 2.465000 ;
+      RECT 2.735000  0.595000 2.905000 0.645000 ;
+      RECT 2.735000  1.615000 3.030000 1.835000 ;
+      RECT 2.735000  1.835000 5.585000 2.045000 ;
+      RECT 3.035000  0.425000 5.585000 0.475000 ;
+      RECT 5.755000  1.785000 8.605000 2.045000 ;
+      RECT 5.755000  2.045000 6.005000 2.215000 ;
+      RECT 5.835000  0.085000 6.005000 0.555000 ;
+      RECT 6.175000  0.255000 8.185000 0.475000 ;
+      RECT 6.175000  2.215000 8.185000 2.635000 ;
+      RECT 7.935000  0.475000 8.185000 0.725000 ;
+      RECT 7.935000  0.725000 9.025000 0.905000 ;
+      RECT 8.355000  0.085000 8.525000 0.555000 ;
+      RECT 8.355000  2.045000 8.525000 2.465000 ;
+      RECT 8.435000  1.445000 9.405000 1.615000 ;
+      RECT 8.435000  1.615000 8.605000 1.785000 ;
+      RECT 8.695000  0.255000 9.025000 0.725000 ;
+      RECT 8.775000  1.795000 8.945000 2.635000 ;
+      RECT 9.155000  1.615000 9.405000 2.465000 ;
+      RECT 9.195000  0.085000 9.365000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221oi_4
+MACRO sky130_fd_sc_hd__dfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.675000 0.255000  9.005000 0.735000 ;
+        RECT  8.675000 0.735000 10.440000 0.905000 ;
+        RECT  8.715000 1.455000 10.440000 1.625000 ;
+        RECT  8.715000 1.625000  9.005000 2.465000 ;
+        RECT  9.515000 0.255000  9.845000 0.735000 ;
+        RECT  9.555000 1.625000  9.805000 2.465000 ;
+        RECT 10.030000 0.905000 10.440000 1.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 10.580000 0.085000 ;
+      RECT  0.000000  2.635000 10.580000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  8.135000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.040000 2.635000 ;
+      RECT  7.815000  0.465000  8.135000 0.820000 ;
+      RECT  7.815000  0.820000  8.140000 1.075000 ;
+      RECT  7.815000  1.075000  9.845000 1.285000 ;
+      RECT  7.815000  1.285000  8.160000 1.295000 ;
+      RECT  7.990000  1.295000  8.160000 1.915000 ;
+      RECT  8.335000  0.085000  8.505000 0.895000 ;
+      RECT  8.335000  1.575000  8.505000 2.635000 ;
+      RECT  9.175000  0.085000  9.345000 0.555000 ;
+      RECT  9.175000  1.795000  9.345000 2.635000 ;
+      RECT 10.015000  0.085000 10.185000 0.555000 ;
+      RECT 10.015000  1.795000 10.185000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_4
+MACRO sky130_fd_sc_hd__dfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.105000 0.795000 ;
+        RECT 8.855000 1.445000 9.105000 2.325000 ;
+        RECT 8.900000 0.795000 9.105000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+      RECT 9.275000  0.085000 9.525000 0.840000 ;
+      RECT 9.275000  1.495000 9.525000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_2
+MACRO sky130_fd_sc_hd__dfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.105000 0.780000 1.275000 ;
+      RECT 1.015000  1.785000 1.185000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtp_1
+MACRO sky130_fd_sc_hd__fahcin_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.665000 1.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.240000 0.645000 4.490000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.285000 0.765000 4.455000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.515000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.225000 0.735000 4.515000 0.780000 ;
+        RECT 4.225000 0.920000 4.515000 0.965000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.520000 1.075000 10.965000 1.275000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.600000 0.755000 6.925000 0.925000 ;
+        RECT 6.600000 0.925000 6.870000 1.675000 ;
+        RECT 6.700000 1.675000 6.870000 1.785000 ;
+        RECT 6.755000 0.595000 6.925000 0.755000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.470250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.000000 1.785000 12.335000 2.465000 ;
+        RECT 12.125000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  1.970000 2.295000 ;
+      RECT  0.940000  2.295000  3.515000 2.385000 ;
+      RECT  0.995000  0.255000  3.390000 0.425000 ;
+      RECT  0.995000  0.425000  2.100000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.785000 ;
+      RECT  1.280000  1.785000  2.050000 1.955000 ;
+      RECT  1.280000  1.955000  1.450000 2.045000 ;
+      RECT  1.715000  2.385000  3.515000 2.465000 ;
+      RECT  1.985000  0.675000  2.390000 1.350000 ;
+      RECT  2.220000  0.595000  2.390000 0.675000 ;
+      RECT  2.220000  1.350000  2.390000 1.785000 ;
+      RECT  2.515000  0.425000  3.390000 0.465000 ;
+      RECT  2.565000  1.785000  2.895000 2.045000 ;
+      RECT  2.620000  0.655000  3.025000 0.735000 ;
+      RECT  2.620000  0.735000  3.135000 0.755000 ;
+      RECT  2.620000  0.755000  3.730000 0.905000 ;
+      RECT  2.640000  1.075000  2.970000 1.095000 ;
+      RECT  2.640000  1.095000  3.120000 1.245000 ;
+      RECT  2.800000  1.245000  3.120000 1.265000 ;
+      RECT  2.950000  1.265000  3.120000 1.615000 ;
+      RECT  3.055000  0.905000  3.730000 0.925000 ;
+      RECT  3.215000  0.465000  3.390000 0.585000 ;
+      RECT  3.245000  2.110000  3.460000 2.295000 ;
+      RECT  3.290000  0.925000  3.460000 2.110000 ;
+      RECT  3.560000  0.255000  4.570000 0.425000 ;
+      RECT  3.560000  0.425000  3.730000 0.755000 ;
+      RECT  3.710000  1.150000  4.070000 1.320000 ;
+      RECT  3.710000  1.320000  3.880000 2.290000 ;
+      RECT  3.710000  2.290000  5.065000 2.460000 ;
+      RECT  3.900000  0.595000  4.070000 1.150000 ;
+      RECT  4.080000  1.695000  4.445000 2.120000 ;
+      RECT  4.240000  0.425000  4.570000 0.475000 ;
+      RECT  4.690000  1.385000  5.170000 1.725000 ;
+      RECT  4.815000  1.895000  5.995000 2.065000 ;
+      RECT  4.815000  2.065000  5.065000 2.290000 ;
+      RECT  4.830000  0.510000  5.000000 0.995000 ;
+      RECT  4.830000  0.995000  5.630000 1.325000 ;
+      RECT  4.830000  1.325000  5.170000 1.385000 ;
+      RECT  5.180000  0.085000  5.510000 0.805000 ;
+      RECT  5.260000  2.235000  5.590000 2.635000 ;
+      RECT  5.635000  1.555000  6.370000 1.725000 ;
+      RECT  5.680000  0.380000  5.970000 0.815000 ;
+      RECT  5.800000  0.815000  5.970000 1.555000 ;
+      RECT  5.825000  2.065000  5.995000 2.295000 ;
+      RECT  5.825000  2.295000  7.950000 2.465000 ;
+      RECT  6.140000  0.740000  6.425000 1.325000 ;
+      RECT  6.200000  1.725000  6.370000 1.895000 ;
+      RECT  6.200000  1.895000  6.530000 1.955000 ;
+      RECT  6.200000  1.955000  7.210000 2.125000 ;
+      RECT  6.255000  0.255000  7.695000 0.425000 ;
+      RECT  6.255000  0.425000  6.585000 0.570000 ;
+      RECT  7.040000  1.060000  7.270000 1.230000 ;
+      RECT  7.040000  1.230000  7.210000 1.955000 ;
+      RECT  7.100000  0.595000  7.350000 0.925000 ;
+      RECT  7.100000  0.925000  7.270000 1.060000 ;
+      RECT  7.380000  1.360000  7.610000 1.530000 ;
+      RECT  7.380000  1.530000  7.550000 2.125000 ;
+      RECT  7.440000  1.105000  7.695000 1.290000 ;
+      RECT  7.440000  1.290000  7.610000 1.360000 ;
+      RECT  7.520000  0.425000  7.695000 1.105000 ;
+      RECT  7.780000  1.550000  8.035000 1.720000 ;
+      RECT  7.780000  1.720000  7.950000 2.295000 ;
+      RECT  7.865000  0.255000  9.980000 0.425000 ;
+      RECT  7.865000  0.425000  8.035000 0.740000 ;
+      RECT  7.865000  0.995000  8.035000 1.550000 ;
+      RECT  8.220000  1.955000  8.390000 2.295000 ;
+      RECT  8.220000  2.295000  9.410000 2.465000 ;
+      RECT  8.305000  0.595000  8.555000 0.925000 ;
+      RECT  8.375000  0.925000  8.555000 1.445000 ;
+      RECT  8.375000  1.445000  8.670000 1.530000 ;
+      RECT  8.375000  1.530000  8.890000 1.785000 ;
+      RECT  8.560000  1.785000  8.890000 2.125000 ;
+      RECT  8.725000  0.595000  9.410000 0.765000 ;
+      RECT  8.835000  0.995000  9.070000 1.325000 ;
+      RECT  9.240000  0.765000  9.410000 1.875000 ;
+      RECT  9.240000  1.875000 10.885000 2.025000 ;
+      RECT  9.240000  2.025000 10.145000 2.030000 ;
+      RECT  9.240000  2.030000 10.130000 2.035000 ;
+      RECT  9.240000  2.035000 10.120000 2.040000 ;
+      RECT  9.240000  2.040000 10.105000 2.045000 ;
+      RECT  9.240000  2.045000  9.410000 2.295000 ;
+      RECT  9.640000  0.425000  9.980000 0.825000 ;
+      RECT  9.640000  0.825000  9.810000 1.535000 ;
+      RECT  9.640000  1.535000 10.010000 1.705000 ;
+      RECT  9.980000  0.995000 10.350000 1.325000 ;
+      RECT 10.055000  1.870000 10.885000 1.875000 ;
+      RECT 10.070000  1.865000 10.885000 1.870000 ;
+      RECT 10.085000  1.860000 10.885000 1.865000 ;
+      RECT 10.100000  1.855000 10.885000 1.860000 ;
+      RECT 10.180000  0.085000 10.350000 0.565000 ;
+      RECT 10.180000  0.735000 10.910000 0.905000 ;
+      RECT 10.180000  0.905000 10.350000 0.995000 ;
+      RECT 10.180000  1.325000 10.350000 1.445000 ;
+      RECT 10.180000  1.445000 10.885000 1.855000 ;
+      RECT 10.190000  2.195000 10.360000 2.635000 ;
+      RECT 10.530000  0.285000 10.910000 0.735000 ;
+      RECT 10.535000  2.025000 10.885000 2.465000 ;
+      RECT 11.075000  1.455000 11.405000 2.465000 ;
+      RECT 11.155000  0.270000 11.325000 0.680000 ;
+      RECT 11.155000  0.680000 11.405000 1.455000 ;
+      RECT 11.495000  0.085000 11.825000 0.510000 ;
+      RECT 11.575000  1.785000 11.830000 2.635000 ;
+      RECT 11.645000  0.995000 11.955000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.880000  1.785000  2.050000 1.955000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.570000  1.785000  2.740000 1.955000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.950000  1.445000  3.120000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.140000  1.785000  4.310000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.760000  1.445000  4.930000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.520000  0.765000  7.690000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.440000  1.445000  8.610000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.105000  9.070000 1.275000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  0.765000 11.390000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.820000 1.755000  2.110000 1.800000 ;
+      RECT  1.820000 1.800000  4.370000 1.940000 ;
+      RECT  1.820000 1.940000  2.110000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.130000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.510000 1.755000  2.800000 1.800000 ;
+      RECT  2.510000 1.940000  2.800000 1.985000 ;
+      RECT  2.890000 1.415000  3.180000 1.460000 ;
+      RECT  2.890000 1.460000  4.990000 1.600000 ;
+      RECT  2.890000 1.600000  3.180000 1.645000 ;
+      RECT  4.080000 1.755000  4.370000 1.800000 ;
+      RECT  4.080000 1.940000  4.370000 1.985000 ;
+      RECT  4.700000 1.415000  4.990000 1.460000 ;
+      RECT  4.700000 1.600000  4.990000 1.645000 ;
+      RECT  6.080000 1.075000  6.370000 1.120000 ;
+      RECT  6.080000 1.260000  6.370000 1.305000 ;
+      RECT  7.460000 0.735000  7.750000 0.780000 ;
+      RECT  7.460000 0.780000 11.450000 0.920000 ;
+      RECT  7.460000 0.920000  7.750000 0.965000 ;
+      RECT  8.380000 1.415000  8.670000 1.460000 ;
+      RECT  8.380000 1.460000 11.910000 1.600000 ;
+      RECT  8.380000 1.600000  8.670000 1.645000 ;
+      RECT  8.840000 1.075000  9.130000 1.120000 ;
+      RECT  8.840000 1.260000  9.130000 1.305000 ;
+      RECT 11.160000 0.735000 11.450000 0.780000 ;
+      RECT 11.160000 0.920000 11.450000 0.965000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcin_1
+MACRO sky130_fd_sc_hd__a222oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.000000 2.925000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.000000 3.435000 1.330000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.135000 1.000000 2.445000 1.330000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 1.000000 1.965000 1.330000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.000000 0.545000 1.315000 ;
+    END
+  END C1
+  PIN C2
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.715000 1.000000 1.085000 1.315000 ;
+    END
+  END C2
+  PIN Y
+    ANTENNADIFFAREA  0.897600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.425000 0.645000 ;
+        RECT 0.095000 0.645000 2.645000 0.815000 ;
+        RECT 0.095000 1.485000 0.425000 1.500000 ;
+        RECT 0.095000 1.500000 1.425000 1.670000 ;
+        RECT 0.095000 1.670000 0.425000 1.680000 ;
+        RECT 0.095000 1.680000 0.345000 2.255000 ;
+        RECT 0.095000 2.255000 0.425000 2.465000 ;
+        RECT 1.015000 1.670000 1.185000 1.830000 ;
+        RECT 1.255000 0.815000 1.480000 1.330000 ;
+        RECT 1.255000 1.330000 1.425000 1.500000 ;
+        RECT 2.315000 0.295000 2.645000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.000000 0.000000 3.680000 0.240000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.515000  1.875000 0.845000 2.075000 ;
+      RECT 0.595000  2.075000 0.765000 2.295000 ;
+      RECT 0.595000  2.295000 2.185000 2.465000 ;
+      RECT 0.875000  0.085000 1.605000 0.465000 ;
+      RECT 1.515000  1.825000 2.015000 1.965000 ;
+      RECT 1.515000  1.965000 1.970000 1.970000 ;
+      RECT 1.515000  1.970000 1.935000 1.980000 ;
+      RECT 1.515000  1.980000 1.915000 1.995000 ;
+      RECT 1.845000  1.655000 3.595000 1.670000 ;
+      RECT 1.845000  1.670000 2.685000 1.735000 ;
+      RECT 1.845000  1.735000 2.605000 1.825000 ;
+      RECT 2.015000  2.135000 2.185000 2.295000 ;
+      RECT 2.355000  1.500000 3.595000 1.655000 ;
+      RECT 2.355000  1.825000 2.605000 2.255000 ;
+      RECT 2.355000  2.255000 2.685000 2.465000 ;
+      RECT 2.775000  1.905000 3.105000 2.075000 ;
+      RECT 2.855000  2.075000 3.025000 2.635000 ;
+      RECT 3.220000  1.670000 3.595000 1.735000 ;
+      RECT 3.255000  0.085000 3.585000 0.815000 ;
+      RECT 3.255000  2.255000 3.595000 2.465000 ;
+      RECT 3.335000  1.735000 3.595000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a222oi_1
+MACRO sky130_fd_sc_hd__sdfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.260000 0.305000 9.605000 0.820000 ;
+        RECT 9.260000 1.505000 9.605000 2.395000 ;
+        RECT 9.435000 0.820000 9.605000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.035000 4.020000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.250000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.080000 0.785000 3.250000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.345000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  0.810000 0.805000 ;
+      RECT 0.180000  1.795000  0.845000 1.965000 ;
+      RECT 0.180000  1.965000  0.350000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.520000  2.135000  0.850000 2.635000 ;
+      RECT 0.615000  0.805000  0.810000 0.970000 ;
+      RECT 0.615000  0.970000  0.845000 1.795000 ;
+      RECT 1.015000  0.345000  1.245000 0.715000 ;
+      RECT 1.020000  0.715000  1.245000 2.465000 ;
+      RECT 1.435000  0.275000  1.805000 0.445000 ;
+      RECT 1.435000  0.445000  1.605000 1.860000 ;
+      RECT 1.435000  1.860000  3.245000 2.075000 ;
+      RECT 1.435000  2.075000  1.710000 2.445000 ;
+      RECT 1.880000  2.245000  2.210000 2.635000 ;
+      RECT 1.975000  0.085000  2.305000 0.445000 ;
+      RECT 2.120000  0.955000  2.460000 1.125000 ;
+      RECT 2.120000  1.125000  2.290000 1.860000 ;
+      RECT 2.690000  2.245000  3.585000 2.415000 ;
+      RECT 2.820000  0.275000  3.590000 0.445000 ;
+      RECT 3.075000  1.355000  3.270000 1.685000 ;
+      RECT 3.075000  1.685000  3.245000 1.860000 ;
+      RECT 3.415000  1.825000  4.380000 1.995000 ;
+      RECT 3.415000  1.995000  3.585000 2.245000 ;
+      RECT 3.420000  0.445000  3.590000 0.695000 ;
+      RECT 3.420000  0.695000  4.380000 0.865000 ;
+      RECT 3.755000  2.165000  3.925000 2.635000 ;
+      RECT 3.760000  0.085000  3.960000 0.525000 ;
+      RECT 4.210000  0.365000  4.560000 0.535000 ;
+      RECT 4.210000  0.535000  4.380000 0.695000 ;
+      RECT 4.210000  0.865000  4.380000 1.825000 ;
+      RECT 4.210000  1.995000  4.380000 2.065000 ;
+      RECT 4.210000  2.065000  4.445000 2.440000 ;
+      RECT 4.550000  0.705000  5.130000 1.035000 ;
+      RECT 4.550000  1.035000  4.790000 1.905000 ;
+      RECT 4.690000  2.190000  5.760000 2.360000 ;
+      RECT 4.730000  0.365000  5.470000 0.535000 ;
+      RECT 4.980000  1.655000  5.420000 2.010000 ;
+      RECT 5.300000  0.535000  5.470000 1.315000 ;
+      RECT 5.300000  1.315000  6.100000 1.485000 ;
+      RECT 5.590000  1.485000  6.100000 1.575000 ;
+      RECT 5.590000  1.575000  5.760000 2.190000 ;
+      RECT 5.640000  0.765000  6.440000 1.065000 ;
+      RECT 5.640000  1.065000  5.810000 1.095000 ;
+      RECT 5.720000  0.085000  6.090000 0.585000 ;
+      RECT 5.930000  1.245000  6.100000 1.315000 ;
+      RECT 5.930000  1.835000  6.100000 2.635000 ;
+      RECT 6.270000  0.365000  6.730000 0.535000 ;
+      RECT 6.270000  0.535000  6.440000 0.765000 ;
+      RECT 6.270000  1.065000  6.440000 2.135000 ;
+      RECT 6.270000  2.135000  6.520000 2.465000 ;
+      RECT 6.610000  0.705000  7.160000 1.035000 ;
+      RECT 6.610000  1.245000  6.800000 1.965000 ;
+      RECT 6.745000  2.165000  7.630000 2.335000 ;
+      RECT 6.960000  0.365000  7.500000 0.535000 ;
+      RECT 6.970000  1.035000  7.160000 1.575000 ;
+      RECT 6.970000  1.575000  7.290000 1.905000 ;
+      RECT 7.330000  0.535000  7.500000 0.995000 ;
+      RECT 7.330000  0.995000  8.395000 1.325000 ;
+      RECT 7.330000  1.325000  7.630000 1.405000 ;
+      RECT 7.460000  1.405000  7.630000 2.165000 ;
+      RECT 7.745000  0.085000  8.115000 0.615000 ;
+      RECT 7.800000  1.575000  8.735000 1.905000 ;
+      RECT 7.810000  2.135000  8.115000 2.635000 ;
+      RECT 8.385000  0.300000  8.735000 0.825000 ;
+      RECT 8.465000  1.905000  8.735000 2.455000 ;
+      RECT 8.565000  0.825000  8.735000 0.995000 ;
+      RECT 8.565000  0.995000  9.265000 1.325000 ;
+      RECT 8.565000  1.325000  8.735000 1.575000 ;
+      RECT 8.905000  0.085000  9.075000 0.695000 ;
+      RECT 8.905000  1.625000  9.080000 2.635000 ;
+      RECT 9.775000  0.085000  9.945000 0.930000 ;
+      RECT 9.775000  1.405000  9.945000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.050000  0.765000 1.220000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.620000  1.785000 6.790000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.850000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.860000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.560000 1.755000 6.850000 1.800000 ;
+      RECT 6.560000 1.940000 6.850000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_2
+MACRO sky130_fd_sc_hd__sdfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  9.285000 0.305000  9.615000 0.735000 ;
+        RECT  9.285000 0.735000 10.955000 0.905000 ;
+        RECT  9.285000 1.505000 10.955000 1.675000 ;
+        RECT  9.285000 1.675000  9.615000 2.395000 ;
+        RECT 10.135000 0.305000 10.465000 0.735000 ;
+        RECT 10.135000 1.675000 10.465000 2.395000 ;
+        RECT 10.655000 0.905000 10.955000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.025000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.385000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.385000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.215000  0.365000  4.565000 0.535000 ;
+      RECT  4.215000  0.535000  4.385000 0.695000 ;
+      RECT  4.215000  0.865000  4.385000 1.825000 ;
+      RECT  4.215000  1.995000  4.385000 2.065000 ;
+      RECT  4.215000  2.065000  4.450000 2.440000 ;
+      RECT  4.555000  0.705000  5.135000 1.035000 ;
+      RECT  4.555000  1.035000  4.795000 1.905000 ;
+      RECT  4.695000  2.190000  5.765000 2.360000 ;
+      RECT  4.735000  0.365000  5.475000 0.535000 ;
+      RECT  4.985000  1.655000  5.425000 2.010000 ;
+      RECT  5.305000  0.535000  5.475000 1.315000 ;
+      RECT  5.305000  1.315000  6.105000 1.485000 ;
+      RECT  5.595000  1.485000  6.105000 1.575000 ;
+      RECT  5.595000  1.575000  5.765000 2.190000 ;
+      RECT  5.645000  0.765000  6.445000 1.065000 ;
+      RECT  5.645000  1.065000  5.815000 1.095000 ;
+      RECT  5.725000  0.085000  6.095000 0.585000 ;
+      RECT  5.935000  1.245000  6.105000 1.315000 ;
+      RECT  5.935000  1.835000  6.105000 2.635000 ;
+      RECT  6.275000  0.365000  6.735000 0.535000 ;
+      RECT  6.275000  0.535000  6.445000 0.765000 ;
+      RECT  6.275000  1.065000  6.445000 2.135000 ;
+      RECT  6.275000  2.135000  6.525000 2.465000 ;
+      RECT  6.615000  0.705000  7.165000 1.035000 ;
+      RECT  6.615000  1.245000  6.805000 1.965000 ;
+      RECT  6.750000  2.165000  7.635000 2.335000 ;
+      RECT  6.965000  0.365000  7.505000 0.535000 ;
+      RECT  6.975000  1.035000  7.165000 1.575000 ;
+      RECT  6.975000  1.575000  7.295000 1.905000 ;
+      RECT  7.335000  0.535000  7.505000 0.995000 ;
+      RECT  7.335000  0.995000  8.400000 1.325000 ;
+      RECT  7.335000  1.325000  7.635000 1.405000 ;
+      RECT  7.465000  1.405000  7.635000 2.165000 ;
+      RECT  7.750000  0.085000  8.120000 0.615000 ;
+      RECT  7.805000  1.575000  8.755000 1.905000 ;
+      RECT  7.815000  2.135000  8.120000 2.635000 ;
+      RECT  8.390000  0.300000  8.750000 0.825000 ;
+      RECT  8.470000  1.905000  8.755000 2.455000 ;
+      RECT  8.570000  0.825000  8.750000 1.075000 ;
+      RECT  8.570000  1.075000 10.485000 1.325000 ;
+      RECT  8.570000  1.325000  8.755000 1.575000 ;
+      RECT  8.925000  0.085000  9.095000 0.695000 ;
+      RECT  8.925000  1.625000  9.105000 2.635000 ;
+      RECT  9.795000  0.085000  9.965000 0.565000 ;
+      RECT  9.795000  1.845000  9.965000 2.635000 ;
+      RECT 10.635000  0.085000 10.805000 0.565000 ;
+      RECT 10.635000  1.845000 10.805000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.055000  0.765000  1.225000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.755000  0.765000  4.925000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.215000  1.785000  5.385000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  0.765000  6.795000 0.935000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 6.855000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 0.995000 0.735000 1.285000 0.780000 ;
+      RECT 0.995000 0.780000 6.855000 0.920000 ;
+      RECT 0.995000 0.920000 1.285000 0.965000 ;
+      RECT 4.695000 0.735000 4.985000 0.780000 ;
+      RECT 4.695000 0.920000 4.985000 0.965000 ;
+      RECT 5.155000 1.755000 5.445000 1.800000 ;
+      RECT 5.155000 1.940000 5.445000 1.985000 ;
+      RECT 6.565000 0.735000 6.855000 0.780000 ;
+      RECT 6.565000 0.920000 6.855000 0.965000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_4
+MACRO sky130_fd_sc_hd__sdfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.790000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.230000 0.305000 9.575000 0.820000 ;
+        RECT 9.230000 1.505000 9.575000 2.395000 ;
+        RECT 9.405000 0.820000 9.575000 1.505000 ;
+    END
+  END Q
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.530000 1.055000 3.990000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.635000 3.250000 0.785000 ;
+        RECT 1.760000 0.785000 1.990000 0.835000 ;
+        RECT 1.760000 0.835000 1.930000 1.685000 ;
+        RECT 1.870000 0.615000 3.250000 0.635000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.065000 0.785000 3.250000 1.095000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.810000 0.805000 ;
+      RECT 0.180000  1.795000 0.845000 1.965000 ;
+      RECT 0.180000  1.965000 0.350000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.520000  2.135000 0.850000 2.635000 ;
+      RECT 0.615000  0.805000 0.810000 0.970000 ;
+      RECT 0.615000  0.970000 0.845000 1.795000 ;
+      RECT 1.015000  0.345000 1.230000 0.715000 ;
+      RECT 1.020000  0.715000 1.230000 2.465000 ;
+      RECT 1.420000  0.260000 1.790000 0.465000 ;
+      RECT 1.420000  0.465000 1.590000 1.860000 ;
+      RECT 1.420000  1.860000 3.220000 2.075000 ;
+      RECT 1.420000  2.075000 1.710000 2.445000 ;
+      RECT 1.880000  2.245000 2.210000 2.635000 ;
+      RECT 1.960000  0.085000 2.305000 0.445000 ;
+      RECT 2.115000  0.960000 2.460000 1.130000 ;
+      RECT 2.115000  1.130000 2.290000 1.860000 ;
+      RECT 2.690000  2.245000 3.560000 2.415000 ;
+      RECT 2.820000  0.275000 3.590000 0.445000 ;
+      RECT 3.050000  1.305000 3.270000 1.635000 ;
+      RECT 3.050000  1.635000 3.220000 1.860000 ;
+      RECT 3.390000  1.825000 4.350000 1.995000 ;
+      RECT 3.390000  1.995000 3.560000 2.245000 ;
+      RECT 3.420000  0.445000 3.590000 0.715000 ;
+      RECT 3.420000  0.715000 4.350000 0.885000 ;
+      RECT 3.730000  2.165000 3.925000 2.635000 ;
+      RECT 3.760000  0.085000 3.960000 0.545000 ;
+      RECT 4.180000  0.285000 4.460000 0.615000 ;
+      RECT 4.180000  0.615000 4.350000 0.715000 ;
+      RECT 4.180000  0.885000 4.350000 1.825000 ;
+      RECT 4.180000  1.995000 4.350000 2.065000 ;
+      RECT 4.180000  2.065000 4.420000 2.440000 ;
+      RECT 4.520000  0.780000 5.100000 1.035000 ;
+      RECT 4.520000  1.035000 4.760000 1.905000 ;
+      RECT 4.630000  0.705000 5.100000 0.780000 ;
+      RECT 4.660000  2.190000 5.730000 2.360000 ;
+      RECT 4.700000  0.365000 5.440000 0.535000 ;
+      RECT 4.950000  1.655000 5.390000 2.010000 ;
+      RECT 5.270000  0.535000 5.440000 1.315000 ;
+      RECT 5.270000  1.315000 6.070000 1.485000 ;
+      RECT 5.560000  1.485000 6.070000 1.575000 ;
+      RECT 5.560000  1.575000 5.730000 2.190000 ;
+      RECT 5.610000  0.765000 6.410000 1.065000 ;
+      RECT 5.610000  1.065000 5.780000 1.095000 ;
+      RECT 5.690000  0.085000 6.060000 0.585000 ;
+      RECT 5.900000  1.245000 6.070000 1.315000 ;
+      RECT 5.900000  1.835000 6.070000 2.635000 ;
+      RECT 6.240000  0.365000 6.700000 0.535000 ;
+      RECT 6.240000  0.535000 6.410000 0.765000 ;
+      RECT 6.240000  1.065000 6.410000 2.135000 ;
+      RECT 6.240000  2.135000 6.490000 2.465000 ;
+      RECT 6.580000  0.705000 7.130000 1.035000 ;
+      RECT 6.580000  1.245000 6.770000 1.965000 ;
+      RECT 6.715000  2.165000 7.600000 2.335000 ;
+      RECT 6.930000  0.365000 7.470000 0.535000 ;
+      RECT 6.940000  1.035000 7.130000 1.575000 ;
+      RECT 6.940000  1.575000 7.260000 1.905000 ;
+      RECT 7.300000  0.535000 7.470000 0.995000 ;
+      RECT 7.300000  0.995000 8.365000 1.325000 ;
+      RECT 7.300000  1.325000 7.600000 1.405000 ;
+      RECT 7.430000  1.405000 7.600000 2.165000 ;
+      RECT 7.715000  0.085000 8.085000 0.615000 ;
+      RECT 7.770000  1.575000 8.705000 1.905000 ;
+      RECT 7.790000  2.135000 8.095000 2.635000 ;
+      RECT 8.355000  0.300000 8.705000 0.825000 ;
+      RECT 8.435000  1.905000 8.705000 2.455000 ;
+      RECT 8.535000  0.825000 8.705000 0.995000 ;
+      RECT 8.535000  0.995000 9.235000 1.325000 ;
+      RECT 8.535000  1.325000 8.705000 1.575000 ;
+      RECT 8.875000  0.085000 9.045000 0.695000 ;
+      RECT 8.875000  1.625000 9.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.640000  1.785000 0.810000 1.955000 ;
+      RECT 1.040000  0.765000 1.210000 0.935000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.765000 4.915000 0.935000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.590000  1.785000 6.760000 1.955000 ;
+      RECT 6.630000  0.765000 6.800000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.580000 1.755000 0.870000 1.800000 ;
+      RECT 0.580000 1.800000 6.820000 1.940000 ;
+      RECT 0.580000 1.940000 0.870000 1.985000 ;
+      RECT 0.980000 0.735000 1.270000 0.780000 ;
+      RECT 0.980000 0.780000 6.860000 0.920000 ;
+      RECT 0.980000 0.920000 1.270000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.530000 1.755000 6.820000 1.800000 ;
+      RECT 6.530000 1.940000 6.820000 1.985000 ;
+      RECT 6.570000 0.735000 6.860000 0.780000 ;
+      RECT 6.570000 0.920000 6.860000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxtp_1
+MACRO sky130_fd_sc_hd__a21o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.990000 1.010000 4.515000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.425000 1.010000 3.820000 1.275000 ;
+        RECT 3.645000 1.275000 3.820000 1.510000 ;
+        RECT 3.645000 1.510000 4.935000 1.680000 ;
+        RECT 4.685000 1.055000 5.100000 1.290000 ;
+        RECT 4.685000 1.290000 4.935000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.395000 0.995000 2.705000 1.525000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 1.735000 0.785000 ;
+        RECT 0.145000 0.785000 0.630000 1.585000 ;
+        RECT 0.145000 1.585000 1.735000 1.755000 ;
+        RECT 0.625000 1.755000 0.795000 2.185000 ;
+        RECT 1.485000 1.755000 1.735000 2.185000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.105000  0.085000 0.445000 0.445000 ;
+      RECT 0.115000  1.935000 0.445000 2.635000 ;
+      RECT 0.800000  0.995000 2.205000 1.325000 ;
+      RECT 0.975000  0.085000 1.305000 0.445000 ;
+      RECT 0.975000  1.935000 1.305000 2.635000 ;
+      RECT 1.910000  0.085000 2.685000 0.445000 ;
+      RECT 1.915000  1.515000 2.165000 2.635000 ;
+      RECT 2.035000  0.615000 3.045000 0.670000 ;
+      RECT 2.035000  0.670000 4.365000 0.785000 ;
+      RECT 2.035000  0.785000 2.205000 0.995000 ;
+      RECT 2.455000  1.695000 2.625000 2.295000 ;
+      RECT 2.455000  2.295000 3.465000 2.465000 ;
+      RECT 2.875000  0.255000 3.045000 0.615000 ;
+      RECT 2.875000  0.785000 4.365000 0.840000 ;
+      RECT 2.875000  0.840000 3.045000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.285000  1.445000 3.465000 1.850000 ;
+      RECT 3.285000  1.850000 5.360000 2.020000 ;
+      RECT 3.285000  2.020000 3.465000 2.295000 ;
+      RECT 3.635000  2.275000 3.965000 2.635000 ;
+      RECT 4.085000  0.405000 4.365000 0.670000 ;
+      RECT 4.135000  2.020000 4.305000 2.465000 ;
+      RECT 4.475000  2.275000 4.805000 2.635000 ;
+      RECT 4.945000  0.085000 5.225000 0.885000 ;
+      RECT 5.030000  2.020000 5.360000 2.395000 ;
+      RECT 5.105000  1.460000 5.360000 1.850000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_4
+MACRO sky130_fd_sc_hd__a21o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.660000 1.015000 2.185000 1.325000 ;
+        RECT 1.955000 0.375000 2.185000 1.015000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 0.995000 2.665000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.015000 1.480000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.265000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.525000  1.905000 0.865000 2.635000 ;
+      RECT 0.545000  0.635000 1.775000 0.835000 ;
+      RECT 0.545000  0.835000 0.835000 1.505000 ;
+      RECT 0.545000  1.505000 1.315000 1.725000 ;
+      RECT 0.615000  0.085000 1.285000 0.455000 ;
+      RECT 1.045000  1.725000 1.315000 2.455000 ;
+      RECT 1.465000  0.265000 1.775000 0.635000 ;
+      RECT 1.495000  1.505000 2.655000 1.745000 ;
+      RECT 1.495000  1.745000 1.725000 2.455000 ;
+      RECT 1.895000  1.925000 2.225000 2.635000 ;
+      RECT 2.365000  0.085000 2.655000 0.815000 ;
+      RECT 2.395000  1.745000 2.655000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_1
+MACRO sky130_fd_sc_hd__a21o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.365000 2.620000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.810000 0.750000 3.125000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.790000 1.410000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.635000 0.955000 0.825000 ;
+        RECT 0.555000 0.825000 0.785000 2.465000 ;
+        RECT 0.765000 0.255000 0.955000 0.635000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  1.665000 0.385000 2.635000 ;
+      RECT 0.265000  0.085000 0.595000 0.465000 ;
+      RECT 0.955000  0.995000 1.295000 1.690000 ;
+      RECT 0.955000  1.690000 1.790000 1.920000 ;
+      RECT 0.955000  2.220000 1.285000 2.635000 ;
+      RECT 1.125000  0.085000 1.455000 0.445000 ;
+      RECT 1.125000  0.655000 1.865000 0.825000 ;
+      RECT 1.125000  0.825000 1.295000 0.995000 ;
+      RECT 1.475000  1.920000 1.790000 2.465000 ;
+      RECT 1.675000  0.255000 1.865000 0.655000 ;
+      RECT 1.960000  1.670000 3.075000 1.935000 ;
+      RECT 1.960000  1.935000 2.185000 2.465000 ;
+      RECT 2.355000  2.125000 2.685000 2.635000 ;
+      RECT 2.805000  0.085000 3.135000 0.565000 ;
+      RECT 2.855000  1.935000 3.075000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21o_2
+MACRO sky130_fd_sc_hd__ha_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 1.075000 4.380000 1.245000 ;
+        RECT 4.210000 1.245000 4.380000 1.505000 ;
+        RECT 4.210000 1.505000 6.810000 1.675000 ;
+        RECT 5.625000 0.995000 5.795000 1.505000 ;
+        RECT 6.580000 0.995000 7.055000 1.325000 ;
+        RECT 6.580000 1.325000 6.810000 1.505000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.550000 0.995000 5.455000 1.165000 ;
+        RECT 4.550000 1.165000 4.720000 1.325000 ;
+        RECT 5.285000 0.730000 6.315000 0.825000 ;
+        RECT 5.285000 0.825000 5.535000 0.845000 ;
+        RECT 5.285000 0.845000 5.495000 0.875000 ;
+        RECT 5.285000 0.875000 5.455000 0.995000 ;
+        RECT 5.295000 0.720000 6.315000 0.730000 ;
+        RECT 5.310000 0.710000 6.315000 0.720000 ;
+        RECT 5.320000 0.695000 6.315000 0.710000 ;
+        RECT 5.335000 0.675000 6.315000 0.695000 ;
+        RECT 5.345000 0.655000 6.315000 0.675000 ;
+        RECT 6.085000 0.825000 6.315000 1.325000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 0.315000 7.845000 0.735000 ;
+        RECT 7.595000 0.735000 8.685000 0.905000 ;
+        RECT 7.595000 1.415000 8.685000 1.585000 ;
+        RECT 7.595000 1.585000 7.765000 2.415000 ;
+        RECT 8.405000 0.315000 8.685000 0.735000 ;
+        RECT 8.405000 0.905000 8.685000 1.415000 ;
+        RECT 8.405000 1.585000 8.685000 2.415000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.315000 0.845000 1.065000 ;
+        RECT 0.515000 1.065000 1.550000 1.335000 ;
+        RECT 0.515000 1.335000 0.845000 2.415000 ;
+        RECT 1.355000 0.315000 1.685000 0.825000 ;
+        RECT 1.355000 0.825000 1.550000 1.065000 ;
+        RECT 1.355000 1.335000 1.550000 1.565000 ;
+        RECT 1.355000 1.565000 1.685000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.885000 ;
+      RECT 1.015000  1.515000 1.185000 2.635000 ;
+      RECT 1.720000  1.075000 2.750000 1.245000 ;
+      RECT 1.855000  0.085000 2.095000 0.885000 ;
+      RECT 1.855000  1.495000 2.365000 2.635000 ;
+      RECT 2.270000  0.305000 3.385000 0.475000 ;
+      RECT 2.580000  0.645000 3.045000 0.815000 ;
+      RECT 2.580000  0.815000 2.750000 1.075000 ;
+      RECT 2.580000  1.245000 2.750000 1.765000 ;
+      RECT 2.580000  1.765000 3.700000 1.935000 ;
+      RECT 2.770000  1.935000 2.940000 2.355000 ;
+      RECT 2.920000  0.995000 3.090000 1.425000 ;
+      RECT 2.920000  1.425000 4.040000 1.595000 ;
+      RECT 3.190000  2.105000 3.360000 2.635000 ;
+      RECT 3.215000  0.475000 3.385000 0.645000 ;
+      RECT 3.215000  0.645000 5.115000 0.815000 ;
+      RECT 3.530000  1.935000 3.700000 2.205000 ;
+      RECT 3.530000  2.205000 4.330000 2.375000 ;
+      RECT 3.555000  0.085000 3.910000 0.465000 ;
+      RECT 3.870000  1.595000 4.040000 1.855000 ;
+      RECT 3.870000  1.855000 7.395000 2.025000 ;
+      RECT 4.080000  0.345000 4.250000 0.645000 ;
+      RECT 4.420000  0.085000 4.750000 0.465000 ;
+      RECT 4.920000  0.255000 5.190000 0.585000 ;
+      RECT 4.920000  0.585000 5.115000 0.645000 ;
+      RECT 5.240000  2.205000 5.570000 2.635000 ;
+      RECT 5.385000  0.085000 5.715000 0.465000 ;
+      RECT 5.835000  2.025000 6.005000 2.355000 ;
+      RECT 6.175000  0.295000 6.875000 0.465000 ;
+      RECT 6.175000  2.205000 6.505000 2.635000 ;
+      RECT 6.675000  2.025000 6.845000 2.355000 ;
+      RECT 6.705000  0.465000 6.875000 0.645000 ;
+      RECT 6.705000  0.645000 7.395000 0.815000 ;
+      RECT 7.055000  0.085000 7.385000 0.465000 ;
+      RECT 7.055000  2.205000 7.385000 2.635000 ;
+      RECT 7.225000  0.815000 7.395000 1.075000 ;
+      RECT 7.225000  1.075000 8.225000 1.245000 ;
+      RECT 7.225000  1.245000 7.395000 1.855000 ;
+      RECT 7.935000  1.755000 8.225000 2.635000 ;
+      RECT 8.015000  0.085000 8.225000 0.565000 ;
+      RECT 8.855000  0.085000 9.065000 0.885000 ;
+      RECT 8.855000  1.495000 9.065000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_4
+MACRO sky130_fd_sc_hd__ha_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.790000 1.055000 4.045000 1.225000 ;
+        RECT 3.820000 1.225000 4.045000 1.675000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.310000 1.005000 2.615000 1.395000 ;
+        RECT 2.310000 1.395000 3.595000 1.675000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 0.315000 4.965000 0.825000 ;
+        RECT 4.715000 1.545000 4.965000 2.415000 ;
+        RECT 4.790000 0.825000 4.965000 1.545000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.315000 0.885000 0.825000 ;
+        RECT 0.555000 0.825000 0.780000 1.565000 ;
+        RECT 0.555000 1.565000 0.885000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.135000  0.085000 0.375000 0.885000 ;
+      RECT 0.135000  1.495000 0.375000 2.635000 ;
+      RECT 0.950000  1.075000 1.590000 1.245000 ;
+      RECT 1.055000  0.085000 1.250000 0.885000 ;
+      RECT 1.055000  1.515000 1.250000 2.635000 ;
+      RECT 1.420000  0.345000 1.745000 0.675000 ;
+      RECT 1.420000  0.675000 1.590000 1.075000 ;
+      RECT 1.420000  1.245000 1.590000 2.205000 ;
+      RECT 1.420000  2.205000 2.220000 2.375000 ;
+      RECT 1.760000  0.995000 1.930000 1.855000 ;
+      RECT 1.760000  1.855000 4.465000 2.025000 ;
+      RECT 1.995000  0.345000 2.165000 0.635000 ;
+      RECT 1.995000  0.635000 3.005000 0.805000 ;
+      RECT 2.335000  0.085000 2.665000 0.465000 ;
+      RECT 2.835000  0.345000 3.005000 0.635000 ;
+      RECT 2.850000  2.205000 3.640000 2.635000 ;
+      RECT 3.460000  0.345000 3.630000 0.715000 ;
+      RECT 3.460000  0.715000 4.465000 0.885000 ;
+      RECT 3.810000  2.025000 3.980000 2.355000 ;
+      RECT 4.215000  0.085000 4.465000 0.545000 ;
+      RECT 4.215000  2.205000 4.545000 2.635000 ;
+      RECT 4.295000  0.885000 4.465000 0.995000 ;
+      RECT 4.295000  0.995000 4.620000 1.325000 ;
+      RECT 4.295000  1.325000 4.465000 1.855000 ;
+      RECT 5.145000  0.085000 5.385000 0.885000 ;
+      RECT 5.145000  1.495000 5.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_2
+MACRO sky130_fd_sc_hd__ha_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ha_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.315000 3.585000 1.485000 ;
+        RECT 3.360000 1.055000 3.585000 1.315000 ;
+        RECT 3.360000 1.485000 3.585000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.345000 2.155000 1.655000 ;
+        RECT 1.850000 1.655000 3.165000 1.825000 ;
+        RECT 1.850000 1.825000 2.155000 2.375000 ;
+    END
+  END B
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.315000 4.515000 0.825000 ;
+        RECT 4.175000 1.565000 4.515000 2.415000 ;
+        RECT 4.330000 0.825000 4.515000 1.565000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.315000 0.425000 0.825000 ;
+        RECT 0.090000 0.825000 0.320000 1.565000 ;
+        RECT 0.090000 1.565000 0.425000 2.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.490000  1.075000 1.130000 1.245000 ;
+      RECT 0.595000  0.085000 0.790000 0.885000 ;
+      RECT 0.595000  1.515000 0.790000 2.275000 ;
+      RECT 0.595000  2.275000 1.260000 2.635000 ;
+      RECT 0.960000  0.345000 1.285000 0.675000 ;
+      RECT 0.960000  0.675000 1.130000 1.075000 ;
+      RECT 0.960000  1.245000 1.130000 1.935000 ;
+      RECT 0.960000  1.935000 1.680000 2.105000 ;
+      RECT 1.300000  0.975000 3.170000 1.145000 ;
+      RECT 1.300000  1.145000 1.470000 1.325000 ;
+      RECT 1.510000  2.105000 1.680000 2.355000 ;
+      RECT 1.535000  0.345000 1.705000 0.635000 ;
+      RECT 1.535000  0.635000 2.545000 0.805000 ;
+      RECT 1.875000  0.085000 2.205000 0.465000 ;
+      RECT 2.375000  0.345000 2.545000 0.635000 ;
+      RECT 2.450000  2.275000 3.120000 2.635000 ;
+      RECT 3.000000  0.345000 3.170000 0.715000 ;
+      RECT 3.000000  0.715000 4.005000 0.885000 ;
+      RECT 3.000000  0.885000 3.170000 0.975000 ;
+      RECT 3.350000  1.785000 4.005000 1.955000 ;
+      RECT 3.350000  1.955000 3.520000 2.355000 ;
+      RECT 3.755000  0.085000 4.005000 0.545000 ;
+      RECT 3.755000  2.125000 4.005000 2.635000 ;
+      RECT 3.835000  0.885000 4.005000 0.995000 ;
+      RECT 3.835000  0.995000 4.160000 1.325000 ;
+      RECT 3.835000  1.325000 4.005000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ha_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.560000 1.290000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.705000 0.270000 3.150000 0.640000 ;
+        RECT 2.715000 1.420000 3.180000 1.525000 ;
+        RECT 2.715000 1.525000 3.150000 2.465000 ;
+        RECT 2.965000 0.640000 3.150000 0.780000 ;
+        RECT 2.965000 0.780000 3.180000 0.945000 ;
+        RECT 3.010000 0.945000 3.180000 1.420000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.270000 0.415000 0.735000 ;
+      RECT 0.085000  0.735000 1.055000 0.905000 ;
+      RECT 0.085000  1.460000 1.055000 1.630000 ;
+      RECT 0.085000  1.630000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.565000 ;
+      RECT 0.600000  1.800000 0.930000 2.635000 ;
+      RECT 0.730000  0.905000 1.055000 1.460000 ;
+      RECT 1.110000  1.800000 1.440000 2.465000 ;
+      RECT 1.160000  0.270000 1.440000 0.600000 ;
+      RECT 1.270000  0.600000 1.440000 1.075000 ;
+      RECT 1.270000  1.075000 2.205000 1.255000 ;
+      RECT 1.270000  1.255000 1.440000 1.800000 ;
+      RECT 1.630000  0.270000 1.960000 0.735000 ;
+      RECT 1.630000  0.735000 2.545000 0.905000 ;
+      RECT 1.630000  1.460000 2.545000 1.630000 ;
+      RECT 1.630000  1.630000 1.960000 2.465000 ;
+      RECT 2.130000  1.800000 2.545000 2.635000 ;
+      RECT 2.165000  0.085000 2.535000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 2.840000 1.245000 ;
+      RECT 2.375000  1.245000 2.545000 1.460000 ;
+      RECT 3.320000  0.085000 3.595000 0.645000 ;
+      RECT 3.320000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.055000 0.550000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.590000 0.545000 ;
+        RECT 3.220000 1.760000 3.590000 2.465000 ;
+        RECT 3.365000 0.545000 3.590000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.715000 ;
+      RECT 0.095000  0.715000 1.215000 0.885000 ;
+      RECT 0.095000  1.495000 1.215000 1.665000 ;
+      RECT 0.095000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.720000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.260000 2.465000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.710000  1.760000 3.040000 2.635000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s18_1
+MACRO sky130_fd_sc_hd__dfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_1
+MACRO sky130_fd_sc_hd__dfxtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.885000 1.495000 7.275000 1.575000 ;
+        RECT 6.885000 1.575000 7.215000 2.420000 ;
+        RECT 6.895000 0.305000 7.225000 0.740000 ;
+        RECT 6.895000 0.740000 7.275000 0.825000 ;
+        RECT 7.050000 0.825000 7.275000 0.865000 ;
+        RECT 7.060000 1.445000 7.275000 1.495000 ;
+        RECT 7.105000 0.865000 7.275000 1.445000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.015000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.375000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.035000  1.905000 6.375000 2.465000 ;
+      RECT 6.055000  0.300000 6.385000 0.825000 ;
+      RECT 6.185000  0.825000 6.385000 0.995000 ;
+      RECT 6.185000  0.995000 6.935000 1.325000 ;
+      RECT 6.185000  1.325000 6.375000 1.530000 ;
+      RECT 6.545000  1.625000 6.715000 2.635000 ;
+      RECT 6.555000  0.085000 6.725000 0.695000 ;
+      RECT 7.385000  1.720000 7.555000 2.635000 ;
+      RECT 7.395000  0.085000 7.565000 0.600000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_2
+MACRO sky130_fd_sc_hd__dfxtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.065000 1.720000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.985000 0.305000 7.320000 0.730000 ;
+        RECT 6.985000 0.730000 8.655000 0.900000 ;
+        RECT 6.985000 1.465000 8.655000 1.635000 ;
+        RECT 6.985000 1.635000 7.320000 2.395000 ;
+        RECT 7.840000 0.305000 8.175000 0.730000 ;
+        RECT 7.840000 1.635000 8.170000 2.395000 ;
+        RECT 8.410000 0.900000 8.655000 1.465000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.890000  0.365000 2.220000 0.535000 ;
+      RECT 1.890000  0.535000 2.060000 2.065000 ;
+      RECT 1.890000  2.065000 2.125000 2.440000 ;
+      RECT 2.230000  0.705000 2.810000 1.035000 ;
+      RECT 2.230000  1.035000 2.470000 1.905000 ;
+      RECT 2.370000  2.190000 3.440000 2.360000 ;
+      RECT 2.400000  0.365000 3.150000 0.535000 ;
+      RECT 2.660000  1.655000 3.100000 2.010000 ;
+      RECT 2.980000  0.535000 3.150000 1.315000 ;
+      RECT 2.980000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.320000  0.765000 4.120000 1.065000 ;
+      RECT 3.320000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.410000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  0.705000 4.840000 1.035000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.640000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.840000 1.575000 ;
+      RECT 4.650000  1.575000 4.970000 1.905000 ;
+      RECT 5.140000  0.535000 5.310000 1.075000 ;
+      RECT 5.140000  1.075000 6.230000 1.245000 ;
+      RECT 5.140000  1.245000 5.310000 2.165000 ;
+      RECT 5.480000  1.500000 6.590000 1.670000 ;
+      RECT 5.480000  1.670000 6.340000 1.830000 ;
+      RECT 5.490000  2.135000 5.705000 2.635000 ;
+      RECT 5.625000  0.085000 5.795000 0.615000 ;
+      RECT 6.090000  0.295000 6.450000 0.735000 ;
+      RECT 6.090000  0.735000 6.590000 0.905000 ;
+      RECT 6.170000  1.830000 6.340000 2.455000 ;
+      RECT 6.420000  0.905000 6.590000 1.075000 ;
+      RECT 6.420000  1.075000 8.240000 1.245000 ;
+      RECT 6.420000  1.245000 6.590000 1.500000 ;
+      RECT 6.625000  0.085000 6.795000 0.565000 ;
+      RECT 6.625000  1.855000 6.805000 2.635000 ;
+      RECT 7.495000  0.085000 7.665000 0.560000 ;
+      RECT 7.500000  1.805000 7.670000 2.635000 ;
+      RECT 8.340000  1.805000 8.510000 2.635000 ;
+      RECT 8.345000  0.085000 8.515000 0.560000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  0.765000 2.640000 0.935000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  0.765000 4.480000 0.935000 ;
+      RECT 4.310000  1.785000 4.480000 1.955000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 4.540000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.540000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 0.735000 2.700000 0.780000 ;
+      RECT 2.410000 0.920000 2.700000 0.965000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+      RECT 4.250000 0.735000 4.540000 0.780000 ;
+      RECT 4.250000 0.920000 4.540000 0.965000 ;
+      RECT 4.250000 1.755000 4.540000 1.800000 ;
+      RECT 4.250000 1.940000 4.540000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfxtp_4
+MACRO sky130_fd_sc_hd__sedfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.935000 0.255000 14.265000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.300000 ;
+        RECT 11.700000 1.300000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 15.370000 2.910000 ;
+        RECT  7.200000 1.305000 15.370000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.465000 12.450000 2.635000 ;
+      RECT 12.315000  0.085000 12.565000 0.900000 ;
+      RECT 12.620000  1.575000 12.850000 2.010000 ;
+      RECT 12.735000  0.890000 13.360000 1.220000 ;
+      RECT 13.020000  0.255000 13.360000 0.890000 ;
+      RECT 13.020000  1.220000 13.360000 2.465000 ;
+      RECT 13.530000  0.085000 13.765000 0.900000 ;
+      RECT 13.530000  1.465000 13.765000 2.635000 ;
+      RECT 14.435000  0.085000 14.695000 0.900000 ;
+      RECT 14.435000  1.465000 14.695000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.650000  1.785000 12.820000 1.955000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.110000  0.765000 13.280000 0.935000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 13.340000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.880000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.590000 1.755000 12.880000 1.800000 ;
+      RECT 12.590000 1.940000 12.880000 1.985000 ;
+      RECT 13.050000 0.735000 13.340000 0.780000 ;
+      RECT 13.050000 0.920000 13.340000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_2
+MACRO sky130_fd_sc_hd__sedfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.525000 0.255000 13.855000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.700000 1.065000 12.145000 1.410000 ;
+        RECT 11.700000 1.410000 12.030000 2.465000 ;
+        RECT 11.815000 0.255000 12.145000 1.065000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.760000 1.105000 6.215000 1.665000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.025000 1.105000 5.250000 1.615000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  4.885000 1.435000 ;
+        RECT -0.190000 1.435000 14.450000 2.910000 ;
+        RECT  7.200000 1.305000 14.450000 1.435000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.595000  0.255000  4.795000 0.645000 ;
+      RECT  4.595000  0.645000  4.855000 0.825000 ;
+      RECT  4.635000  2.210000  4.965000 2.465000 ;
+      RECT  4.685000  0.825000  4.855000 1.785000 ;
+      RECT  4.685000  1.785000  4.965000 2.210000 ;
+      RECT  4.965000  0.255000  5.590000 0.515000 ;
+      RECT  5.155000  1.835000  6.585000 2.005000 ;
+      RECT  5.155000  2.005000  5.495000 2.465000 ;
+      RECT  5.260000  0.515000  5.590000 0.935000 ;
+      RECT  5.420000  0.935000  5.590000 1.835000 ;
+      RECT  5.665000  2.175000  6.010000 2.635000 ;
+      RECT  5.760000  0.085000  6.010000 0.905000 ;
+      RECT  6.385000  1.355000  6.585000 1.835000 ;
+      RECT  6.515000  0.255000  7.135000 0.565000 ;
+      RECT  6.515000  0.565000  6.925000 1.185000 ;
+      RECT  6.675000  2.150000  7.005000 2.465000 ;
+      RECT  6.755000  1.185000  6.925000 1.865000 ;
+      RECT  6.755000  1.865000  7.005000 2.150000 ;
+      RECT  7.095000  1.125000  7.280000 1.720000 ;
+      RECT  7.115000  0.735000  7.620000 0.955000 ;
+      RECT  7.215000  2.175000  8.255000 2.375000 ;
+      RECT  7.305000  0.255000  7.980000 0.565000 ;
+      RECT  7.450000  0.955000  7.620000 1.655000 ;
+      RECT  7.450000  1.655000  7.915000 2.005000 ;
+      RECT  7.810000  0.565000  7.980000 1.315000 ;
+      RECT  7.810000  1.315000  8.660000 1.485000 ;
+      RECT  8.085000  1.485000  8.660000 1.575000 ;
+      RECT  8.085000  1.575000  8.255000 2.175000 ;
+      RECT  8.170000  0.765000  9.235000 1.045000 ;
+      RECT  8.170000  1.045000  9.745000 1.065000 ;
+      RECT  8.170000  1.065000  8.370000 1.095000 ;
+      RECT  8.245000  0.085000  8.640000 0.560000 ;
+      RECT  8.425000  1.835000  8.660000 2.635000 ;
+      RECT  8.490000  1.245000  8.660000 1.315000 ;
+      RECT  8.830000  0.255000  9.235000 0.765000 ;
+      RECT  8.830000  1.065000  9.745000 1.375000 ;
+      RECT  8.830000  1.375000  9.160000 2.465000 ;
+      RECT  9.370000  2.105000  9.660000 2.635000 ;
+      RECT  9.465000  0.085000  9.740000 0.615000 ;
+      RECT 10.090000  1.245000 10.280000 1.965000 ;
+      RECT 10.225000  2.165000 11.190000 2.355000 ;
+      RECT 10.305000  0.705000 10.770000 1.035000 ;
+      RECT 10.325000  0.330000 11.190000 0.535000 ;
+      RECT 10.450000  1.035000 10.770000 1.995000 ;
+      RECT 10.940000  0.535000 11.190000 2.165000 ;
+      RECT 11.360000  1.495000 11.530000 2.635000 ;
+      RECT 11.395000  0.085000 11.645000 0.900000 ;
+      RECT 12.200000  1.575000 12.430000 2.010000 ;
+      RECT 12.315000  0.890000 12.940000 1.220000 ;
+      RECT 12.600000  0.255000 12.940000 0.890000 ;
+      RECT 12.600000  1.220000 12.940000 2.465000 ;
+      RECT 13.110000  0.085000 13.355000 0.900000 ;
+      RECT 13.110000  1.465000 13.355000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.615000  0.425000  4.785000 0.595000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.530000  0.425000  6.700000 0.595000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.100000  1.445000  7.270000 1.615000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.510000  1.785000  7.680000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.100000  1.785000 10.270000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.445000 10.690000 1.615000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 10.980000  1.785000 11.150000 1.955000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.230000  1.785000 12.400000 1.955000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 12.690000  0.765000 12.860000 0.935000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000 10.330000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000 10.750000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 12.920000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.555000 0.395000  6.760000 0.580000 ;
+      RECT  4.555000 0.580000  4.845000 0.625000 ;
+      RECT  6.470000 0.580000  6.760000 0.625000 ;
+      RECT  7.040000 1.415000  7.330000 1.460000 ;
+      RECT  7.040000 1.600000  7.330000 1.645000 ;
+      RECT  7.450000 1.755000  7.740000 1.800000 ;
+      RECT  7.450000 1.940000  7.740000 1.985000 ;
+      RECT 10.040000 1.755000 10.330000 1.800000 ;
+      RECT 10.040000 1.940000 10.330000 1.985000 ;
+      RECT 10.460000 1.415000 10.750000 1.460000 ;
+      RECT 10.460000 1.600000 10.750000 1.645000 ;
+      RECT 10.920000 1.755000 11.210000 1.800000 ;
+      RECT 10.920000 1.800000 12.460000 1.940000 ;
+      RECT 10.920000 1.940000 11.210000 1.985000 ;
+      RECT 12.170000 1.755000 12.460000 1.800000 ;
+      RECT 12.170000 1.940000 12.460000 1.985000 ;
+      RECT 12.630000 0.735000 12.920000 0.780000 ;
+      RECT 12.630000 0.920000 12.920000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sedfxbp_1
+MACRO sky130_fd_sc_hd__and4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.485000 0.995000 5.845000 1.620000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.765000 0.780000 1.635000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 0.755000 3.545000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 0.995000 3.080000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.650000 2.080000 0.820000 ;
+        RECT 0.960000 0.820000 1.240000 1.545000 ;
+        RECT 0.960000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.490000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  1.075000 2.500000 1.245000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 2.330000  0.615000 2.940000 0.785000 ;
+      RECT 2.330000  0.785000 2.500000 1.075000 ;
+      RECT 2.330000  1.245000 2.500000 1.545000 ;
+      RECT 2.330000  1.545000 4.150000 1.715000 ;
+      RECT 2.770000  0.300000 4.610000 0.470000 ;
+      RECT 2.770000  0.470000 2.940000 0.615000 ;
+      RECT 3.330000  2.255000 3.660000 2.635000 ;
+      RECT 3.730000  0.995000 3.900000 1.155000 ;
+      RECT 3.730000  1.155000 4.490000 1.325000 ;
+      RECT 4.255000  0.470000 4.610000 0.810000 ;
+      RECT 4.320000  1.325000 4.490000 1.915000 ;
+      RECT 4.360000  2.255000 5.370000 2.635000 ;
+      RECT 4.950000  0.655000 5.805000 0.825000 ;
+      RECT 4.950000  0.825000 5.120000 1.915000 ;
+      RECT 4.950000  1.915000 5.805000 2.085000 ;
+      RECT 4.975000  0.085000 5.305000 0.465000 ;
+      RECT 5.635000  0.255000 5.805000 0.655000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_4
+MACRO sky130_fd_sc_hd__and4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.625000 0.775000 1.955000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.765000 0.815000 0.945000 ;
+        RECT 0.605000 0.945000 1.225000 1.115000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.415000 3.080000 0.995000 ;
+        RECT 2.895000 0.995000 3.125000 1.325000 ;
+        RECT 2.895000 1.325000 3.080000 1.635000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.420000 3.545000 0.995000 ;
+        RECT 3.350000 0.995000 3.605000 1.325000 ;
+        RECT 3.350000 1.325000 3.545000 1.635000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.425400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.255000 0.255000 4.515000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.285000 ;
+      RECT 0.085000  1.285000 1.215000 1.455000 ;
+      RECT 0.085000  1.455000 0.255000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.655000  0.085000 0.985000 0.465000 ;
+      RECT 0.655000  2.255000 0.985000 2.635000 ;
+      RECT 1.045000  1.455000 1.215000 1.575000 ;
+      RECT 1.045000  1.575000 1.625000 1.745000 ;
+      RECT 1.165000  0.255000 2.645000 0.425000 ;
+      RECT 1.165000  0.425000 1.565000 0.755000 ;
+      RECT 1.225000  1.915000 1.965000 2.085000 ;
+      RECT 1.225000  2.085000 1.415000 2.465000 ;
+      RECT 1.395000  0.755000 1.565000 1.235000 ;
+      RECT 1.395000  1.235000 1.965000 1.405000 ;
+      RECT 1.665000  2.255000 1.995000 2.635000 ;
+      RECT 1.755000  0.595000 2.305000 0.925000 ;
+      RECT 1.795000  1.405000 1.965000 1.915000 ;
+      RECT 2.135000  0.925000 2.305000 1.915000 ;
+      RECT 2.135000  1.915000 4.085000 2.085000 ;
+      RECT 2.205000  2.085000 2.375000 2.465000 ;
+      RECT 2.475000  0.425000 2.645000 1.325000 ;
+      RECT 2.570000  2.255000 2.900000 2.635000 ;
+      RECT 3.160000  2.085000 3.330000 2.465000 ;
+      RECT 3.755000  0.085000 4.085000 0.465000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.915000  0.995000 4.085000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_1
+MACRO sky130_fd_sc_hd__and4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.330000 1.635000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.765000 4.175000 1.305000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.420000 3.175000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.425000 3.655000 1.405000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.545000 1.320000 1.715000 ;
+        RECT 1.015000 0.255000 1.240000 1.545000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.670000 0.805000 ;
+      RECT 0.175000  1.885000 1.925000 2.055000 ;
+      RECT 0.175000  2.055000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.885000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.465000 ;
+      RECT 1.415000  0.635000 2.405000 0.805000 ;
+      RECT 1.415000  0.805000 1.585000 1.325000 ;
+      RECT 1.490000  2.255000 2.160000 2.635000 ;
+      RECT 1.755000  0.995000 2.065000 1.325000 ;
+      RECT 1.755000  1.325000 1.925000 1.885000 ;
+      RECT 2.010000  0.255000 2.180000 0.635000 ;
+      RECT 2.235000  0.805000 2.405000 1.915000 ;
+      RECT 2.235000  1.915000 3.415000 2.085000 ;
+      RECT 2.395000  2.085000 2.565000 2.465000 ;
+      RECT 2.575000  1.400000 2.745000 1.575000 ;
+      RECT 2.575000  1.575000 3.755000 1.745000 ;
+      RECT 2.735000  2.255000 3.075000 2.635000 ;
+      RECT 3.245000  2.085000 3.415000 2.465000 ;
+      RECT 3.585000  1.745000 3.755000 1.915000 ;
+      RECT 3.585000  1.915000 4.515000 2.085000 ;
+      RECT 3.755000  2.255000 4.085000 2.635000 ;
+      RECT 3.835000  0.085000 4.085000 0.585000 ;
+      RECT 4.255000  0.255000 4.515000 0.585000 ;
+      RECT 4.255000  2.085000 4.515000 2.465000 ;
+      RECT 4.345000  0.585000 4.515000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4bb_2
+MACRO sky130_fd_sc_hd__probec_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met4 ;
+        RECT -1.140000 0.770000 0.040000 1.950000 ;
+        RECT  1.460000 0.770000 2.640000 1.950000 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -1.260000  0.560000 2.760000 2.160000 ;
+        RECT  1.160000 -1.105000 2.760000 0.560000 ;
+        RECT  1.160000  2.160000 2.760000 3.825000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 -1.170000 6.675000 0.560000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met5 ;
+        RECT 4.360000 2.160000 6.675000 3.890000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.870000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.305000  1.105000 4.475000 1.275000 ;
+      RECT 4.665000  1.105000 4.835000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
+      RECT 0.000000 -0.130000 5.840000  0.130000 ;
+      RECT 0.000000  0.130000 5.520000  0.240000 ;
+      RECT 0.000000  2.480000 5.520000  2.590000 ;
+      RECT 0.000000  2.590000 5.840000  2.850000 ;
+      RECT 0.000000  2.850000 5.520000  2.960000 ;
+      RECT 2.020000  1.060000 2.660000  1.120000 ;
+      RECT 2.020000  1.120000 4.895000  1.260000 ;
+      RECT 2.020000  1.260000 2.660000  1.320000 ;
+      RECT 4.245000  1.075000 4.895000  1.120000 ;
+      RECT 4.245000  1.260000 4.895000  1.305000 ;
+    LAYER met2 ;
+      RECT 1.890000  1.050000 2.660000 1.330000 ;
+      RECT 5.135000 -0.140000 5.905000 0.140000 ;
+      RECT 5.135000  2.580000 5.905000 2.860000 ;
+    LAYER met3 ;
+      RECT -0.715000  1.030000 0.065000 1.350000 ;
+      RECT  1.885000  1.025000 2.665000 1.355000 ;
+      RECT  5.130000 -0.165000 5.910000 0.165000 ;
+      RECT  5.130000  2.555000 5.910000 2.885000 ;
+    LAYER met4 ;
+      RECT 4.930000 -0.895000 6.110000 0.285000 ;
+      RECT 4.930000  2.435000 6.110000 3.615000 ;
+    LAYER via ;
+      RECT 2.050000  1.060000 2.310000 1.320000 ;
+      RECT 2.370000  1.060000 2.630000 1.320000 ;
+      RECT 5.230000 -0.130000 5.490000 0.130000 ;
+      RECT 5.230000  2.590000 5.490000 2.850000 ;
+      RECT 5.550000 -0.130000 5.810000 0.130000 ;
+      RECT 5.550000  2.590000 5.810000 2.850000 ;
+    LAYER via2 ;
+      RECT 1.935000  1.050000 2.215000 1.330000 ;
+      RECT 2.335000  1.050000 2.615000 1.330000 ;
+      RECT 5.180000 -0.140000 5.460000 0.140000 ;
+      RECT 5.180000  2.580000 5.460000 2.860000 ;
+      RECT 5.580000 -0.140000 5.860000 0.140000 ;
+      RECT 5.580000  2.580000 5.860000 2.860000 ;
+    LAYER via3 ;
+      RECT -0.685000  1.030000 -0.365000 1.350000 ;
+      RECT -0.285000  1.030000  0.035000 1.350000 ;
+      RECT  1.915000  1.030000  2.235000 1.350000 ;
+      RECT  2.315000  1.030000  2.635000 1.350000 ;
+      RECT  5.160000 -0.160000  5.480000 0.160000 ;
+      RECT  5.160000  2.560000  5.480000 2.880000 ;
+      RECT  5.560000 -0.160000  5.880000 0.160000 ;
+      RECT  5.560000  2.560000  5.880000 2.880000 ;
+  END
+END sky130_fd_sc_hd__probec_p_8
+MACRO sky130_fd_sc_hd__nor4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.115000 0.995000 3.595000 1.275000 ;
+        RECT 3.295000 1.275000 3.595000 1.705000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 2.945000 1.445000 ;
+        RECT 2.615000 1.445000 3.085000 1.630000 ;
+        RECT 2.825000 1.630000 3.085000 2.410000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.606900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 1.955000 2.055000 2.125000 ;
+        RECT 1.855000 0.655000 3.085000 0.825000 ;
+        RECT 1.855000 0.825000 2.055000 1.955000 ;
+        RECT 2.015000 0.300000 2.215000 0.655000 ;
+        RECT 2.885000 0.310000 3.085000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.885000 ;
+      RECT 0.085000  1.885000 1.205000 2.070000 ;
+      RECT 0.085000  2.070000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.995000  1.525000 1.590000 1.715000 ;
+      RECT 1.035000  2.070000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.395000 2.465000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.590000 0.825000 ;
+      RECT 1.410000  0.825000 1.590000 0.995000 ;
+      RECT 1.410000  0.995000 1.685000 1.325000 ;
+      RECT 1.410000  1.325000 1.590000 1.525000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 2.225000  0.995000 2.395000 2.295000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 3.255000  0.085000 3.585000 0.825000 ;
+      RECT 3.255000  1.875000 3.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_1
+MACRO sky130_fd_sc_hd__nor4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.375000 1.075000 9.110000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 7.105000 1.285000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.445000 1.365000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.075000 1.295000 1.325000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.415000 3.185000 1.705000 ;
+        RECT 1.935000 0.255000 2.265000 0.725000 ;
+        RECT 1.935000 0.725000 8.665000 0.905000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.015000 0.905000 3.185000 1.415000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 4.455000 0.255000 4.785000 0.725000 ;
+        RECT 5.815000 0.255000 6.145000 0.725000 ;
+        RECT 6.655000 0.255000 6.985000 0.725000 ;
+        RECT 7.495000 0.255000 7.825000 0.725000 ;
+        RECT 8.335000 0.255000 8.665000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.255000 0.445000 0.725000 ;
+      RECT 0.085000  0.725000 0.785000 0.895000 ;
+      RECT 0.085000  1.535000 0.785000 1.875000 ;
+      RECT 0.085000  1.875000 3.525000 2.045000 ;
+      RECT 0.085000  2.045000 0.365000 2.465000 ;
+      RECT 0.535000  2.215000 0.865000 2.635000 ;
+      RECT 0.615000  0.085000 0.785000 0.555000 ;
+      RECT 0.615000  0.895000 0.785000 1.535000 ;
+      RECT 0.955000  0.255000 1.285000 0.735000 ;
+      RECT 0.955000  0.735000 1.635000 0.905000 ;
+      RECT 0.955000  1.535000 1.635000 1.705000 ;
+      RECT 1.465000  0.905000 1.635000 1.075000 ;
+      RECT 1.465000  1.075000 2.845000 1.245000 ;
+      RECT 1.465000  1.245000 1.635000 1.535000 ;
+      RECT 1.515000  2.215000 3.525000 2.295000 ;
+      RECT 1.515000  2.295000 5.195000 2.465000 ;
+      RECT 1.595000  0.085000 1.765000 0.555000 ;
+      RECT 2.435000  0.085000 2.605000 0.555000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 3.355000  1.075000 4.905000 1.285000 ;
+      RECT 3.355000  1.285000 3.525000 1.875000 ;
+      RECT 3.695000  1.455000 6.945000 1.625000 ;
+      RECT 3.695000  1.625000 3.905000 2.125000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.285000 0.555000 ;
+      RECT 4.495000  1.625000 4.745000 2.125000 ;
+      RECT 4.915000  1.795000 5.195000 2.295000 ;
+      RECT 4.955000  0.085000 5.645000 0.555000 ;
+      RECT 5.380000  1.795000 5.685000 2.295000 ;
+      RECT 5.380000  2.295000 7.365000 2.465000 ;
+      RECT 5.855000  1.625000 6.105000 2.125000 ;
+      RECT 6.275000  1.795000 6.525000 2.295000 ;
+      RECT 6.315000  0.085000 6.485000 0.555000 ;
+      RECT 6.695000  1.625000 6.945000 2.125000 ;
+      RECT 7.115000  1.455000 9.110000 1.625000 ;
+      RECT 7.115000  1.625000 7.365000 2.295000 ;
+      RECT 7.155000  0.085000 7.325000 0.555000 ;
+      RECT 7.535000  1.795000 7.785000 2.635000 ;
+      RECT 7.955000  1.625000 8.205000 2.465000 ;
+      RECT 7.995000  0.085000 8.165000 0.555000 ;
+      RECT 8.375000  1.795000 8.625000 2.635000 ;
+      RECT 8.795000  1.625000 9.110000 2.465000 ;
+      RECT 8.835000  0.085000 9.110000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_4
+MACRO sky130_fd_sc_hd__nor4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.130000 1.075000 5.895000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 1.075000 4.960000 1.275000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.235000 1.325000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.780000 1.695000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.060000 0.255000 2.390000 0.725000 ;
+        RECT 2.060000 0.725000 5.450000 0.905000 ;
+        RECT 2.900000 0.255000 3.230000 0.725000 ;
+        RECT 2.900000 1.445000 3.995000 1.705000 ;
+        RECT 3.575000 0.905000 3.995000 1.445000 ;
+        RECT 4.280000 0.255000 4.610000 0.725000 ;
+        RECT 5.120000 0.255000 5.450000 0.725000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.450000 0.465000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.885000 ;
+      RECT 0.085000  1.885000 1.915000 2.055000 ;
+      RECT 0.085000  2.055000 0.345000 2.455000 ;
+      RECT 0.515000  2.240000 0.845000 2.635000 ;
+      RECT 0.635000  0.085000 0.805000 0.825000 ;
+      RECT 0.995000  1.525000 1.575000 1.715000 ;
+      RECT 1.055000  0.450000 1.250000 0.655000 ;
+      RECT 1.055000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 2.390000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.560000  0.085000 1.890000 0.480000 ;
+      RECT 1.640000  2.225000 1.970000 2.295000 ;
+      RECT 1.640000  2.295000 3.650000 2.465000 ;
+      RECT 1.745000  1.415000 2.730000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.885000 ;
+      RECT 2.140000  1.795000 2.310000 1.875000 ;
+      RECT 2.140000  1.875000 4.610000 2.045000 ;
+      RECT 2.140000  2.045000 2.310000 2.125000 ;
+      RECT 2.480000  2.215000 3.650000 2.295000 ;
+      RECT 2.560000  0.085000 2.730000 0.555000 ;
+      RECT 2.560000  1.075000 3.405000 1.275000 ;
+      RECT 2.560000  1.275000 2.730000 1.415000 ;
+      RECT 3.400000  0.085000 4.110000 0.555000 ;
+      RECT 3.860000  2.215000 4.990000 2.465000 ;
+      RECT 4.320000  1.455000 4.610000 1.875000 ;
+      RECT 4.780000  0.085000 4.950000 0.555000 ;
+      RECT 4.780000  1.455000 5.870000 1.625000 ;
+      RECT 4.780000  1.625000 4.990000 2.215000 ;
+      RECT 5.160000  1.795000 5.370000 2.635000 ;
+      RECT 5.540000  1.625000 5.870000 2.465000 ;
+      RECT 5.620000  0.085000 5.895000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4bb_2
+MACRO sky130_fd_sc_hd__dlrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.478500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.680000 0.330000 5.850000 0.665000 ;
+        RECT 5.680000 0.665000 6.150000 0.835000 ;
+        RECT 5.680000 1.495000 6.065000 1.660000 ;
+        RECT 5.680000 1.660000 5.930000 2.465000 ;
+        RECT 5.790000 0.835000 6.150000 0.885000 ;
+        RECT 5.790000 0.885000 6.360000 1.325000 ;
+        RECT 5.790000 1.325000 6.065000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.515000 0.255000 7.765000 0.825000 ;
+        RECT 7.515000 1.605000 7.765000 2.465000 ;
+        RECT 7.595000 0.825000 7.765000 1.055000 ;
+        RECT 7.595000 1.055000 8.195000 1.325000 ;
+        RECT 7.595000 1.325000 7.765000 1.605000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.400000 0.995000 5.150000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.125000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.600000 2.635000 ;
+      RECT 3.840000  1.535000 5.510000 1.705000 ;
+      RECT 3.840000  1.705000 4.940000 1.865000 ;
+      RECT 4.270000  0.415000 4.570000 0.655000 ;
+      RECT 4.270000  0.655000 5.510000 0.825000 ;
+      RECT 4.770000  1.865000 4.940000 2.435000 ;
+      RECT 5.110000  0.085000 5.490000 0.485000 ;
+      RECT 5.110000  1.875000 5.490000 2.635000 ;
+      RECT 5.320000  0.825000 5.510000 0.995000 ;
+      RECT 5.320000  0.995000 5.620000 1.325000 ;
+      RECT 5.320000  1.325000 5.510000 1.535000 ;
+      RECT 6.020000  0.085000 6.360000 0.465000 ;
+      RECT 6.100000  1.830000 6.360000 2.635000 ;
+      RECT 6.535000  0.255000 6.865000 0.995000 ;
+      RECT 6.535000  0.995000 7.425000 1.325000 ;
+      RECT 6.535000  1.325000 6.870000 2.465000 ;
+      RECT 7.035000  0.085000 7.340000 0.545000 ;
+      RECT 7.045000  1.835000 7.340000 2.635000 ;
+      RECT 7.935000  0.085000 8.195000 0.885000 ;
+      RECT 7.935000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.785000 3.100000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.160000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.870000 1.755000 3.160000 1.800000 ;
+      RECT 2.870000 1.940000 3.160000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_2
+MACRO sky130_fd_sc_hd__dlrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.410000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.565000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.450000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 1.685000 ;
+      RECT 2.600000  0.765000 3.095000 1.035000 ;
+      RECT 2.745000  2.255000 3.585000 2.425000 ;
+      RECT 2.770000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.035000 3.095000 1.575000 ;
+      RECT 2.925000  1.575000 3.265000 1.905000 ;
+      RECT 2.925000  1.905000 3.130000 1.995000 ;
+      RECT 3.270000  2.125000 3.585000 2.255000 ;
+      RECT 3.305000  2.075000 3.585000 2.125000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.395000  2.015000 3.605000 2.045000 ;
+      RECT 3.395000  2.045000 3.585000 2.075000 ;
+      RECT 3.415000  1.990000 3.605000 2.015000 ;
+      RECT 3.420000  1.975000 3.605000 1.990000 ;
+      RECT 3.430000  1.960000 3.605000 1.975000 ;
+      RECT 3.435000  1.165000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 1.960000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.755000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.535000 5.890000 1.765000 ;
+      RECT 3.840000  1.765000 4.950000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.890000 0.825000 ;
+      RECT 4.780000  1.865000 4.950000 2.435000 ;
+      RECT 5.120000  0.085000 5.890000 0.485000 ;
+      RECT 5.120000  1.935000 5.890000 2.635000 ;
+      RECT 5.655000  0.825000 5.890000 1.535000 ;
+      RECT 6.580000  0.255000 6.805000 0.995000 ;
+      RECT 6.580000  0.995000 7.395000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.445000 2.640000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.700000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.415000 2.700000 1.460000 ;
+      RECT 2.410000 1.600000 2.700000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrbp_1
+MACRO sky130_fd_sc_hd__o21ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.410000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.325000 ;
+        RECT 0.590000 1.325000 0.785000 2.375000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.202500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.295000 1.750000 1.655000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.517000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.505000 1.315000 1.785000 ;
+        RECT 0.965000 1.785000 1.295000 2.465000 ;
+        RECT 1.145000 0.955000 1.665000 1.125000 ;
+        RECT 1.145000 1.125000 1.315000 1.505000 ;
+        RECT 1.495000 0.390000 1.665000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.265000 0.380000 0.615000 ;
+      RECT 0.090000  0.615000 1.305000 0.785000 ;
+      RECT 0.090000  1.495000 0.410000 2.635000 ;
+      RECT 0.575000  0.085000 0.905000 0.445000 ;
+      RECT 1.075000  0.310000 1.305000 0.615000 ;
+      RECT 1.495000  1.835000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_1
+MACRO sky130_fd_sc_hd__o21ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 1.055000 0.450000 1.445000 ;
+        RECT 0.120000 1.445000 2.095000 1.615000 ;
+        RECT 1.600000 1.075000 2.095000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.620000 1.075000 1.420000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 0.765000 3.130000 1.400000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.742000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.785000 2.645000 1.965000 ;
+        RECT 0.995000 1.965000 1.295000 2.125000 ;
+        RECT 2.410000 1.965000 2.645000 2.465000 ;
+        RECT 2.435000 0.595000 2.645000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.255000 0.435000 0.715000 ;
+      RECT 0.105000  0.715000 2.265000 0.885000 ;
+      RECT 0.105000  1.785000 0.435000 2.635000 ;
+      RECT 0.605000  1.785000 0.825000 2.295000 ;
+      RECT 0.605000  2.295000 1.715000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.965000  0.255000 1.295000 0.715000 ;
+      RECT 1.525000  0.085000 1.695000 0.545000 ;
+      RECT 1.525000  2.135000 1.715000 2.295000 ;
+      RECT 1.910000  2.175000 2.240000 2.635000 ;
+      RECT 1.935000  0.255000 3.125000 0.425000 ;
+      RECT 1.935000  0.425000 2.265000 0.715000 ;
+      RECT 2.815000  0.425000 3.125000 0.595000 ;
+      RECT 2.815000  1.570000 3.125000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_2
+MACRO sky130_fd_sc_hd__o21ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.415000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 1.100000 1.005000 1.340000 ;
+        RECT 0.605000 1.340000 0.775000 1.645000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 1.355000 1.730000 1.685000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.290500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.510000 1.345000 1.680000 ;
+        RECT 0.965000 1.680000 1.300000 2.465000 ;
+        RECT 1.175000 0.955000 1.740000 1.125000 ;
+        RECT 1.175000 1.125000 1.345000 1.510000 ;
+        RECT 1.455000 0.280000 1.740000 0.955000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.120000  0.280000 0.380000 0.615000 ;
+      RECT 0.120000  0.615000 1.285000 0.785000 ;
+      RECT 0.145000  1.825000 0.475000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.050000  0.280000 1.285000 0.615000 ;
+      RECT 1.470000  1.855000 1.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_0
+MACRO sky130_fd_sc_hd__o21ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.015000 1.475000 1.320000 ;
+        RECT 0.575000 1.320000 1.475000 1.515000 ;
+        RECT 0.575000 1.515000 3.695000 1.685000 ;
+        RECT 3.445000 0.990000 3.695000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.070000 3.275000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.905000 1.015000 5.255000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 1.855000 5.150000 2.025000 ;
+        RECT 3.935000 1.445000 5.835000 1.700000 ;
+        RECT 3.935000 1.700000 5.150000 1.855000 ;
+        RECT 4.030000 0.615000 5.835000 0.845000 ;
+        RECT 4.080000 2.025000 5.150000 2.085000 ;
+        RECT 4.080000 2.085000 4.290000 2.465000 ;
+        RECT 4.960000 2.085000 5.150000 2.465000 ;
+        RECT 5.425000 0.845000 5.835000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.615000 3.860000 0.820000 ;
+      RECT 0.120000  1.820000 0.405000 2.635000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 0.575000  1.915000 1.670000 2.085000 ;
+      RECT 0.575000  2.085000 0.810000 2.465000 ;
+      RECT 0.980000  2.255000 1.310000 2.635000 ;
+      RECT 1.410000  0.085000 1.740000 0.445000 ;
+      RECT 1.480000  2.085000 1.670000 2.275000 ;
+      RECT 1.480000  2.275000 3.460000 2.465000 ;
+      RECT 2.270000  0.085000 2.600000 0.445000 ;
+      RECT 3.130000  0.085000 3.460000 0.445000 ;
+      RECT 3.630000  0.255000 5.650000 0.445000 ;
+      RECT 3.630000  0.445000 3.860000 0.615000 ;
+      RECT 3.630000  2.195000 3.910000 2.635000 ;
+      RECT 4.460000  2.255000 4.790000 2.635000 ;
+      RECT 5.320000  1.880000 5.650000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ai_4
+MACRO sky130_fd_sc_hd__o2111ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.820000 1.075000 9.575000 1.340000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.110000 1.075000 7.325000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 5.455000 1.345000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.075000 3.550000 1.345000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.755000 1.345000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.984350 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.645000 1.685000 0.815000 ;
+        RECT 0.085000 0.815000 0.375000 1.515000 ;
+        RECT 0.085000 1.515000 7.390000 1.685000 ;
+        RECT 0.085000 1.685000 0.360000 2.465000 ;
+        RECT 1.015000 1.685000 1.195000 2.465000 ;
+        RECT 1.845000 1.685000 2.035000 2.465000 ;
+        RECT 2.685000 1.685000 2.875000 2.465000 ;
+        RECT 3.525000 1.685000 3.715000 2.465000 ;
+        RECT 4.570000 1.685000 4.760000 2.465000 ;
+        RECT 5.410000 1.685000 5.600000 2.465000 ;
+        RECT 6.285000 1.685000 6.480000 2.100000 ;
+        RECT 7.045000 1.685000 7.390000 1.720000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  0.285000 2.025000 0.475000 ;
+      RECT 0.530000  1.855000 0.845000 2.635000 ;
+      RECT 1.390000  1.855000 1.675000 2.635000 ;
+      RECT 1.855000  0.475000 2.025000 0.615000 ;
+      RECT 1.855000  0.615000 3.785000 0.825000 ;
+      RECT 2.195000  0.255000 5.565000 0.445000 ;
+      RECT 2.205000  1.855000 2.515000 2.635000 ;
+      RECT 3.045000  1.855000 3.355000 2.635000 ;
+      RECT 3.975000  0.655000 9.440000 0.905000 ;
+      RECT 4.075000  1.855000 4.400000 2.635000 ;
+      RECT 4.930000  1.855000 5.220000 2.635000 ;
+      RECT 5.785000  1.855000 6.115000 2.270000 ;
+      RECT 5.785000  2.270000 7.005000 2.465000 ;
+      RECT 6.100000  0.085000 6.430000 0.485000 ;
+      RECT 6.705000  1.890000 8.235000 2.060000 ;
+      RECT 6.705000  2.060000 7.005000 2.270000 ;
+      RECT 6.960000  0.085000 7.290000 0.485000 ;
+      RECT 7.555000  2.230000 7.885000 2.635000 ;
+      RECT 7.825000  0.085000 8.155000 0.485000 ;
+      RECT 8.045000  1.515000 9.080000 1.685000 ;
+      RECT 8.045000  1.685000 8.235000 1.890000 ;
+      RECT 8.055000  2.060000 8.235000 2.465000 ;
+      RECT 8.410000  1.855000 8.720000 2.635000 ;
+      RECT 8.665000  0.085000 8.995000 0.485000 ;
+      RECT 8.890000  1.685000 9.080000 2.465000 ;
+      RECT 9.265000  1.535000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_4
+MACRO sky130_fd_sc_hd__o2111ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.005000 3.115000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.615000 1.615000 ;
+        RECT 2.270000 1.615000 2.615000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.815000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.255000 1.355000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.815000 1.615000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.857250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.690000 0.885000 ;
+        RECT 0.085000 0.885000 0.315000 1.785000 ;
+        RECT 0.085000 1.785000 2.095000 2.025000 ;
+        RECT 0.790000 2.025000 1.025000 2.465000 ;
+        RECT 1.750000 2.025000 2.095000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.290000  2.195000 0.620000 2.635000 ;
+      RECT 1.210000  2.255000 1.540000 2.635000 ;
+      RECT 1.750000  0.255000 2.095000 0.625000 ;
+      RECT 1.750000  0.625000 3.115000 0.825000 ;
+      RECT 2.285000  0.085000 2.615000 0.455000 ;
+      RECT 2.785000  0.255000 3.115000 0.625000 ;
+      RECT 2.785000  1.795000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_1
+MACRO sky130_fd_sc_hd__o2111ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.635000 1.075000 5.435000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.075000 4.455000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.200000 1.075000 3.185000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.790000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.355000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.302000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.615000 0.935000 0.905000 ;
+        RECT 0.605000 0.905000 0.865000 1.495000 ;
+        RECT 0.605000 1.495000 4.005000 1.665000 ;
+        RECT 0.605000 1.665000 0.865000 2.465000 ;
+        RECT 1.535000 1.665000 1.725000 2.465000 ;
+        RECT 2.395000 1.665000 2.575000 2.465000 ;
+        RECT 3.815000 1.665000 4.005000 2.105000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.260000 1.300000 0.445000 ;
+      RECT 0.175000  0.445000 0.435000 0.865000 ;
+      RECT 0.175000  1.525000 0.425000 2.635000 ;
+      RECT 1.035000  1.835000 1.365000 2.635000 ;
+      RECT 1.115000  0.445000 1.300000 0.735000 ;
+      RECT 1.115000  0.735000 2.275000 0.905000 ;
+      RECT 1.470000  0.255000 3.210000 0.445000 ;
+      RECT 1.470000  0.445000 1.775000 0.530000 ;
+      RECT 1.470000  0.530000 1.760000 0.565000 ;
+      RECT 1.895000  1.840000 2.225000 2.635000 ;
+      RECT 1.925000  0.620000 2.275000 0.735000 ;
+      RECT 2.450000  0.655000 5.435000 0.840000 ;
+      RECT 2.755000  1.835000 3.085000 2.635000 ;
+      RECT 2.880000  0.445000 3.210000 0.485000 ;
+      RECT 3.310000  1.835000 3.570000 2.275000 ;
+      RECT 3.310000  2.275000 4.500000 2.465000 ;
+      RECT 3.380000  0.365000 3.570000 0.655000 ;
+      RECT 3.740000  0.085000 4.070000 0.485000 ;
+      RECT 4.240000  0.365000 4.430000 0.650000 ;
+      RECT 4.240000  0.650000 5.435000 0.655000 ;
+      RECT 4.240000  1.515000 5.360000 1.685000 ;
+      RECT 4.240000  1.685000 4.500000 2.275000 ;
+      RECT 4.600000  0.085000 4.930000 0.480000 ;
+      RECT 4.670000  1.855000 4.930000 2.635000 ;
+      RECT 5.100000  0.365000 5.435000 0.650000 ;
+      RECT 5.100000  1.685000 5.360000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111ai_2
+MACRO sky130_fd_sc_hd__a311oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.000000 0.995000 3.115000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.995000 1.805000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.995000 0.800000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 0.995000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.730000 1.075000 5.410000 1.295000 ;
+        RECT 5.175000 1.295000 5.410000 1.625000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 5.345000 0.825000 ;
+        RECT 3.235000 0.255000 3.405000 0.655000 ;
+        RECT 4.085000 0.255000 4.255000 0.655000 ;
+        RECT 4.260000 0.825000 4.475000 1.510000 ;
+        RECT 4.260000 1.510000 4.990000 1.575000 ;
+        RECT 4.260000 1.575000 5.005000 1.680000 ;
+        RECT 4.660000 1.680000 5.005000 1.745000 ;
+        RECT 4.660000 1.745000 4.990000 1.915000 ;
+        RECT 4.660000 1.915000 5.005000 2.085000 ;
+        RECT 5.175000 0.255000 5.345000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.495000 0.345000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.655000 ;
+      RECT 0.175000  0.655000 2.105000 0.825000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.575000 3.915000 1.745000 ;
+      RECT 0.595000  1.745000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.655000 ;
+      RECT 1.355000  0.305000 3.045000 0.475000 ;
+      RECT 1.435000  1.745000 1.605000 2.465000 ;
+      RECT 1.785000  1.915000 2.135000 2.635000 ;
+      RECT 2.305000  1.745000 2.475000 2.465000 ;
+      RECT 2.645000  1.915000 2.975000 2.635000 ;
+      RECT 3.145000  2.255000 5.345000 2.425000 ;
+      RECT 3.585000  0.085000 3.915000 0.465000 ;
+      RECT 3.585000  1.745000 3.915000 2.085000 ;
+      RECT 4.110000  1.915000 4.440000 2.255000 ;
+      RECT 4.110000  2.425000 4.440000 2.465000 ;
+      RECT 4.675000  0.085000 5.005000 0.465000 ;
+      RECT 5.175000  1.795000 5.345000 2.255000 ;
+      RECT 5.175000  2.425000 5.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_2
+MACRO sky130_fd_sc_hd__a311oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.935000 0.995000 9.530000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.898500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 9.485000 0.805000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+        RECT 7.415000 0.805000 7.735000 1.545000 ;
+        RECT 7.415000 1.545000 9.145000 1.715000 ;
+        RECT 7.415000 1.715000 7.735000 1.975000 ;
+        RECT 7.975000 1.530000 8.305000 1.545000 ;
+        RECT 7.975000 1.715000 8.305000 2.085000 ;
+        RECT 8.475000 0.255000 8.645000 0.635000 ;
+        RECT 8.815000 1.715000 9.145000 2.085000 ;
+        RECT 9.315000 0.255000 9.485000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.595000  1.495000 4.965000 1.665000 ;
+      RECT 0.595000  1.665000 0.765000 2.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.435000  1.665000 1.605000 2.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.275000  1.665000 2.445000 2.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.115000  1.665000 3.285000 2.465000 ;
+      RECT 3.455000  1.915000 3.785000 2.635000 ;
+      RECT 3.955000  1.665000 4.125000 2.465000 ;
+      RECT 4.295000  1.915000 4.625000 2.635000 ;
+      RECT 4.795000  1.665000 4.965000 1.915000 ;
+      RECT 4.795000  1.915000 7.245000 2.085000 ;
+      RECT 4.795000  2.085000 4.965000 2.465000 ;
+      RECT 5.135000  2.255000 5.465000 2.635000 ;
+      RECT 5.655000  2.255000 9.565000 2.425000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.975000  0.085000 8.305000 0.465000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 9.315000  1.835000 9.565000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_4
+MACRO sky130_fd_sc_hd__a311oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.265000 1.365000 0.660000 ;
+        RECT 1.195000 0.660000 1.365000 0.995000 ;
+        RECT 1.195000 0.995000 1.455000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.265000 0.795000 0.995000 ;
+        RECT 0.600000 0.995000 1.025000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.420000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.710000 0.995000 1.935000 1.835000 ;
+        RECT 1.710000 1.835000 2.230000 2.005000 ;
+        RECT 1.950000 2.005000 2.230000 2.355000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.685000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.659750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.535000 0.255000 1.705000 0.655000 ;
+        RECT 1.535000 0.655000 2.650000 0.825000 ;
+        RECT 2.105000 0.825000 2.275000 1.495000 ;
+        RECT 2.105000 1.495000 2.650000 1.665000 ;
+        RECT 2.405000 0.295000 2.650000 0.655000 ;
+        RECT 2.410000 1.665000 2.650000 2.335000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.805000 ;
+      RECT 0.095000  1.495000 0.425000 2.635000 ;
+      RECT 0.600000  1.575000 1.540000 1.745000 ;
+      RECT 0.600000  1.745000 0.770000 2.305000 ;
+      RECT 0.940000  1.915000 1.200000 2.635000 ;
+      RECT 1.370000  1.745000 1.540000 2.175000 ;
+      RECT 1.370000  2.175000 1.700000 2.345000 ;
+      RECT 1.905000  0.085000 2.235000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311oi_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.304000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 1.035000 4.865000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.090400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.115000 0.695000 5.440000 0.865000 ;
+        RECT 0.115000 0.865000 0.285000 1.460000 ;
+        RECT 0.115000 1.460000 5.440000 1.630000 ;
+        RECT 0.595000 1.630000 0.765000 2.435000 ;
+        RECT 1.440000 1.630000 1.610000 2.435000 ;
+        RECT 1.535000 0.280000 1.725000 0.695000 ;
+        RECT 2.280000 1.630000 2.450000 2.435000 ;
+        RECT 2.395000 0.280000 2.585000 0.695000 ;
+        RECT 3.120000 1.630000 3.290000 2.435000 ;
+        RECT 3.255000 0.280000 3.445000 0.695000 ;
+        RECT 3.960000 1.630000 4.130000 2.435000 ;
+        RECT 4.115000 0.280000 4.305000 0.695000 ;
+        RECT 4.800000 1.630000 4.970000 2.435000 ;
+        RECT 5.170000 0.865000 5.440000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.800000 0.425000 2.465000 ;
+        RECT 5.140000 1.800000 5.470000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.130000 2.125000 0.300000 2.295000 ;
+        RECT 5.255000 2.125000 5.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.800000 1.270000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 1.800000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.800000 2.950000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.460000 1.800000 3.790000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.495000 2.125000 3.665000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.300000 1.800000 4.630000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.355000 2.125000 4.525000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.080000 0.360000 2.140000 ;
+        RECT 0.070000 2.140000 5.910000 2.340000 ;
+        RECT 0.930000 2.080000 1.220000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.710000 2.080000 3.000000 2.140000 ;
+        RECT 3.435000 2.080000 3.725000 2.140000 ;
+        RECT 4.295000 2.080000 4.585000 2.140000 ;
+        RECT 5.195000 2.080000 5.485000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 1.035000  0.085000 1.365000 0.525000 ;
+      RECT 1.895000  0.085000 2.225000 0.525000 ;
+      RECT 2.755000  0.085000 3.085000 0.525000 ;
+      RECT 3.615000  0.085000 3.945000 0.525000 ;
+      RECT 4.475000  0.085000 4.805000 0.525000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  4.608000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.345000 0.895000  2.155000 1.275000 ;
+        RECT 8.930000 0.895000 10.710000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+        RECT 1.985000 1.105000 2.155000 1.275000 ;
+        RECT 9.345000 1.105000 9.515000 1.275000 ;
+        RECT 9.805000 1.105000 9.975000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000  2.215000 1.120000 ;
+        RECT 1.465000 1.120000 10.035000 1.260000 ;
+        RECT 1.465000 1.260000  2.215000 1.305000 ;
+        RECT 9.285000 1.075000 10.035000 1.120000 ;
+        RECT 9.285000 1.260000 10.035000 1.305000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  4.520900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  0.615000 1.455000 10.480000 1.665000 ;
+        RECT  0.615000 1.665000  0.785000 2.465000 ;
+        RECT  1.475000 1.665000  1.645000 2.465000 ;
+        RECT  2.325000 0.280000  2.550000 1.415000 ;
+        RECT  2.325000 1.415000  8.755000 1.455000 ;
+        RECT  2.335000 1.665000  2.505000 2.465000 ;
+        RECT  3.155000 0.280000  3.410000 1.415000 ;
+        RECT  3.195000 1.665000  3.365000 2.465000 ;
+        RECT  4.015000 0.280000  4.255000 1.415000 ;
+        RECT  4.055000 1.665000  4.225000 2.465000 ;
+        RECT  4.905000 0.280000  5.255000 1.415000 ;
+        RECT  5.080000 1.665000  5.250000 2.465000 ;
+        RECT  5.925000 0.280000  6.175000 1.415000 ;
+        RECT  5.965000 1.665000  6.135000 2.465000 ;
+        RECT  6.785000 0.280000  7.035000 1.415000 ;
+        RECT  6.825000 1.665000  6.995000 2.465000 ;
+        RECT  7.645000 0.280000  7.895000 1.415000 ;
+        RECT  7.685000 1.665000  7.855000 2.465000 ;
+        RECT  8.505000 0.280000  8.755000 1.415000 ;
+        RECT  8.545000 1.665000  8.715000 2.465000 ;
+        RECT  9.405000 1.665000  9.575000 2.465000 ;
+        RECT 10.265000 1.665000 10.435000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.110000 1.495000  0.440000 2.465000 ;
+        RECT 10.610000 1.835000 10.940000 2.465000 ;
+      LAYER mcon ;
+        RECT  0.130000 2.125000  0.300000 2.295000 ;
+        RECT 10.720000 2.125000 10.890000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 1.835000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.990000 2.125000 1.160000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.825000 1.835000 2.155000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.835000 3.015000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.770000 2.125000 2.940000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.835000 3.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.690000 2.125000 3.860000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.425000 1.835000 4.755000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.550000 2.125000 4.720000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.450000 1.835000 5.780000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.450000 2.125000 5.620000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.315000 1.835000 6.645000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.370000 2.125000 6.540000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.175000 1.835000 7.505000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.230000 2.125000 7.400000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.035000 1.835000 8.365000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.130000 2.125000 8.300000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.895000 1.835000 9.225000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.960000 2.125000 9.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 1.835000 10.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.820000 2.125000 9.990000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.080000  0.360000 2.140000 ;
+        RECT  0.070000 2.140000 10.970000 2.340000 ;
+        RECT  0.930000 2.080000  1.220000 2.140000 ;
+        RECT  1.830000 2.080000  2.120000 2.140000 ;
+        RECT  2.710000 2.080000  3.000000 2.140000 ;
+        RECT  3.630000 2.080000  3.920000 2.140000 ;
+        RECT  4.490000 2.080000  4.780000 2.140000 ;
+        RECT  5.390000 2.080000  5.680000 2.140000 ;
+        RECT  6.310000 2.080000  6.600000 2.140000 ;
+        RECT  7.170000 2.080000  7.460000 2.140000 ;
+        RECT  8.070000 2.080000  8.360000 2.140000 ;
+        RECT  8.900000 2.080000  9.190000 2.140000 ;
+        RECT  9.760000 2.080000 10.050000 2.140000 ;
+        RECT 10.660000 2.080000 10.950000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 11.040000 0.085000 ;
+      RECT 0.000000  2.635000 11.040000 2.805000 ;
+      RECT 1.855000  0.085000  2.125000 0.610000 ;
+      RECT 2.720000  0.085000  2.985000 0.610000 ;
+      RECT 3.580000  0.085000  3.845000 0.610000 ;
+      RECT 4.465000  0.085000  4.730000 0.610000 ;
+      RECT 5.490000  0.085000  5.755000 0.610000 ;
+      RECT 6.350000  0.085000  6.575000 0.610000 ;
+      RECT 7.210000  0.085000  7.475000 0.610000 ;
+      RECT 8.070000  0.085000  8.335000 0.610000 ;
+      RECT 8.930000  0.085000  9.195000 0.610000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.576000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.065000 1.305000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.662600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.155000 1.460000 1.755000 1.630000 ;
+        RECT 0.155000 1.630000 0.375000 2.435000 ;
+        RECT 1.025000 0.280000 1.250000 0.725000 ;
+        RECT 1.025000 0.725000 1.755000 0.895000 ;
+        RECT 1.045000 1.630000 1.235000 2.435000 ;
+        RECT 1.475000 0.895000 1.755000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.800000 0.875000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.600000 2.125000 0.770000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.405000 1.800000 1.735000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.500000 2.125000 1.670000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.540000 2.080000 0.830000 2.140000 ;
+        RECT 1.440000 2.080000 1.730000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.560000  0.085000 0.855000 0.610000 ;
+      RECT 1.420000  0.085000 1.750000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.315000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.375000 0.325000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.336000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.255000 0.840000 0.760000 ;
+        RECT 0.590000 0.760000 1.295000 0.945000 ;
+        RECT 0.595000 0.945000 1.295000 1.290000 ;
+        RECT 0.595000 1.290000 0.765000 2.465000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.665000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.155000 2.125000 0.325000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 1.665000 1.295000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.095000 2.080000 0.385000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 1.010000  0.085000 1.295000 0.590000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.152000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.445000 1.065000 2.660000 1.290000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.075200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.725000 3.135000 0.895000 ;
+        RECT 0.105000 0.895000 0.275000 1.460000 ;
+        RECT 0.105000 1.460000 3.135000 1.630000 ;
+        RECT 0.645000 1.630000 0.815000 2.435000 ;
+        RECT 1.030000 0.280000 1.290000 0.725000 ;
+        RECT 1.505000 1.630000 1.675000 2.435000 ;
+        RECT 1.890000 0.280000 2.145000 0.725000 ;
+        RECT 2.365000 1.630000 2.535000 2.435000 ;
+        RECT 2.835000 0.895000 3.135000 1.460000 ;
+    END
+  END Y
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 1.800000 0.465000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.195000 2.125000 0.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.800000 1.325000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.055000 2.125000 1.225000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.855000 1.800000 2.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.955000 2.125000 2.125000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 1.800000 3.045000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.835000 2.125000 3.005000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.150000 2.340000 ;
+        RECT 0.135000 2.080000 0.425000 2.140000 ;
+        RECT 0.995000 2.080000 1.285000 2.140000 ;
+        RECT 1.895000 2.080000 2.185000 2.140000 ;
+        RECT 2.775000 2.080000 3.065000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.565000  0.085000 0.860000 0.555000 ;
+      RECT 1.460000  0.085000 1.720000 0.555000 ;
+      RECT 2.315000  0.085000 2.615000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
+MACRO sky130_fd_sc_hd__edfxtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.465000 0.305000 10.795000 2.420000 ;
+    END
+  END Q
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.810000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.810000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.810000 0.995000 ;
+      RECT  8.640000  0.995000  9.510000 1.325000 ;
+      RECT  8.640000  1.325000  8.810000 2.165000 ;
+      RECT  8.980000  1.530000  9.880000 1.905000 ;
+      RECT  8.980000  2.135000  9.240000 2.635000 ;
+      RECT  9.050000  0.085000  9.365000 0.615000 ;
+      RECT  9.540000  1.905000  9.880000 2.465000 ;
+      RECT  9.550000  0.300000  9.880000 0.825000 ;
+      RECT  9.690000  0.825000  9.880000 1.530000 ;
+      RECT 10.050000  0.085000 10.295000 0.900000 ;
+      RECT 10.050000  1.465000 10.295000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.700000  0.765000  9.870000 0.935000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.575000 1.755000 0.865000 1.800000 ;
+      RECT 0.575000 1.800000 8.030000 1.940000 ;
+      RECT 0.575000 1.940000 0.865000 1.985000 ;
+      RECT 0.955000 1.415000 1.245000 1.460000 ;
+      RECT 0.955000 1.460000 8.450000 1.600000 ;
+      RECT 0.955000 1.600000 1.245000 1.645000 ;
+      RECT 1.295000 0.395000 4.415000 0.580000 ;
+      RECT 1.295000 0.580000 1.585000 0.625000 ;
+      RECT 3.745000 0.735000 4.035000 0.780000 ;
+      RECT 3.745000 0.780000 9.930000 0.920000 ;
+      RECT 3.745000 0.920000 4.035000 0.965000 ;
+      RECT 4.125000 0.580000 4.415000 0.625000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.150000 1.755000 5.440000 1.800000 ;
+      RECT 5.150000 1.940000 5.440000 1.985000 ;
+      RECT 7.740000 1.755000 8.030000 1.800000 ;
+      RECT 7.740000 1.940000 8.030000 1.985000 ;
+      RECT 8.160000 1.415000 8.450000 1.460000 ;
+      RECT 8.160000 1.600000 8.450000 1.645000 ;
+      RECT 9.640000 0.735000 9.930000 0.780000 ;
+      RECT 9.640000 0.920000 9.930000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxtp_1
+MACRO sky130_fd_sc_hd__nor2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_2
+MACRO sky130_fd_sc_hd__nor2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_1
+MACRO sky130_fd_sc_hd__nor2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END B_N
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2b_4
+MACRO sky130_fd_sc_hd__dlrtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 5.170000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.960000  0.785000 2.340000 1.095000 ;
+      RECT 1.960000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.675000  0.705000 3.095000 1.145000 ;
+      RECT 2.775000  2.255000 3.605000 2.425000 ;
+      RECT 2.810000  0.365000 3.500000 0.535000 ;
+      RECT 2.925000  1.145000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.310000 1.245000 ;
+      RECT 3.435000  1.245000 4.310000 1.325000 ;
+      RECT 3.435000  1.325000 3.605000 2.255000 ;
+      RECT 3.735000  0.085000 4.070000 0.530000 ;
+      RECT 3.800000  2.135000 4.110000 2.635000 ;
+      RECT 3.820000  1.535000 5.585000 1.705000 ;
+      RECT 3.820000  1.705000 4.920000 1.865000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.730000  1.865000 4.920000 2.465000 ;
+      RECT 5.090000  1.875000 5.460000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.485000 ;
+      RECT 5.350000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  0.825000 5.585000 0.995000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_2
+MACRO sky130_fd_sc_hd__dlrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 0.415000 6.355000 2.455000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.500000 0.995000 5.435000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 1.025000 ;
+      RECT 3.330000  1.025000 4.330000 1.245000 ;
+      RECT 3.480000  1.245000 4.330000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  1.535000 5.925000 1.865000 ;
+      RECT 3.820000  2.135000 4.110000 2.635000 ;
+      RECT 4.240000  0.255000 4.590000 0.655000 ;
+      RECT 4.240000  0.655000 5.925000 0.825000 ;
+      RECT 4.300000  2.135000 4.580000 2.635000 ;
+      RECT 4.750000  1.865000 4.940000 2.465000 ;
+      RECT 5.095000  0.085000 5.925000 0.485000 ;
+      RECT 5.110000  2.135000 5.925000 2.635000 ;
+      RECT 5.605000  0.825000 5.925000 1.535000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_1
+MACRO sky130_fd_sc_hd__dlrtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 2.005000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.415000 ;
+      RECT 2.930000  1.415000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 1.025000 ;
+      RECT 3.335000  1.025000 4.315000 1.245000 ;
+      RECT 3.485000  1.245000 4.315000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.245000  0.255000 4.595000 0.655000 ;
+      RECT 4.245000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.785000 2.645000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.445000 3.105000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.165000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.705000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.755000 2.705000 1.800000 ;
+      RECT 2.415000 1.940000 2.705000 1.985000 ;
+      RECT 2.875000 1.415000 3.165000 1.460000 ;
+      RECT 2.875000 1.600000 3.165000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrtn_4
+MACRO sky130_fd_sc_hd__fa_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.995000 1.755000 1.275000 ;
+        RECT 1.245000 1.275000 1.505000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 1.105000 1.695000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 1.030000 3.075000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.905000 1.105000 3.075000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 0.955000 5.080000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.765000 1.105000 4.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.105000 0.995000 6.960000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.145000 1.105000 6.315000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 1.075000 1.755000 1.120000 ;
+        RECT 1.465000 1.120000 6.375000 1.260000 ;
+        RECT 1.465000 1.260000 1.755000 1.305000 ;
+        RECT 2.845000 1.075000 3.135000 1.120000 ;
+        RECT 2.845000 1.260000 3.135000 1.305000 ;
+        RECT 4.705000 1.075000 4.995000 1.120000 ;
+        RECT 4.705000 1.260000 4.995000 1.305000 ;
+        RECT 6.085000 1.075000 6.375000 1.120000 ;
+        RECT 6.085000 1.260000 6.375000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.631500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.645000 1.445000 2.155000 1.690000 ;
+      LAYER mcon ;
+        RECT 1.985000 1.445000 2.155000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.655000 1.435000 4.070000 1.745000 ;
+      LAYER mcon ;
+        RECT 3.845000 1.445000 4.015000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.445000 6.835000 1.735000 ;
+      LAYER mcon ;
+        RECT 6.605000 1.445000 6.775000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.925000 1.415000 2.215000 1.460000 ;
+        RECT 1.925000 1.460000 6.835000 1.600000 ;
+        RECT 1.925000 1.600000 2.215000 1.645000 ;
+        RECT 3.785000 1.415000 4.075000 1.460000 ;
+        RECT 3.785000 1.600000 4.075000 1.645000 ;
+        RECT 6.545000 1.415000 6.835000 1.460000 ;
+        RECT 6.545000 1.600000 6.835000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.475500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.125000 1.105000 2.495000 1.275000 ;
+        RECT 2.325000 1.275000 2.495000 1.570000 ;
+        RECT 2.325000 1.570000 3.415000 1.740000 ;
+        RECT 3.245000 0.965000 4.465000 1.250000 ;
+        RECT 3.245000 1.250000 3.415000 1.570000 ;
+        RECT 4.295000 1.250000 4.465000 1.435000 ;
+        RECT 4.295000 1.435000 4.655000 1.515000 ;
+        RECT 4.295000 1.515000 5.920000 1.685000 ;
+        RECT 5.670000 1.355000 5.920000 1.515000 ;
+        RECT 5.670000 1.685000 5.920000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 0.690000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.415000 ;
+        RECT 0.085000 1.415000 0.735000 1.585000 ;
+        RECT 0.520000 0.315000 0.850000 0.485000 ;
+        RECT 0.520000 0.485000 0.690000 0.735000 ;
+        RECT 0.565000 1.585000 0.735000 1.780000 ;
+        RECT 0.565000 1.780000 0.810000 1.950000 ;
+        RECT 0.600000 1.950000 0.810000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.523500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.395000 0.255000 7.725000 0.485000 ;
+        RECT 7.395000 1.795000 7.645000 1.965000 ;
+        RECT 7.395000 1.965000 7.565000 2.465000 ;
+        RECT 7.475000 0.485000 7.725000 0.735000 ;
+        RECT 7.475000 0.735000 8.195000 0.905000 ;
+        RECT 7.475000 1.415000 8.195000 1.585000 ;
+        RECT 7.475000 1.585000 7.645000 1.795000 ;
+        RECT 7.970000 0.905000 8.195000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.565000 ;
+      RECT 0.180000  1.795000 0.350000 2.635000 ;
+      RECT 0.540000  1.075000 1.075000 1.245000 ;
+      RECT 0.905000  0.655000 2.165000 0.825000 ;
+      RECT 0.905000  0.825000 1.075000 1.075000 ;
+      RECT 0.905000  1.245000 1.075000 1.430000 ;
+      RECT 0.905000  1.430000 1.110000 1.495000 ;
+      RECT 0.905000  1.495000 1.475000 1.600000 ;
+      RECT 0.940000  1.600000 1.475000 1.665000 ;
+      RECT 0.980000  2.275000 1.310000 2.635000 ;
+      RECT 1.020000  0.085000 1.350000 0.465000 ;
+      RECT 1.305000  1.665000 1.475000 1.910000 ;
+      RECT 1.305000  1.910000 2.245000 2.080000 ;
+      RECT 1.535000  0.255000 2.165000 0.655000 ;
+      RECT 1.900000  2.080000 2.245000 2.465000 ;
+      RECT 1.925000  0.825000 2.165000 0.935000 ;
+      RECT 2.415000  0.255000 2.585000 0.615000 ;
+      RECT 2.415000  0.615000 3.425000 0.785000 ;
+      RECT 2.415000  1.935000 3.490000 2.105000 ;
+      RECT 2.415000  2.105000 2.585000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.445000 ;
+      RECT 2.755000  2.275000 3.085000 2.635000 ;
+      RECT 3.255000  0.255000 3.425000 0.615000 ;
+      RECT 3.255000  2.105000 3.490000 2.465000 ;
+      RECT 3.695000  0.085000 4.025000 0.490000 ;
+      RECT 3.695000  1.915000 4.025000 2.635000 ;
+      RECT 4.195000  0.255000 4.365000 0.615000 ;
+      RECT 4.195000  0.615000 5.205000 0.785000 ;
+      RECT 4.195000  1.935000 5.205000 2.105000 ;
+      RECT 4.195000  2.105000 4.365000 2.465000 ;
+      RECT 4.535000  0.085000 4.865000 0.445000 ;
+      RECT 4.535000  2.275000 4.865000 2.635000 ;
+      RECT 5.035000  0.255000 5.205000 0.615000 ;
+      RECT 5.035000  2.105000 5.205000 2.465000 ;
+      RECT 5.250000  0.955000 5.935000 1.125000 ;
+      RECT 5.420000  0.765000 5.935000 0.955000 ;
+      RECT 5.485000  2.125000 6.685000 2.465000 ;
+      RECT 5.540000  0.255000 6.550000 0.505000 ;
+      RECT 5.540000  0.505000 5.710000 0.595000 ;
+      RECT 6.380000  0.505000 6.550000 0.655000 ;
+      RECT 6.380000  0.655000 7.300000 0.825000 ;
+      RECT 6.515000  1.935000 7.180000 2.105000 ;
+      RECT 6.515000  2.105000 6.685000 2.125000 ;
+      RECT 6.780000  0.085000 7.110000 0.445000 ;
+      RECT 6.890000  2.275000 7.220000 2.635000 ;
+      RECT 7.010000  1.470000 7.300000 1.640000 ;
+      RECT 7.010000  1.640000 7.180000 1.935000 ;
+      RECT 7.130000  0.825000 7.300000 1.075000 ;
+      RECT 7.130000  1.075000 7.800000 1.245000 ;
+      RECT 7.130000  1.245000 7.300000 1.470000 ;
+      RECT 7.815000  1.795000 7.985000 2.635000 ;
+      RECT 7.895000  0.085000 8.065000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.685000  0.765000 5.855000 0.935000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 5.915000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 5.625000 0.735000 5.915000 0.780000 ;
+      RECT 5.625000 0.920000 5.915000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_2
+MACRO sky130_fd_sc_hd__fa_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.080000 0.995000 2.680000 1.275000 ;
+        RECT 2.080000 1.275000 2.340000 1.325000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 1.030000 4.000000 1.360000 ;
+      LAYER mcon ;
+        RECT 3.830000 1.105000 4.000000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.645000 0.955000 6.005000 1.275000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.105000 5.860000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 0.995000 7.885000 1.275000 ;
+      LAYER mcon ;
+        RECT 7.070000 1.105000 7.240000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.120000 7.300000 1.260000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 3.770000 1.075000 4.060000 1.120000 ;
+        RECT 3.770000 1.260000 4.060000 1.305000 ;
+        RECT 5.630000 1.075000 5.920000 1.120000 ;
+        RECT 5.630000 1.260000 5.920000 1.305000 ;
+        RECT 7.010000 1.075000 7.300000 1.120000 ;
+        RECT 7.010000 1.260000 7.300000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.633000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.445000 3.080000 1.690000 ;
+      LAYER mcon ;
+        RECT 2.910000 1.445000 3.080000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.580000 1.435000 4.995000 1.745000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.445000 4.940000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.075000 1.445000 7.760000 1.735000 ;
+      LAYER mcon ;
+        RECT 7.530000 1.445000 7.700000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 2.850000 1.415000 3.140000 1.460000 ;
+        RECT 2.850000 1.460000 7.760000 1.600000 ;
+        RECT 2.850000 1.600000 3.140000 1.645000 ;
+        RECT 4.710000 1.415000 5.000000 1.460000 ;
+        RECT 4.710000 1.600000 5.000000 1.645000 ;
+        RECT 7.470000 1.415000 7.760000 1.460000 ;
+        RECT 7.470000 1.600000 7.760000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.477000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.105000 3.420000 1.275000 ;
+        RECT 3.250000 1.275000 3.420000 1.570000 ;
+        RECT 3.250000 1.570000 4.340000 1.740000 ;
+        RECT 4.170000 0.965000 5.390000 1.250000 ;
+        RECT 4.170000 1.250000 4.340000 1.570000 ;
+        RECT 5.220000 1.250000 5.390000 1.435000 ;
+        RECT 5.220000 1.435000 5.580000 1.515000 ;
+        RECT 5.220000 1.515000 6.845000 1.685000 ;
+        RECT 6.595000 1.355000 6.845000 1.515000 ;
+        RECT 6.595000 1.685000 6.845000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.735000 1.525000 0.905000 ;
+        RECT 0.085000 0.905000 0.435000 1.415000 ;
+        RECT 0.085000 1.415000 1.570000 1.585000 ;
+        RECT 0.515000 0.255000 0.845000 0.735000 ;
+        RECT 0.515000 1.585000 0.845000 2.445000 ;
+        RECT 1.355000 0.315000 1.685000 0.485000 ;
+        RECT 1.355000 0.485000 1.525000 0.735000 ;
+        RECT 1.400000 1.585000 1.570000 1.780000 ;
+        RECT 1.400000 1.780000 1.645000 1.950000 ;
+        RECT 1.435000 1.950000 1.645000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.943000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.320000 0.255000  8.650000 0.485000 ;
+        RECT 8.320000 1.795000  8.570000 1.965000 ;
+        RECT 8.320000 1.965000  8.490000 2.465000 ;
+        RECT 8.400000 0.485000  8.650000 0.735000 ;
+        RECT 8.400000 0.735000 10.035000 0.905000 ;
+        RECT 8.400000 1.415000 10.035000 1.585000 ;
+        RECT 8.400000 1.585000  8.570000 1.795000 ;
+        RECT 9.160000 0.270000  9.490000 0.735000 ;
+        RECT 9.160000 1.585000  9.490000 2.425000 ;
+        RECT 9.700000 0.905000 10.035000 1.415000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.175000  0.085000  0.345000 0.565000 ;
+      RECT 0.175000  1.795000  0.345000 2.635000 ;
+      RECT 0.605000  1.075000  1.910000 1.245000 ;
+      RECT 1.015000  0.085000  1.185000 0.565000 ;
+      RECT 1.015000  1.795000  1.185000 2.635000 ;
+      RECT 1.740000  0.655000  3.090000 0.825000 ;
+      RECT 1.740000  0.825000  1.910000 1.075000 ;
+      RECT 1.740000  1.245000  1.910000 1.430000 ;
+      RECT 1.740000  1.430000  1.945000 1.495000 ;
+      RECT 1.740000  1.495000  2.310000 1.600000 ;
+      RECT 1.775000  1.600000  2.310000 1.665000 ;
+      RECT 1.815000  2.275000  2.145000 2.635000 ;
+      RECT 1.855000  0.085000  2.185000 0.465000 ;
+      RECT 2.140000  1.665000  2.310000 1.910000 ;
+      RECT 2.140000  1.910000  3.170000 2.080000 ;
+      RECT 2.370000  0.255000  3.090000 0.655000 ;
+      RECT 2.735000  2.080000  3.170000 2.465000 ;
+      RECT 2.850000  0.825000  3.090000 0.935000 ;
+      RECT 3.340000  0.255000  3.510000 0.615000 ;
+      RECT 3.340000  0.615000  4.350000 0.785000 ;
+      RECT 3.340000  1.935000  4.415000 2.105000 ;
+      RECT 3.340000  2.105000  3.510000 2.465000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 3.680000  2.275000  4.010000 2.635000 ;
+      RECT 4.180000  0.255000  4.350000 0.615000 ;
+      RECT 4.180000  2.105000  4.415000 2.465000 ;
+      RECT 4.620000  0.085000  4.950000 0.490000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  0.255000  5.290000 0.615000 ;
+      RECT 5.120000  0.615000  6.130000 0.785000 ;
+      RECT 5.120000  1.935000  6.130000 2.105000 ;
+      RECT 5.120000  2.105000  5.290000 2.465000 ;
+      RECT 5.460000  0.085000  5.790000 0.445000 ;
+      RECT 5.460000  2.275000  5.790000 2.635000 ;
+      RECT 5.960000  0.255000  6.130000 0.615000 ;
+      RECT 5.960000  2.105000  6.130000 2.465000 ;
+      RECT 6.175000  0.955000  6.860000 1.125000 ;
+      RECT 6.345000  0.765000  6.860000 0.955000 ;
+      RECT 6.410000  2.125000  7.610000 2.465000 ;
+      RECT 6.465000  0.255000  7.475000 0.505000 ;
+      RECT 6.465000  0.505000  6.635000 0.595000 ;
+      RECT 7.305000  0.505000  7.475000 0.655000 ;
+      RECT 7.305000  0.655000  8.225000 0.825000 ;
+      RECT 7.440000  1.935000  8.105000 2.105000 ;
+      RECT 7.440000  2.105000  7.610000 2.125000 ;
+      RECT 7.705000  0.085000  8.035000 0.445000 ;
+      RECT 7.815000  2.275000  8.145000 2.635000 ;
+      RECT 7.935000  1.470000  8.225000 1.640000 ;
+      RECT 7.935000  1.640000  8.105000 1.935000 ;
+      RECT 8.055000  0.825000  8.225000 1.075000 ;
+      RECT 8.055000  1.075000  9.445000 1.245000 ;
+      RECT 8.055000  1.245000  8.225000 1.470000 ;
+      RECT 8.740000  1.795000  8.910000 2.635000 ;
+      RECT 8.820000  0.085000  8.990000 0.565000 ;
+      RECT 9.660000  0.085000  9.830000 0.565000 ;
+      RECT 9.660000  1.795000  9.830000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.910000  0.765000 3.080000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.610000  0.765000 6.780000 0.935000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.850000 0.735000 3.140000 0.780000 ;
+      RECT 2.850000 0.780000 6.840000 0.920000 ;
+      RECT 2.850000 0.920000 3.140000 0.965000 ;
+      RECT 6.550000 0.735000 6.840000 0.780000 ;
+      RECT 6.550000 0.920000 6.840000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_4
+MACRO sky130_fd_sc_hd__fa_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fa_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.275000 ;
+        RECT 0.910000 1.275000 1.080000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.070000 1.105000 1.240000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.230000 1.030000 2.620000 1.360000 ;
+      LAYER mcon ;
+        RECT 2.450000 1.105000 2.620000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.250000 0.955000 4.625000 1.275000 ;
+      LAYER mcon ;
+        RECT 4.310000 1.105000 4.480000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 1.035000 6.325000 1.275000 ;
+      LAYER mcon ;
+        RECT 6.150000 1.105000 6.320000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.010000 1.075000 1.300000 1.120000 ;
+        RECT 1.010000 1.120000 6.380000 1.260000 ;
+        RECT 1.010000 1.260000 1.300000 1.305000 ;
+        RECT 2.390000 1.075000 2.680000 1.120000 ;
+        RECT 2.390000 1.260000 2.680000 1.305000 ;
+        RECT 4.250000 1.075000 4.540000 1.120000 ;
+        RECT 4.250000 1.260000 4.540000 1.305000 ;
+        RECT 6.090000 1.075000 6.380000 1.120000 ;
+        RECT 6.090000 1.260000 6.380000 1.305000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.504000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.445000 1.700000 1.880000 ;
+      LAYER mcon ;
+        RECT 1.530000 1.445000 1.700000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.200000 1.435000 3.560000 1.765000 ;
+      LAYER mcon ;
+        RECT 3.390000 1.445000 3.560000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.635000 1.445000 6.055000 1.765000 ;
+      LAYER mcon ;
+        RECT 5.690000 1.445000 5.860000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.470000 1.415000 1.760000 1.460000 ;
+        RECT 1.470000 1.460000 5.920000 1.600000 ;
+        RECT 1.470000 1.600000 1.760000 1.645000 ;
+        RECT 3.330000 1.415000 3.620000 1.460000 ;
+        RECT 3.330000 1.600000 3.620000 1.645000 ;
+        RECT 5.630000 1.415000 5.920000 1.460000 ;
+        RECT 5.630000 1.600000 5.920000 1.645000 ;
+    END
+  END B
+  PIN CIN
+    ANTENNAGATEAREA  0.378000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.670000 1.105000 2.040000 1.275000 ;
+        RECT 1.870000 1.275000 2.040000 1.595000 ;
+        RECT 1.870000 1.595000 2.960000 1.765000 ;
+        RECT 2.790000 0.965000 3.955000 1.250000 ;
+        RECT 2.790000 1.250000 2.960000 1.595000 ;
+        RECT 3.785000 1.250000 3.955000 1.515000 ;
+        RECT 3.785000 1.515000 5.405000 1.685000 ;
+        RECT 5.155000 1.685000 5.405000 1.955000 ;
+    END
+  END CIN
+  PIN COUT
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.830000 ;
+        RECT 0.085000 0.830000 0.260000 1.485000 ;
+        RECT 0.085000 1.485000 0.345000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.840000 0.255000 7.240000 0.810000 ;
+        RECT 6.840000 1.485000 7.240000 2.465000 ;
+        RECT 6.910000 0.810000 7.240000 1.485000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  0.635000 1.710000 0.805000 ;
+      RECT 0.515000  0.805000 0.685000 0.995000 ;
+      RECT 0.515000  1.325000 0.685000 1.625000 ;
+      RECT 0.515000  1.625000 1.105000 1.945000 ;
+      RECT 0.515000  2.150000 0.765000 2.635000 ;
+      RECT 0.935000  1.945000 1.105000 2.065000 ;
+      RECT 0.935000  2.065000 1.710000 2.465000 ;
+      RECT 1.110000  0.255000 1.710000 0.635000 ;
+      RECT 1.470000  0.805000 1.710000 0.935000 ;
+      RECT 1.960000  0.255000 2.130000 0.615000 ;
+      RECT 1.960000  0.615000 2.970000 0.785000 ;
+      RECT 1.960000  1.935000 3.035000 2.105000 ;
+      RECT 1.960000  2.105000 2.130000 2.465000 ;
+      RECT 2.300000  0.085000 2.630000 0.445000 ;
+      RECT 2.300000  2.275000 2.630000 2.635000 ;
+      RECT 2.800000  0.255000 2.970000 0.615000 ;
+      RECT 2.800000  2.105000 3.035000 2.465000 ;
+      RECT 3.240000  0.085000 3.570000 0.490000 ;
+      RECT 3.240000  2.255000 3.570000 2.635000 ;
+      RECT 3.740000  0.255000 3.910000 0.615000 ;
+      RECT 3.740000  0.615000 4.750000 0.785000 ;
+      RECT 3.740000  1.935000 4.750000 2.105000 ;
+      RECT 3.740000  2.105000 3.910000 2.465000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.080000  2.275000 4.410000 2.635000 ;
+      RECT 4.580000  0.255000 4.750000 0.615000 ;
+      RECT 4.580000  2.105000 4.750000 2.465000 ;
+      RECT 4.795000  0.955000 5.460000 1.125000 ;
+      RECT 4.965000  0.765000 5.460000 0.955000 ;
+      RECT 5.085000  0.255000 6.095000 0.505000 ;
+      RECT 5.085000  0.505000 5.255000 0.595000 ;
+      RECT 5.085000  2.125000 6.170000 2.465000 ;
+      RECT 5.925000  0.505000 6.095000 0.615000 ;
+      RECT 5.925000  0.615000 6.665000 0.785000 ;
+      RECT 6.000000  1.935000 6.665000 2.105000 ;
+      RECT 6.000000  2.105000 6.170000 2.125000 ;
+      RECT 6.265000  0.085000 6.595000 0.445000 ;
+      RECT 6.340000  2.275000 6.670000 2.635000 ;
+      RECT 6.495000  0.785000 6.665000 0.995000 ;
+      RECT 6.495000  0.995000 6.740000 1.325000 ;
+      RECT 6.495000  1.325000 6.665000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  0.765000 5.400000 0.935000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 5.460000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 5.170000 0.735000 5.460000 0.780000 ;
+      RECT 5.170000 0.920000 5.460000 0.965000 ;
+  END
+END sky130_fd_sc_hd__fa_1
+MACRO sky130_fd_sc_hd__o2111a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.830000 1.005000 4.515000 1.315000 ;
+        RECT 4.310000 1.315000 4.515000 2.355000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.995000 3.660000 1.325000 ;
+        RECT 3.370000 1.325000 3.660000 2.370000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.680000 1.075000 3.100000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.390000 1.615000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.075000 1.835000 1.615000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.855000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.135000  0.085000 0.345000 0.885000 ;
+      RECT 0.135000  1.495000 0.345000 2.635000 ;
+      RECT 1.030000  0.715000 1.805000 0.885000 ;
+      RECT 1.030000  0.885000 1.305000 1.785000 ;
+      RECT 1.030000  1.785000 3.195000 2.025000 ;
+      RECT 1.035000  0.085000 1.285000 0.545000 ;
+      RECT 1.035000  2.195000 1.655000 2.635000 ;
+      RECT 1.475000  0.255000 1.805000 0.715000 ;
+      RECT 1.860000  2.025000 2.140000 2.465000 ;
+      RECT 2.325000  2.255000 2.655000 2.635000 ;
+      RECT 2.865000  0.255000 3.195000 0.625000 ;
+      RECT 2.865000  0.625000 4.215000 0.825000 ;
+      RECT 2.865000  2.025000 3.195000 2.465000 ;
+      RECT 3.385000  0.085000 3.715000 0.455000 ;
+      RECT 3.885000  0.255000 4.215000 0.625000 ;
+      RECT 3.885000  1.495000 4.140000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_2
+MACRO sky130_fd_sc_hd__o2111a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.705000 1.075000 4.035000 1.660000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.075000 3.535000 1.325000 ;
+        RECT 3.350000 1.325000 3.535000 2.415000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.390000 2.690000 0.995000 ;
+        RECT 2.445000 0.995000 2.705000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.390000 2.195000 1.325000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.265000 1.075000 1.745000 1.325000 ;
+        RECT 1.535000 0.390000 1.745000 1.075000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.255000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  0.995000 0.865000 1.325000 ;
+      RECT 0.525000  1.835000 1.335000 2.635000 ;
+      RECT 0.535000  0.085000 0.845000 0.565000 ;
+      RECT 0.695000  0.735000 1.365000 0.905000 ;
+      RECT 0.695000  0.905000 0.865000 0.995000 ;
+      RECT 0.695000  1.325000 0.865000 1.495000 ;
+      RECT 0.695000  1.495000 3.180000 1.665000 ;
+      RECT 1.025000  0.255000 1.365000 0.735000 ;
+      RECT 1.505000  1.665000 1.835000 2.465000 ;
+      RECT 2.020000  1.835000 2.760000 2.635000 ;
+      RECT 2.870000  0.255000 3.160000 0.705000 ;
+      RECT 2.870000  0.705000 4.055000 0.875000 ;
+      RECT 2.930000  1.665000 3.180000 2.465000 ;
+      RECT 3.330000  0.085000 3.620000 0.535000 ;
+      RECT 3.730000  1.835000 4.055000 2.635000 ;
+      RECT 3.790000  0.255000 4.055000 0.705000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_1
+MACRO sky130_fd_sc_hd__o2111a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.890000 1.075000 4.485000 1.245000 ;
+        RECT 4.130000 1.245000 4.485000 1.320000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.135000 1.075000 3.600000 1.245000 ;
+        RECT 3.145000 1.245000 3.600000 1.320000 ;
+        RECT 3.305000 1.320000 3.600000 1.490000 ;
+        RECT 3.305000 1.490000 4.825000 1.660000 ;
+        RECT 4.655000 1.075000 4.985000 1.320000 ;
+        RECT 4.655000 1.320000 4.825000 1.490000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.215000 1.320000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.150000 0.995000 1.395000 1.490000 ;
+        RECT 1.150000 1.490000 2.660000 1.660000 ;
+        RECT 2.445000 1.080000 2.820000 1.320000 ;
+        RECT 2.445000 1.320000 2.660000 1.490000 ;
+        RECT 2.490000 1.075000 2.820000 1.080000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 0.340000 1.655000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.962500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.255000 5.875000 0.695000 ;
+        RECT 5.650000 0.695000 7.275000 0.865000 ;
+        RECT 5.755000 1.495000 7.275000 1.665000 ;
+        RECT 5.755000 1.665000 5.925000 2.465000 ;
+        RECT 6.545000 0.255000 6.745000 0.695000 ;
+        RECT 6.585000 1.665000 6.775000 2.465000 ;
+        RECT 7.005000 0.865000 7.275000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  1.835000 5.550000 2.000000 ;
+      RECT 0.090000  2.000000 5.065000 2.005000 ;
+      RECT 0.090000  2.005000 0.345000 2.465000 ;
+      RECT 0.100000  0.255000 2.940000 0.485000 ;
+      RECT 0.100000  0.485000 0.345000 0.825000 ;
+      RECT 0.515000  0.655000 0.860000 1.830000 ;
+      RECT 0.515000  1.830000 5.550000 1.835000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 1.015000  2.005000 1.230000 2.465000 ;
+      RECT 1.400000  2.175000 1.625000 2.635000 ;
+      RECT 1.720000  0.655000 4.795000 0.885000 ;
+      RECT 1.795000  2.005000 2.025000 2.465000 ;
+      RECT 2.195000  2.175000 2.525000 2.635000 ;
+      RECT 2.695000  2.005000 3.285000 2.465000 ;
+      RECT 3.110000  0.085000 3.440000 0.485000 ;
+      RECT 3.610000  0.255000 3.825000 0.655000 ;
+      RECT 3.805000  2.180000 4.135000 2.635000 ;
+      RECT 3.995000  0.085000 4.365000 0.485000 ;
+      RECT 4.535000  0.255000 4.795000 0.655000 ;
+      RECT 4.775000  2.005000 5.065000 2.465000 ;
+      RECT 5.035000  0.085000 5.300000 0.545000 ;
+      RECT 5.245000  2.170000 5.585000 2.635000 ;
+      RECT 5.380000  1.075000 6.760000 1.320000 ;
+      RECT 5.380000  1.320000 5.550000 1.830000 ;
+      RECT 6.075000  0.085000 6.375000 0.525000 ;
+      RECT 6.095000  1.835000 6.415000 2.635000 ;
+      RECT 6.915000  0.085000 7.275000 0.525000 ;
+      RECT 6.945000  1.835000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2111a_4
+MACRO sky130_fd_sc_hd__a22oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.275000 1.075000 5.685000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.910000 1.075000 7.735000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.040000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.895000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.445000 3.325000 1.625000 ;
+        RECT 0.595000 1.625000 0.805000 2.125000 ;
+        RECT 1.395000 1.625000 1.645000 2.125000 ;
+        RECT 2.195000 0.645000 5.565000 0.885000 ;
+        RECT 2.195000 0.885000 2.445000 1.445000 ;
+        RECT 2.235000 1.625000 2.485000 2.125000 ;
+        RECT 3.075000 1.625000 3.325000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.455000 0.425000 2.295000 ;
+      RECT 0.090000  2.295000 4.265000 2.465000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 2.025000 0.905000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.795000 1.225000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 3.785000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.725000 ;
+      RECT 1.815000  1.795000 2.065000 2.295000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 3.495000  1.455000 7.625000 1.625000 ;
+      RECT 3.495000  1.625000 4.265000 2.295000 ;
+      RECT 3.975000  0.255000 5.985000 0.475000 ;
+      RECT 4.435000  1.795000 4.685000 2.635000 ;
+      RECT 4.855000  1.625000 5.105000 2.465000 ;
+      RECT 5.275000  1.795000 5.525000 2.635000 ;
+      RECT 5.695000  1.625000 5.945000 2.465000 ;
+      RECT 5.735000  0.475000 5.985000 0.725000 ;
+      RECT 5.735000  0.725000 7.665000 0.905000 ;
+      RECT 6.115000  1.795000 6.365000 2.635000 ;
+      RECT 6.155000  0.085000 6.325000 0.555000 ;
+      RECT 6.495000  0.255000 6.825000 0.725000 ;
+      RECT 6.535000  1.625000 6.785000 2.465000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 6.995000  0.085000 7.165000 0.555000 ;
+      RECT 7.335000  0.255000 7.665000 0.725000 ;
+      RECT 7.375000  1.625000 7.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_4
+MACRO sky130_fd_sc_hd__a22oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 3.100000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 1.075000 4.500000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.141000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.485000 2.160000 1.655000 ;
+        RECT 0.095000 1.655000 0.345000 2.465000 ;
+        RECT 0.935000 1.655000 1.265000 2.125000 ;
+        RECT 1.355000 0.675000 3.045000 0.845000 ;
+        RECT 1.775000 1.655000 2.160000 2.125000 ;
+        RECT 1.870000 0.845000 2.160000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 0.345000 0.680000 ;
+      RECT 0.095000  0.680000 1.185000 0.850000 ;
+      RECT 0.515000  0.085000 0.845000 0.510000 ;
+      RECT 0.515000  1.825000 0.765000 2.295000 ;
+      RECT 0.515000  2.295000 2.625000 2.465000 ;
+      RECT 1.015000  0.255000 2.105000 0.505000 ;
+      RECT 1.015000  0.505000 1.185000 0.680000 ;
+      RECT 1.435000  1.825000 1.605000 2.295000 ;
+      RECT 2.295000  0.255000 3.385000 0.505000 ;
+      RECT 2.375000  1.485000 4.305000 1.655000 ;
+      RECT 2.375000  1.655000 2.625000 2.295000 ;
+      RECT 2.795000  1.825000 2.965000 2.635000 ;
+      RECT 3.135000  1.655000 3.465000 2.465000 ;
+      RECT 3.215000  0.505000 3.385000 0.680000 ;
+      RECT 3.215000  0.680000 4.375000 0.850000 ;
+      RECT 3.555000  0.085000 3.885000 0.510000 ;
+      RECT 3.635000  1.825000 3.805000 2.635000 ;
+      RECT 3.975000  1.655000 4.305000 2.465000 ;
+      RECT 4.055000  0.255000 4.375000 0.680000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_2
+MACRO sky130_fd_sc_hd__a22oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.675000 1.700000 1.075000 ;
+        RECT 1.490000 1.075000 1.840000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.995000 2.335000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.275000 ;
+        RECT 0.990000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.858000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.445000 1.840000 1.495000 ;
+        RECT 0.095000 1.495000 2.675000 1.625000 ;
+        RECT 0.095000 1.625000 0.425000 2.295000 ;
+        RECT 0.095000 2.295000 1.265000 2.465000 ;
+        RECT 0.820000 0.255000 2.125000 0.505000 ;
+        RECT 0.935000 2.255000 1.265000 2.295000 ;
+        RECT 1.615000 1.625000 2.675000 1.665000 ;
+        RECT 1.945000 0.505000 2.125000 0.655000 ;
+        RECT 1.945000 0.655000 2.675000 0.825000 ;
+        RECT 2.505000 0.825000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.595000 ;
+      RECT 0.595000  1.795000 1.475000 1.835000 ;
+      RECT 0.595000  1.835000 2.125000 2.035000 ;
+      RECT 0.595000  2.035000 1.210000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 1.435000  2.255000 1.810000 2.635000 ;
+      RECT 1.955000  2.035000 2.125000 2.165000 ;
+      RECT 2.305000  0.085000 2.635000 0.485000 ;
+      RECT 2.360000  1.855000 2.625000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22oi_1
+MACRO sky130_fd_sc_hd__and4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.765000 0.330000 1.655000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 0.995000 1.245000 1.325000 ;
+        RECT 0.890000 0.420000 1.245000 0.995000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.425000 1.700000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 0.730000 2.155000 0.935000 ;
+        RECT 1.905000 0.935000 2.075000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.535000 0.255000 2.705000 0.640000 ;
+        RECT 2.535000 0.640000 4.050000 0.810000 ;
+        RECT 2.535000 1.795000 2.785000 2.465000 ;
+        RECT 2.615000 1.485000 4.050000 1.655000 ;
+        RECT 2.615000 1.655000 2.785000 1.795000 ;
+        RECT 3.375000 0.255000 3.545000 0.640000 ;
+        RECT 3.375000 1.655000 4.050000 1.745000 ;
+        RECT 3.375000 1.745000 3.545000 2.465000 ;
+        RECT 3.800000 0.810000 4.050000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.105000  1.835000 0.385000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.415000 1.665000 ;
+      RECT 0.555000  1.665000 0.765000 2.465000 ;
+      RECT 0.955000  1.935000 1.285000 2.635000 ;
+      RECT 1.455000  1.665000 1.645000 2.465000 ;
+      RECT 2.025000  0.085000 2.335000 0.550000 ;
+      RECT 2.025000  1.855000 2.355000 2.635000 ;
+      RECT 2.245000  1.105000 3.585000 1.305000 ;
+      RECT 2.245000  1.305000 2.415000 1.495000 ;
+      RECT 2.575000  1.075000 3.585000 1.105000 ;
+      RECT 2.875000  0.085000 3.205000 0.470000 ;
+      RECT 2.955000  1.835000 3.205000 2.635000 ;
+      RECT 3.715000  0.085000 4.045000 0.470000 ;
+      RECT 3.715000  1.915000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_4
+MACRO sky130_fd_sc_hd__and4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.755000 0.330000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.890000 0.420000 1.245000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.415000 1.720000 1.305000 ;
+        RECT 1.420000 1.305000 1.590000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.900000 0.415000 2.160000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 0.295000 3.065000 0.340000 ;
+        RECT 2.735000 0.340000 3.070000 0.805000 ;
+        RECT 2.735000 1.495000 3.070000 2.465000 ;
+        RECT 2.895000 0.805000 3.070000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  2.255000 0.425000 2.635000 ;
+      RECT 0.175000  0.255000 0.670000 0.585000 ;
+      RECT 0.500000  0.585000 0.670000 1.495000 ;
+      RECT 0.500000  1.495000 2.555000 1.665000 ;
+      RECT 0.600000  1.665000 0.850000 2.465000 ;
+      RECT 1.070000  1.915000 1.400000 2.635000 ;
+      RECT 1.585000  1.665000 1.835000 2.465000 ;
+      RECT 2.235000  1.835000 2.565000 2.635000 ;
+      RECT 2.330000  0.085000 2.565000 0.890000 ;
+      RECT 2.330000  1.075000 2.725000 1.315000 ;
+      RECT 2.330000  1.315000 2.555000 1.495000 ;
+      RECT 3.245000  1.835000 3.575000 2.635000 ;
+      RECT 3.255000  0.085000 3.585000 0.810000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_2
+MACRO sky130_fd_sc_hd__and4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.325000 2.075000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.885000 0.360000 1.235000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.355000 1.715000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.355000 2.175000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.295000 3.135000 0.805000 ;
+        RECT 2.795000 2.205000 3.135000 2.465000 ;
+        RECT 2.875000 0.805000 3.135000 2.205000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.170000  0.255000 0.665000 0.585000 ;
+      RECT 0.495000  0.585000 0.665000 1.495000 ;
+      RECT 0.495000  1.495000 2.685000 1.665000 ;
+      RECT 0.595000  1.665000 0.845000 2.465000 ;
+      RECT 1.065000  1.915000 1.395000 2.635000 ;
+      RECT 1.580000  1.665000 1.830000 2.465000 ;
+      RECT 2.295000  1.835000 2.625000 2.635000 ;
+      RECT 2.355000  0.085000 2.625000 0.885000 ;
+      RECT 2.370000  1.075000 2.700000 1.325000 ;
+      RECT 2.370000  1.325000 2.685000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4_1
+MACRO sky130_fd_sc_hd__bufinv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.265000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  4.295000 0.255000  4.545000 0.260000 ;
+        RECT  4.295000 0.260000  4.625000 0.735000 ;
+        RECT  4.295000 0.735000 10.955000 0.905000 ;
+        RECT  4.295000 1.445000 10.955000 1.615000 ;
+        RECT  4.295000 1.615000  4.625000 2.465000 ;
+        RECT  5.135000 0.260000  5.465000 0.735000 ;
+        RECT  5.135000 1.615000  5.465000 2.465000 ;
+        RECT  5.215000 0.255000  5.385000 0.260000 ;
+        RECT  5.975000 0.260000  6.305000 0.735000 ;
+        RECT  5.975000 1.615000  6.305000 2.465000 ;
+        RECT  6.055000 0.255000  6.225000 0.260000 ;
+        RECT  6.815000 0.260000  7.145000 0.735000 ;
+        RECT  6.815000 1.615000  7.145000 2.465000 ;
+        RECT  7.655000 0.260000  7.985000 0.735000 ;
+        RECT  7.655000 1.615000  7.985000 2.465000 ;
+        RECT  8.495000 0.260000  8.825000 0.735000 ;
+        RECT  8.495000 1.615000  8.825000 2.465000 ;
+        RECT  9.335000 0.260000  9.665000 0.735000 ;
+        RECT  9.335000 1.615000  9.665000 2.465000 ;
+        RECT 10.175000 0.260000 10.505000 0.735000 ;
+        RECT 10.175000 1.615000 10.505000 2.465000 ;
+        RECT 10.680000 0.905000 10.955000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.095000  0.260000  0.425000 0.735000 ;
+      RECT  0.095000  0.735000  1.605000 0.905000 ;
+      RECT  0.095000  1.445000  1.605000 1.615000 ;
+      RECT  0.095000  1.615000  0.425000 2.465000 ;
+      RECT  0.595000  0.085000  0.765000 0.565000 ;
+      RECT  0.595000  1.785000  0.765000 2.635000 ;
+      RECT  0.935000  0.260000  1.265000 0.735000 ;
+      RECT  0.935000  1.615000  1.265000 2.465000 ;
+      RECT  1.435000  0.085000  1.605000 0.565000 ;
+      RECT  1.435000  0.905000  1.605000 1.075000 ;
+      RECT  1.435000  1.075000  3.745000 1.275000 ;
+      RECT  1.435000  1.275000  1.605000 1.445000 ;
+      RECT  1.435000  1.785000  1.605000 2.635000 ;
+      RECT  1.775000  0.260000  2.105000 0.735000 ;
+      RECT  1.775000  0.735000  4.125000 0.905000 ;
+      RECT  1.775000  1.445000  4.125000 1.615000 ;
+      RECT  1.775000  1.615000  2.105000 2.465000 ;
+      RECT  2.275000  0.085000  2.445000 0.565000 ;
+      RECT  2.275000  1.835000  2.445000 2.635000 ;
+      RECT  2.615000  0.260000  2.945000 0.735000 ;
+      RECT  2.615000  1.615000  2.945000 2.465000 ;
+      RECT  3.115000  0.085000  3.285000 0.565000 ;
+      RECT  3.115000  1.835000  3.285000 2.635000 ;
+      RECT  3.455000  0.260000  3.785000 0.735000 ;
+      RECT  3.455000  1.615000  3.785000 2.465000 ;
+      RECT  3.950000  0.905000  4.125000 1.075000 ;
+      RECT  3.950000  1.075000 10.510000 1.275000 ;
+      RECT  3.950000  1.275000  4.125000 1.445000 ;
+      RECT  3.955000  0.085000  4.125000 0.565000 ;
+      RECT  3.955000  1.835000  4.125000 2.635000 ;
+      RECT  4.795000  0.085000  4.965000 0.565000 ;
+      RECT  4.795000  1.835000  4.965000 2.635000 ;
+      RECT  5.635000  0.085000  5.805000 0.565000 ;
+      RECT  5.635000  1.835000  5.805000 2.635000 ;
+      RECT  6.475000  0.085000  6.645000 0.565000 ;
+      RECT  6.475000  1.835000  6.645000 2.635000 ;
+      RECT  7.315000  0.085000  7.485000 0.565000 ;
+      RECT  7.315000  1.835000  7.485000 2.635000 ;
+      RECT  8.155000  0.085000  8.325000 0.565000 ;
+      RECT  8.155000  1.835000  8.325000 2.635000 ;
+      RECT  8.995000  0.085000  9.165000 0.565000 ;
+      RECT  8.995000  1.835000  9.165000 2.635000 ;
+      RECT  9.835000  0.085000 10.005000 0.565000 ;
+      RECT  9.835000  1.835000 10.005000 2.635000 ;
+      RECT 10.675000  0.085000 10.845000 0.565000 ;
+      RECT 10.675000  1.835000 10.845000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_16
+MACRO sky130_fd_sc_hd__bufinv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.505000 1.275000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.260000 3.045000 0.735000 ;
+        RECT 2.715000 0.735000 6.355000 0.905000 ;
+        RECT 2.715000 1.445000 6.355000 1.615000 ;
+        RECT 2.715000 1.615000 3.045000 2.465000 ;
+        RECT 3.555000 0.260000 3.885000 0.735000 ;
+        RECT 3.555000 1.615000 3.885000 2.465000 ;
+        RECT 4.395000 0.260000 4.725000 0.735000 ;
+        RECT 4.395000 1.615000 4.725000 2.465000 ;
+        RECT 5.235000 0.260000 5.565000 0.735000 ;
+        RECT 5.235000 1.615000 5.565000 2.465000 ;
+        RECT 5.970000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.445000 0.345000 2.635000 ;
+      RECT 0.515000  0.260000 0.845000 0.905000 ;
+      RECT 0.515000  1.545000 0.845000 2.465000 ;
+      RECT 0.675000  0.905000 0.845000 1.075000 ;
+      RECT 0.675000  1.075000 2.205000 1.275000 ;
+      RECT 0.675000  1.275000 0.845000 1.545000 ;
+      RECT 1.035000  0.260000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.545000 0.905000 ;
+      RECT 1.035000  1.445000 2.545000 1.615000 ;
+      RECT 1.035000  1.615000 1.365000 2.465000 ;
+      RECT 1.535000  0.085000 1.705000 0.565000 ;
+      RECT 1.535000  1.785000 1.705000 2.635000 ;
+      RECT 1.875000  0.260000 2.205000 0.735000 ;
+      RECT 1.875000  1.615000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.545000 0.565000 ;
+      RECT 2.375000  0.905000 2.545000 1.075000 ;
+      RECT 2.375000  1.075000 5.760000 1.275000 ;
+      RECT 2.375000  1.275000 2.545000 1.445000 ;
+      RECT 2.375000  1.785000 2.545000 2.635000 ;
+      RECT 3.215000  0.085000 3.385000 0.565000 ;
+      RECT 3.215000  1.835000 3.385000 2.635000 ;
+      RECT 4.055000  0.085000 4.225000 0.565000 ;
+      RECT 4.055000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.565000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.565000 ;
+      RECT 5.735000  1.835000 5.905000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__bufinv_8
+MACRO sky130_fd_sc_hd__tapvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 2.095000 0.375000 2.325000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.125000 0.315000 2.295000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd_1
+MACRO sky130_fd_sc_hd__fahcon_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.340000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.937500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.710000 1.780000 1.325000 ;
+      LAYER mcon ;
+        RECT 1.525000 0.765000 1.695000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.265000 0.645000 4.515000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.310000 0.765000 4.480000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.465000 0.735000 1.755000 0.780000 ;
+        RECT 1.465000 0.780000 4.540000 0.920000 ;
+        RECT 1.465000 0.920000 1.755000 0.965000 ;
+        RECT 4.250000 0.735000 4.540000 0.780000 ;
+        RECT 4.250000 0.920000 4.540000 0.965000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.493500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.530000 1.075000 10.975000 1.275000 ;
+    END
+  END CI
+  PIN COUT_N
+    ANTENNADIFFAREA  0.402800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.610000 0.755000 6.935000 0.925000 ;
+        RECT 6.610000 0.925000 6.880000 1.675000 ;
+        RECT 6.710000 1.675000 6.880000 1.785000 ;
+        RECT 6.765000 0.595000 6.935000 0.755000 ;
+    END
+  END COUT_N
+  PIN SUM
+    ANTENNADIFFAREA  0.463750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.995000 0.255000 12.335000 0.825000 ;
+        RECT 12.010000 1.785000 12.335000 2.465000 ;
+        RECT 12.135000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.735000  0.430000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.440000 1.840000 ;
+      RECT  0.085000  1.840000  1.110000 2.010000 ;
+      RECT  0.085000  2.010000  0.430000 2.465000 ;
+      RECT  0.100000  0.255000  0.430000 0.735000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.600000  2.180000  0.770000 2.635000 ;
+      RECT  0.610000  0.735000  1.325000 0.905000 ;
+      RECT  0.610000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.500000 ;
+      RECT  0.610000  1.500000  1.450000 1.670000 ;
+      RECT  0.630000  0.085000  0.800000 0.545000 ;
+      RECT  0.940000  2.010000  1.110000 2.215000 ;
+      RECT  0.940000  2.215000  2.545000 2.295000 ;
+      RECT  0.940000  2.295000  3.540000 2.385000 ;
+      RECT  0.995000  0.255000  3.410000 0.465000 ;
+      RECT  0.995000  0.465000  1.325000 0.735000 ;
+      RECT  1.280000  1.670000  1.450000 1.875000 ;
+      RECT  1.280000  1.875000  2.920000 2.045000 ;
+      RECT  1.965000  0.635000  2.470000 1.705000 ;
+      RECT  2.375000  2.385000  3.540000 2.465000 ;
+      RECT  2.640000  0.655000  3.025000 0.735000 ;
+      RECT  2.640000  0.735000  3.160000 0.755000 ;
+      RECT  2.640000  0.755000  3.750000 0.905000 ;
+      RECT  2.640000  1.075000  2.975000 1.160000 ;
+      RECT  2.640000  1.160000  3.100000 1.615000 ;
+      RECT  3.055000  0.905000  3.750000 0.925000 ;
+      RECT  3.240000  0.465000  3.410000 0.585000 ;
+      RECT  3.270000  0.925000  3.440000 2.295000 ;
+      RECT  3.580000  0.255000  4.595000 0.425000 ;
+      RECT  3.580000  0.425000  3.750000 0.755000 ;
+      RECT  3.725000  1.150000  4.095000 1.320000 ;
+      RECT  3.725000  1.320000  3.895000 2.295000 ;
+      RECT  3.725000  2.295000  5.100000 2.465000 ;
+      RECT  3.925000  0.595000  4.095000 1.150000 ;
+      RECT  4.210000  1.755000  4.380000 2.095000 ;
+      RECT  4.265000  0.425000  4.595000 0.475000 ;
+      RECT  4.700000  1.385000  5.180000 1.725000 ;
+      RECT  4.840000  0.510000  5.030000 0.995000 ;
+      RECT  4.840000  0.995000  5.180000 1.385000 ;
+      RECT  4.875000  1.895000  6.005000 2.065000 ;
+      RECT  4.875000  2.065000  5.100000 2.295000 ;
+      RECT  5.200000  0.085000  5.530000 0.805000 ;
+      RECT  5.270000  2.235000  5.600000 2.635000 ;
+      RECT  5.645000  1.555000  6.380000 1.725000 ;
+      RECT  5.700000  0.380000  5.980000 0.815000 ;
+      RECT  5.810000  0.815000  5.980000 1.555000 ;
+      RECT  5.835000  2.065000  6.005000 2.295000 ;
+      RECT  5.835000  2.295000  7.960000 2.465000 ;
+      RECT  6.150000  0.740000  6.435000 1.325000 ;
+      RECT  6.210000  1.725000  6.380000 1.895000 ;
+      RECT  6.210000  1.895000  6.540000 1.955000 ;
+      RECT  6.210000  1.955000  7.220000 2.125000 ;
+      RECT  6.265000  0.255000  7.700000 0.425000 ;
+      RECT  6.265000  0.425000  6.595000 0.570000 ;
+      RECT  7.050000  1.060000  7.280000 1.230000 ;
+      RECT  7.050000  1.230000  7.220000 1.955000 ;
+      RECT  7.110000  0.595000  7.360000 0.925000 ;
+      RECT  7.110000  0.925000  7.280000 1.060000 ;
+      RECT  7.390000  1.360000  7.620000 1.530000 ;
+      RECT  7.390000  1.530000  7.560000 2.125000 ;
+      RECT  7.450000  1.105000  7.700000 1.290000 ;
+      RECT  7.450000  1.290000  7.620000 1.360000 ;
+      RECT  7.530000  0.425000  7.700000 1.105000 ;
+      RECT  7.790000  1.550000  8.045000 1.720000 ;
+      RECT  7.790000  1.720000  7.960000 2.295000 ;
+      RECT  7.875000  0.995000  8.045000 1.550000 ;
+      RECT  7.935000  0.255000  9.450000 0.425000 ;
+      RECT  7.935000  0.425000  8.270000 0.825000 ;
+      RECT  8.230000  1.785000  8.400000 2.295000 ;
+      RECT  8.230000  2.295000  9.950000 2.465000 ;
+      RECT  8.440000  0.595000  8.900000 0.765000 ;
+      RECT  8.440000  0.765000  8.610000 1.445000 ;
+      RECT  8.440000  1.445000  8.740000 1.530000 ;
+      RECT  8.440000  1.530000  8.900000 1.615000 ;
+      RECT  8.570000  1.615000  8.900000 2.125000 ;
+      RECT  8.780000  0.995000  9.110000 1.275000 ;
+      RECT  9.070000  1.530000  9.450000 2.045000 ;
+      RECT  9.070000  2.045000  9.420000 2.125000 ;
+      RECT  9.280000  0.425000  9.450000 1.530000 ;
+      RECT  9.620000  2.215000  9.950000 2.295000 ;
+      RECT  9.650000  0.255000 10.020000 0.825000 ;
+      RECT  9.650000  0.825000  9.820000 1.535000 ;
+      RECT  9.650000  1.535000  9.950000 2.215000 ;
+      RECT  9.990000  0.995000 10.360000 1.325000 ;
+      RECT 10.120000  2.275000 10.455000 2.635000 ;
+      RECT 10.190000  0.735000 10.920000 0.905000 ;
+      RECT 10.190000  0.905000 10.360000 0.995000 ;
+      RECT 10.190000  1.325000 10.360000 1.455000 ;
+      RECT 10.190000  1.455000 10.835000 2.045000 ;
+      RECT 10.200000  0.085000 10.370000 0.565000 ;
+      RECT 10.540000  0.285000 10.920000 0.735000 ;
+      RECT 10.625000  2.045000 10.835000 2.465000 ;
+      RECT 11.085000  1.455000 11.415000 2.465000 ;
+      RECT 11.165000  0.270000 11.335000 0.680000 ;
+      RECT 11.165000  0.680000 11.415000 1.455000 ;
+      RECT 11.535000  0.085000 11.825000 0.555000 ;
+      RECT 11.585000  1.785000 11.840000 2.635000 ;
+      RECT 11.655000  0.995000 11.965000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.280000  1.785000  1.450000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  1.105000  2.155000 1.275000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  2.930000  1.445000  3.100000 1.615000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.210000  1.785000  4.380000 1.955000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.770000  1.445000  4.940000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  1.105000  6.320000 1.275000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.530000  0.765000  7.700000 0.935000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.450000  1.445000  8.620000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.910000  1.105000  9.080000 1.275000 ;
+      RECT  9.280000  1.785000  9.450000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.190000  1.785000 10.360000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.230000  0.765000 11.400000 0.935000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.690000  1.445000 11.860000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  1.195000 1.755000  1.510000 1.800000 ;
+      RECT  1.195000 1.800000  4.440000 1.940000 ;
+      RECT  1.195000 1.940000  1.510000 1.985000 ;
+      RECT  1.925000 1.075000  2.215000 1.120000 ;
+      RECT  1.925000 1.120000  9.140000 1.260000 ;
+      RECT  1.925000 1.260000  2.215000 1.305000 ;
+      RECT  2.845000 1.415000  3.160000 1.460000 ;
+      RECT  2.845000 1.460000  5.000000 1.600000 ;
+      RECT  2.845000 1.600000  3.160000 1.645000 ;
+      RECT  4.150000 1.755000  4.440000 1.800000 ;
+      RECT  4.150000 1.940000  4.440000 1.985000 ;
+      RECT  4.710000 1.415000  5.000000 1.460000 ;
+      RECT  4.710000 1.600000  5.000000 1.645000 ;
+      RECT  6.090000 1.075000  6.380000 1.120000 ;
+      RECT  6.090000 1.260000  6.380000 1.305000 ;
+      RECT  7.470000 0.735000  7.760000 0.780000 ;
+      RECT  7.470000 0.780000 11.460000 0.920000 ;
+      RECT  7.470000 0.920000  7.760000 0.965000 ;
+      RECT  8.390000 1.415000  8.680000 1.460000 ;
+      RECT  8.390000 1.460000 11.920000 1.600000 ;
+      RECT  8.390000 1.600000  8.680000 1.645000 ;
+      RECT  8.850000 1.075000  9.140000 1.120000 ;
+      RECT  8.850000 1.260000  9.140000 1.305000 ;
+      RECT  9.195000 1.755000  9.510000 1.800000 ;
+      RECT  9.195000 1.800000 10.420000 1.940000 ;
+      RECT  9.195000 1.940000  9.510000 1.985000 ;
+      RECT 10.130000 1.755000 10.420000 1.800000 ;
+      RECT 10.130000 1.940000 10.420000 1.985000 ;
+      RECT 11.170000 0.735000 11.460000 0.780000 ;
+      RECT 11.170000 0.920000 11.460000 0.965000 ;
+      RECT 11.630000 1.415000 11.920000 1.460000 ;
+      RECT 11.630000 1.600000 11.920000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fahcon_1
+MACRO sky130_fd_sc_hd__or3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 1.430000 1.325000 ;
+        RECT 0.605000 1.325000 0.830000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.280000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.435000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 0.415000 2.215000 0.760000 ;
+        RECT 1.940000 1.495000 2.215000 2.465000 ;
+        RECT 2.045000 0.760000 2.215000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.105000  0.305000 0.360000 0.655000 ;
+      RECT 0.105000  0.655000 1.770000 0.825000 ;
+      RECT 0.105000  1.495000 0.435000 1.785000 ;
+      RECT 0.105000  1.785000 1.270000 1.955000 ;
+      RECT 0.530000  0.085000 0.860000 0.485000 ;
+      RECT 1.030000  0.305000 1.200000 0.655000 ;
+      RECT 1.100000  1.495000 1.770000 1.665000 ;
+      RECT 1.100000  1.665000 1.270000 1.785000 ;
+      RECT 1.370000  0.085000 1.750000 0.485000 ;
+      RECT 1.450000  1.835000 1.730000 2.635000 ;
+      RECT 1.600000  0.825000 1.770000 0.995000 ;
+      RECT 1.600000  0.995000 1.875000 1.325000 ;
+      RECT 1.600000  1.325000 1.770000 1.495000 ;
+      RECT 2.385000  0.085000 2.675000 0.915000 ;
+      RECT 2.385000  1.430000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_2
+MACRO sky130_fd_sc_hd__or3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.600000 0.995000 1.425000 1.325000 ;
+        RECT 0.600000 1.325000 0.795000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.275000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.415000 2.210000 0.760000 ;
+        RECT 1.935000 1.495000 2.210000 2.465000 ;
+        RECT 2.040000 0.760000 2.210000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.100000  0.305000 0.355000 0.655000 ;
+      RECT 0.100000  0.655000 1.765000 0.825000 ;
+      RECT 0.105000  1.495000 0.430000 1.785000 ;
+      RECT 0.105000  1.785000 1.275000 1.955000 ;
+      RECT 0.525000  0.085000 0.855000 0.485000 ;
+      RECT 1.025000  0.305000 1.195000 0.655000 ;
+      RECT 1.105000  1.495000 1.765000 1.665000 ;
+      RECT 1.105000  1.665000 1.275000 1.785000 ;
+      RECT 1.365000  0.085000 1.745000 0.485000 ;
+      RECT 1.445000  1.835000 1.725000 2.635000 ;
+      RECT 1.595000  0.825000 1.765000 0.995000 ;
+      RECT 1.595000  0.995000 1.870000 1.325000 ;
+      RECT 1.595000  1.325000 1.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_1
+MACRO sky130_fd_sc_hd__or3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 1.075000 1.055000 1.325000 ;
+        RECT 0.595000 1.325000 0.830000 2.050000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.305000 0.265000 2.635000 0.735000 ;
+        RECT 2.305000 0.735000 4.055000 0.905000 ;
+        RECT 2.345000 1.455000 4.055000 1.625000 ;
+        RECT 2.345000 1.625000 2.595000 2.465000 ;
+        RECT 3.145000 0.265000 3.475000 0.735000 ;
+        RECT 3.185000 1.625000 3.435000 2.465000 ;
+        RECT 3.765000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.725000 ;
+      RECT 0.085000  0.725000 2.090000 0.905000 ;
+      RECT 0.085000  1.495000 0.425000 2.295000 ;
+      RECT 0.085000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 1.000000  1.495000 2.090000 1.665000 ;
+      RECT 1.000000  1.665000 1.265000 2.295000 ;
+      RECT 1.435000  0.085000 2.135000 0.555000 ;
+      RECT 1.435000  1.835000 2.135000 2.635000 ;
+      RECT 1.870000  0.905000 2.090000 1.075000 ;
+      RECT 1.870000  1.075000 3.595000 1.245000 ;
+      RECT 1.870000  1.245000 2.090000 1.495000 ;
+      RECT 2.765000  1.795000 3.015000 2.635000 ;
+      RECT 2.805000  0.085000 2.975000 0.555000 ;
+      RECT 3.605000  1.795000 3.855000 2.635000 ;
+      RECT 3.645000  0.085000 3.815000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3_4
+MACRO sky130_fd_sc_hd__a22o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.675000 1.720000 1.075000 ;
+        RECT 1.510000 1.075000 1.840000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.415000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.255000 3.160000 0.585000 ;
+        RECT 2.900000 1.785000 3.160000 2.465000 ;
+        RECT 2.990000 0.585000 3.160000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.085000 0.545000 0.850000 ;
+      RECT 0.095000  1.455000 2.815000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.295000 ;
+      RECT 0.095000  2.295000 1.265000 2.465000 ;
+      RECT 0.595000  1.795000 2.230000 2.035000 ;
+      RECT 0.595000  2.035000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.145000 0.505000 ;
+      RECT 0.935000  2.255000 1.265000 2.295000 ;
+      RECT 1.455000  2.215000 1.810000 2.635000 ;
+      RECT 1.975000  0.505000 2.145000 0.735000 ;
+      RECT 1.975000  0.735000 2.815000 0.905000 ;
+      RECT 1.980000  2.035000 2.230000 2.465000 ;
+      RECT 2.355000  0.085000 2.685000 0.565000 ;
+      RECT 2.400000  1.875000 2.730000 2.635000 ;
+      RECT 2.645000  0.905000 2.815000 1.455000 ;
+      RECT 3.330000  0.085000 3.500000 0.985000 ;
+      RECT 3.330000  1.445000 3.500000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_2
+MACRO sky130_fd_sc_hd__a22o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 3.930000 1.955000 ;
+      RECT 1.900000  2.125000 2.150000 2.635000 ;
+      RECT 1.940000  0.085000 2.630000 0.555000 ;
+      RECT 1.940000  0.735000 5.310000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.420000  2.125000 2.670000 2.295000 ;
+      RECT 2.420000  2.295000 4.430000 2.465000 ;
+      RECT 2.800000  0.255000 3.970000 0.475000 ;
+      RECT 2.840000  1.955000 3.090000 2.125000 ;
+      RECT 3.170000  0.645000 3.605000 0.735000 ;
+      RECT 3.260000  2.125000 3.510000 2.295000 ;
+      RECT 3.680000  1.955000 3.930000 2.125000 ;
+      RECT 4.100000  1.785000 6.110000 1.955000 ;
+      RECT 4.100000  1.955000 4.430000 2.295000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.560000  0.255000 5.730000 0.475000 ;
+      RECT 4.600000  2.125000 4.850000 2.635000 ;
+      RECT 4.935000  0.645000 5.310000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.465000 ;
+      RECT 5.440000  2.125000 5.690000 2.635000 ;
+      RECT 5.480000  0.475000 5.730000 0.895000 ;
+      RECT 5.900000  0.085000 6.070000 0.895000 ;
+      RECT 5.905000  1.455000 6.110000 1.785000 ;
+      RECT 5.905000  1.955000 6.110000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_4
+MACRO sky130_fd_sc_hd__a22o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a22o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.675000 1.695000 1.075000 ;
+        RECT 1.485000 1.075000 1.815000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 1.040000 2.395000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.765000 1.075000 1.240000 1.285000 ;
+        RECT 1.020000 0.675000 1.240000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 0.255000 3.135000 0.585000 ;
+        RECT 2.875000 1.785000 3.135000 2.465000 ;
+        RECT 2.965000 0.585000 3.135000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.545000 0.850000 ;
+      RECT 0.090000  1.455000 1.265000 1.515000 ;
+      RECT 0.090000  1.515000 2.795000 1.625000 ;
+      RECT 0.090000  1.625000 0.345000 2.245000 ;
+      RECT 0.090000  2.245000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.780000 1.885000 ;
+      RECT 0.595000  1.885000 2.205000 2.085000 ;
+      RECT 0.595000  2.085000 0.825000 2.125000 ;
+      RECT 0.820000  0.255000 2.120000 0.465000 ;
+      RECT 0.935000  1.625000 2.735000 1.685000 ;
+      RECT 0.935000  1.685000 1.265000 1.715000 ;
+      RECT 1.370000  1.875000 2.205000 1.885000 ;
+      RECT 1.430000  2.255000 1.785000 2.635000 ;
+      RECT 1.950000  0.465000 2.120000 0.615000 ;
+      RECT 1.950000  0.615000 2.705000 0.740000 ;
+      RECT 1.950000  0.740000 2.795000 0.785000 ;
+      RECT 1.955000  2.085000 2.205000 2.465000 ;
+      RECT 2.375000  0.085000 2.705000 0.445000 ;
+      RECT 2.455000  1.855000 2.705000 2.635000 ;
+      RECT 2.525000  0.785000 2.795000 0.905000 ;
+      RECT 2.595000  1.480000 2.795000 1.515000 ;
+      RECT 2.625000  0.905000 2.795000 1.480000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a22o_1
+MACRO sky130_fd_sc_hd__a21oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.815000 0.995000 1.425000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.035000 0.645000 1.495000 ;
+        RECT 0.145000 1.495000 1.930000 1.675000 ;
+        RECT 1.605000 1.075000 1.935000 1.245000 ;
+        RECT 1.605000 1.245000 1.930000 1.495000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.800000 0.995000 3.075000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.627500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.255000 1.300000 0.615000 ;
+        RECT 0.955000 0.615000 2.615000 0.785000 ;
+        RECT 2.295000 0.255000 2.615000 0.615000 ;
+        RECT 2.315000 0.785000 2.615000 2.115000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.100000  0.085000 0.395000 0.865000 ;
+      RECT 0.110000  1.855000 2.145000 2.025000 ;
+      RECT 0.110000  2.025000 1.220000 2.105000 ;
+      RECT 0.110000  2.105000 0.370000 2.465000 ;
+      RECT 0.540000  2.275000 0.870000 2.635000 ;
+      RECT 1.050000  2.105000 1.220000 2.465000 ;
+      RECT 1.475000  2.195000 1.645000 2.635000 ;
+      RECT 1.760000  0.085000 2.090000 0.445000 ;
+      RECT 1.815000  2.025000 2.145000 2.285000 ;
+      RECT 1.815000  2.285000 3.090000 2.465000 ;
+      RECT 2.785000  1.795000 3.090000 2.285000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_2
+MACRO sky130_fd_sc_hd__a21oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.995000 1.265000 1.325000 ;
+        RECT 1.035000 0.375000 1.265000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.740000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.675000 0.335000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.447000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.680000 1.685000 ;
+        RECT 0.095000 1.685000 0.370000 2.455000 ;
+        RECT 0.505000 0.645000 0.835000 0.825000 ;
+        RECT 0.505000 0.825000 0.680000 1.495000 ;
+        RECT 0.610000 0.265000 0.835000 0.645000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.110000  0.085000 0.440000 0.475000 ;
+      RECT 0.540000  1.855000 1.745000 2.025000 ;
+      RECT 0.540000  2.025000 0.870000 2.455000 ;
+      RECT 0.850000  1.525000 1.745000 1.855000 ;
+      RECT 1.040000  2.195000 1.235000 2.635000 ;
+      RECT 1.415000  2.025000 1.745000 2.455000 ;
+      RECT 1.445000  0.085000 1.745000 0.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_1
+MACRO sky130_fd_sc_hd__a21oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.565000 1.065000 4.000000 1.310000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.050000 1.065000 2.395000 1.480000 ;
+        RECT 2.050000 1.480000 5.470000 1.705000 ;
+        RECT 4.225000 1.075000 5.470000 1.480000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.400000 1.035000 ;
+        RECT 0.090000 1.035000 1.430000 1.415000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.288000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 1.585000 1.880000 1.705000 ;
+        RECT 0.580000 1.705000 1.745000 2.035000 ;
+        RECT 0.595000 0.370000 0.785000 0.615000 ;
+        RECT 0.595000 0.615000 1.645000 0.695000 ;
+        RECT 0.595000 0.695000 3.905000 0.865000 ;
+        RECT 1.455000 0.255000 1.645000 0.615000 ;
+        RECT 1.600000 0.865000 3.905000 0.895000 ;
+        RECT 1.600000 0.895000 1.880000 1.585000 ;
+        RECT 2.275000 0.675000 3.905000 0.695000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.805000 ;
+      RECT 0.180000  1.795000 0.375000 2.215000 ;
+      RECT 0.180000  2.215000 2.115000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.955000  2.205000 2.115000 2.215000 ;
+      RECT 1.835000  0.085000 2.115000 0.525000 ;
+      RECT 1.915000  1.875000 5.625000 2.105000 ;
+      RECT 1.915000  2.105000 2.115000 2.205000 ;
+      RECT 2.285000  0.255000 4.335000 0.505000 ;
+      RECT 2.285000  2.275000 2.615000 2.635000 ;
+      RECT 2.785000  2.105000 2.975000 2.465000 ;
+      RECT 3.145000  2.275000 3.475000 2.635000 ;
+      RECT 3.645000  2.105000 3.835000 2.465000 ;
+      RECT 4.005000  2.275000 4.335000 2.635000 ;
+      RECT 4.075000  0.505000 4.335000 0.735000 ;
+      RECT 4.075000  0.735000 5.195000 0.905000 ;
+      RECT 4.505000  0.085000 4.695000 0.565000 ;
+      RECT 4.505000  2.105000 4.685000 2.465000 ;
+      RECT 4.865000  0.255000 5.195000 0.735000 ;
+      RECT 4.865000  2.275000 5.195000 2.635000 ;
+      RECT 5.365000  0.085000 5.625000 0.885000 ;
+      RECT 5.365000  2.105000 5.625000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21oi_4
+MACRO sky130_fd_sc_hd__dlrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.345000 5.895000 0.745000 ;
+        RECT 5.635000 1.670000 5.895000 2.455000 ;
+        RECT 5.725000 0.745000 5.895000 1.670000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.745000 0.345000 4.975000 0.995000 ;
+        RECT 4.745000 0.995000 5.075000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.325000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  1.795000 0.775000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.170000  0.345000 0.345000 0.635000 ;
+      RECT 0.170000  0.635000 0.775000 0.805000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.775000 1.070000 ;
+      RECT 0.605000  1.070000 0.835000 1.400000 ;
+      RECT 0.605000  1.400000 0.775000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.235000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.335000 1.095000 ;
+      RECT 1.945000  1.095000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.835000 1.625000 ;
+      RECT 2.445000  1.625000 2.760000 1.685000 ;
+      RECT 2.690000  0.765000 3.245000 1.095000 ;
+      RECT 2.810000  2.255000 3.625000 2.425000 ;
+      RECT 2.815000  0.365000 3.585000 0.535000 ;
+      RECT 2.900000  1.785000 3.265000 1.995000 ;
+      RECT 3.005000  1.095000 3.245000 1.635000 ;
+      RECT 3.005000  1.635000 3.265000 1.785000 ;
+      RECT 3.415000  0.535000 3.585000 0.995000 ;
+      RECT 3.415000  0.995000 4.175000 1.165000 ;
+      RECT 3.455000  1.165000 4.175000 1.325000 ;
+      RECT 3.455000  1.325000 3.625000 2.255000 ;
+      RECT 3.755000  0.085000 4.025000 0.610000 ;
+      RECT 3.815000  1.535000 5.465000 1.735000 ;
+      RECT 3.815000  1.735000 4.965000 1.865000 ;
+      RECT 3.930000  2.135000 4.445000 2.635000 ;
+      RECT 4.195000  0.295000 4.575000 0.805000 ;
+      RECT 4.345000  0.805000 4.575000 1.505000 ;
+      RECT 4.345000  1.505000 5.465000 1.535000 ;
+      RECT 4.625000  1.865000 4.965000 2.435000 ;
+      RECT 5.135000  1.915000 5.465000 2.635000 ;
+      RECT 5.155000  0.085000 5.440000 0.715000 ;
+      RECT 5.245000  0.995000 5.555000 1.325000 ;
+      RECT 5.245000  1.325000 5.465000 1.505000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.445000 0.775000 1.615000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.785000 1.235000 1.955000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.415000 0.835000 1.460000 ;
+      RECT 0.545000 1.460000 2.675000 1.600000 ;
+      RECT 0.545000 1.600000 0.835000 1.645000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 3.155000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_1
+MACRO sky130_fd_sc_hd__dlrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.955000 1.770000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.595000 0.255000 5.925000 0.485000 ;
+        RECT 5.655000 1.875000 5.925000 2.465000 ;
+        RECT 5.755000 0.485000 5.925000 0.765000 ;
+        RECT 5.755000 0.765000 6.355000 0.865000 ;
+        RECT 5.755000 1.425000 6.355000 1.500000 ;
+        RECT 5.755000 1.500000 5.925000 1.875000 ;
+        RECT 5.760000 1.415000 6.355000 1.425000 ;
+        RECT 5.765000 1.410000 6.355000 1.415000 ;
+        RECT 5.770000 0.865000 6.355000 0.890000 ;
+        RECT 5.775000 1.385000 6.355000 1.410000 ;
+        RECT 5.785000 0.890000 6.355000 1.385000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.480000 0.995000 4.815000 1.035000 ;
+        RECT 4.480000 1.035000 5.240000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.435000  1.495000 2.120000 1.665000 ;
+      RECT 1.435000  1.665000 1.785000 2.415000 ;
+      RECT 1.515000  0.345000 1.705000 0.615000 ;
+      RECT 1.515000  0.615000 2.120000 0.765000 ;
+      RECT 1.515000  0.765000 2.335000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.950000  0.785000 2.335000 1.095000 ;
+      RECT 1.950000  1.095000 2.120000 1.495000 ;
+      RECT 1.955000  1.835000 2.250000 2.635000 ;
+      RECT 2.450000  1.355000 2.755000 1.685000 ;
+      RECT 2.585000  0.735000 3.100000 1.040000 ;
+      RECT 2.770000  0.365000 3.445000 0.535000 ;
+      RECT 2.770000  2.255000 3.580000 2.425000 ;
+      RECT 2.905000  1.780000 3.265000 1.910000 ;
+      RECT 2.905000  1.910000 3.175000 1.995000 ;
+      RECT 2.930000  1.040000 3.100000 1.570000 ;
+      RECT 2.930000  1.570000 3.265000 1.780000 ;
+      RECT 3.270000  0.535000 3.445000 0.995000 ;
+      RECT 3.270000  0.995000 4.220000 1.325000 ;
+      RECT 3.410000  2.000000 3.605000 2.085000 ;
+      RECT 3.410000  2.085000 3.580000 2.255000 ;
+      RECT 3.415000  1.995000 3.605000 2.000000 ;
+      RECT 3.420000  1.985000 3.605000 1.995000 ;
+      RECT 3.435000  1.325000 3.605000 1.985000 ;
+      RECT 3.720000  0.085000 4.060000 0.530000 ;
+      RECT 3.750000  2.175000 4.090000 2.635000 ;
+      RECT 3.775000  1.535000 5.585000 1.705000 ;
+      RECT 3.775000  1.705000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.580000 0.655000 ;
+      RECT 4.240000  0.655000 5.095000 0.695000 ;
+      RECT 4.240000  0.695000 5.585000 0.825000 ;
+      RECT 4.280000  2.135000 4.560000 2.635000 ;
+      RECT 4.800000  1.865000 4.970000 2.465000 ;
+      RECT 4.955000  0.825000 5.585000 0.865000 ;
+      RECT 5.140000  1.875000 5.485000 2.635000 ;
+      RECT 5.255000  0.085000 5.425000 0.525000 ;
+      RECT 5.415000  0.865000 5.585000 0.995000 ;
+      RECT 5.415000  0.995000 5.615000 1.325000 ;
+      RECT 5.415000  1.325000 5.585000 1.535000 ;
+      RECT 6.095000  0.085000 6.355000 0.595000 ;
+      RECT 6.095000  1.670000 6.355000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.925000  1.785000 3.095000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.680000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.155000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 2.865000 1.755000 3.155000 1.800000 ;
+      RECT 2.865000 1.940000 3.155000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_2
+MACRO sky130_fd_sc_hd__dlrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.955000 1.795000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.014750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.610000 0.255000 5.965000 0.485000 ;
+        RECT 5.680000 1.875000 5.965000 2.465000 ;
+        RECT 5.795000 0.485000 5.965000 0.765000 ;
+        RECT 5.795000 0.765000 7.275000 1.325000 ;
+        RECT 5.795000 1.325000 5.965000 1.875000 ;
+        RECT 6.575000 0.255000 6.775000 0.765000 ;
+        RECT 6.575000 1.325000 6.775000 2.465000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.995000 5.145000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 7.360000 2.805000 ;
+        RECT 0.515000 2.135000 0.845000 2.635000 ;
+        RECT 1.960000 1.835000 2.275000 2.635000 ;
+        RECT 3.825000 2.135000 4.115000 2.635000 ;
+        RECT 4.305000 2.135000 4.585000 2.635000 ;
+        RECT 5.115000 1.875000 5.485000 2.635000 ;
+        RECT 6.135000 1.495000 6.405000 2.635000 ;
+        RECT 6.945000 1.495000 7.275000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+        RECT 4.745000 2.635000 4.915000 2.805000 ;
+        RECT 5.205000 2.635000 5.375000 2.805000 ;
+        RECT 5.665000 2.635000 5.835000 2.805000 ;
+        RECT 6.125000 2.635000 6.295000 2.805000 ;
+        RECT 6.585000 2.635000 6.755000 2.805000 ;
+        RECT 7.045000 2.635000 7.215000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.460000  1.495000 2.145000 1.665000 ;
+      RECT 1.460000  1.665000 1.790000 2.415000 ;
+      RECT 1.540000  0.345000 1.710000 0.615000 ;
+      RECT 1.540000  0.615000 2.145000 0.765000 ;
+      RECT 1.540000  0.765000 2.345000 0.785000 ;
+      RECT 1.880000  0.085000 2.210000 0.445000 ;
+      RECT 1.975000  0.785000 2.345000 1.095000 ;
+      RECT 1.975000  1.095000 2.145000 1.495000 ;
+      RECT 2.475000  1.355000 2.760000 1.685000 ;
+      RECT 2.720000  0.705000 3.100000 1.035000 ;
+      RECT 2.845000  0.365000 3.505000 0.535000 ;
+      RECT 2.905000  2.255000 3.655000 2.425000 ;
+      RECT 2.930000  1.035000 3.100000 1.575000 ;
+      RECT 2.930000  1.575000 3.270000 1.995000 ;
+      RECT 3.335000  0.535000 3.505000 0.995000 ;
+      RECT 3.335000  0.995000 4.235000 1.165000 ;
+      RECT 3.485000  1.165000 4.235000 1.325000 ;
+      RECT 3.485000  1.325000 3.655000 2.255000 ;
+      RECT 3.745000  0.085000 4.075000 0.530000 ;
+      RECT 3.825000  1.535000 5.625000 1.705000 ;
+      RECT 3.825000  1.705000 4.945000 1.865000 ;
+      RECT 4.265000  0.255000 4.595000 0.655000 ;
+      RECT 4.265000  0.655000 5.625000 0.825000 ;
+      RECT 4.755000  1.865000 4.945000 2.465000 ;
+      RECT 5.100000  0.085000 5.440000 0.485000 ;
+      RECT 5.455000  0.825000 5.625000 1.535000 ;
+      RECT 6.135000  0.085000 6.405000 0.595000 ;
+      RECT 6.945000  0.085000 7.275000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.475000  1.445000 2.645000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.935000  1.785000 3.105000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.705000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.165000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.415000 1.415000 2.705000 1.460000 ;
+      RECT 2.415000 1.600000 2.705000 1.645000 ;
+      RECT 2.875000 1.755000 3.165000 1.800000 ;
+      RECT 2.875000 1.940000 3.165000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlrtp_4
+MACRO sky130_fd_sc_hd__and2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.900000 0.625000 3.155000 0.995000 ;
+        RECT 2.900000 0.995000 3.205000 1.325000 ;
+        RECT 2.900000 1.325000 3.155000 1.745000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 0.975000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 1.535000 2.730000 1.745000 ;
+        RECT 1.525000 0.495000 1.715000 0.615000 ;
+        RECT 1.525000 0.615000 2.730000 0.825000 ;
+        RECT 2.440000 0.825000 2.730000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.615000 ;
+      RECT 0.090000  0.615000 1.355000 0.805000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.165000  0.995000 0.425000 1.325000 ;
+      RECT 0.165000  1.325000 0.335000 1.915000 ;
+      RECT 0.165000  1.915000 3.505000 2.085000 ;
+      RECT 0.515000  1.500000 1.315000 1.745000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  2.275000 1.320000 2.635000 ;
+      RECT 1.110000  1.435000 1.320000 1.485000 ;
+      RECT 1.110000  1.485000 1.315000 1.500000 ;
+      RECT 1.145000  0.805000 1.355000 0.995000 ;
+      RECT 1.145000  0.995000 2.260000 1.355000 ;
+      RECT 1.145000  1.355000 1.320000 1.435000 ;
+      RECT 1.885000  0.085000 2.215000 0.445000 ;
+      RECT 1.905000  2.275000 2.235000 2.635000 ;
+      RECT 2.745000  0.085000 3.075000 0.445000 ;
+      RECT 2.745000  2.275000 3.075000 2.635000 ;
+      RECT 3.330000  0.495000 3.500000 0.675000 ;
+      RECT 3.330000  0.675000 3.545000 0.845000 ;
+      RECT 3.335000  1.530000 3.545000 1.700000 ;
+      RECT 3.335000  1.700000 3.505000 1.915000 ;
+      RECT 3.375000  0.845000 3.545000 1.530000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_4
+MACRO sky130_fd_sc_hd__and2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_1
+MACRO sky130_fd_sc_hd__and2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.450000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 1.645000 2.200000 1.955000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 1.580000 2.680000 2.365000 ;
+        RECT 2.445000 0.255000 2.680000 0.775000 ;
+        RECT 2.505000 0.775000 2.680000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.855000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.620000  0.655000 0.835000 0.805000 ;
+      RECT 0.620000  0.805000 1.175000 1.135000 ;
+      RECT 0.620000  1.135000 0.855000 1.785000 ;
+      RECT 1.045000  1.305000 2.335000 1.325000 ;
+      RECT 1.045000  1.325000 1.905000 1.475000 ;
+      RECT 1.045000  1.475000 1.330000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.515000 0.610000 ;
+      RECT 1.345000  0.610000 1.515000 0.945000 ;
+      RECT 1.345000  0.945000 2.335000 1.305000 ;
+      RECT 1.510000  2.165000 2.195000 2.635000 ;
+      RECT 1.875000  0.085000 2.275000 0.580000 ;
+      RECT 2.865000  0.085000 3.135000 0.720000 ;
+      RECT 2.865000  1.680000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2b_2
+MACRO sky130_fd_sc_hd__o2bb2a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.215000 1.075000 1.685000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.515000 0.380000 1.735000 0.735000 ;
+        RECT 1.515000 0.735000 2.020000 0.770000 ;
+        RECT 1.515000 0.770000 2.025000 0.905000 ;
+        RECT 1.855000 0.905000 2.025000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.700000 1.075000 4.045000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 3.525000 1.325000 ;
+        RECT 3.355000 1.325000 3.525000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.870000 0.825000 ;
+        RECT 0.535000 0.825000 0.705000 1.795000 ;
+        RECT 0.535000 1.795000 0.790000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.110000  0.085000 0.365000 0.910000 ;
+      RECT 0.110000  1.410000 0.365000 2.635000 ;
+      RECT 0.875000  0.995000 1.045000 1.445000 ;
+      RECT 0.875000  1.445000 1.270000 1.615000 ;
+      RECT 0.960000  2.235000 1.290000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.750000 ;
+      RECT 1.100000  1.615000 1.270000 1.885000 ;
+      RECT 1.100000  1.885000 3.185000 2.055000 ;
+      RECT 1.440000  1.495000 2.460000 1.715000 ;
+      RECT 1.905000  0.395000 2.365000 0.565000 ;
+      RECT 2.160000  2.235000 2.565000 2.635000 ;
+      RECT 2.195000  0.565000 2.365000 1.355000 ;
+      RECT 2.195000  1.355000 2.460000 1.495000 ;
+      RECT 2.535000  0.320000 2.780000 0.690000 ;
+      RECT 2.610000  0.690000 2.780000 1.075000 ;
+      RECT 2.610000  1.075000 2.800000 1.245000 ;
+      RECT 2.630000  1.245000 2.800000 1.495000 ;
+      RECT 2.630000  1.495000 3.185000 1.885000 ;
+      RECT 2.835000  2.055000 3.185000 2.425000 ;
+      RECT 2.955000  0.320000 3.185000 0.725000 ;
+      RECT 2.955000  0.725000 4.045000 0.905000 ;
+      RECT 3.375000  0.085000 3.545000 0.555000 ;
+      RECT 3.715000  0.320000 4.045000 0.725000 ;
+      RECT 3.730000  1.815000 4.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_2
+MACRO sky130_fd_sc_hd__o2bb2a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.445000 ;
+        RECT 3.315000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.895000 1.615000 ;
+        RECT 1.565000 1.075000 1.895000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.910000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.910000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.605000 0.905000 6.910000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.725000 ;
+      RECT 0.095000  0.725000 1.265000 0.735000 ;
+      RECT 0.095000  0.735000 2.025000 0.905000 ;
+      RECT 0.140000  1.795000 0.345000 2.635000 ;
+      RECT 0.555000  1.785000 0.805000 2.295000 ;
+      RECT 0.555000  2.295000 1.645000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.555000 ;
+      RECT 0.935000  0.255000 1.265000 0.725000 ;
+      RECT 0.975000  1.785000 2.615000 1.955000 ;
+      RECT 0.975000  1.955000 1.225000 2.125000 ;
+      RECT 1.395000  2.125000 1.645000 2.295000 ;
+      RECT 1.435000  0.085000 1.605000 0.555000 ;
+      RECT 1.775000  0.255000 2.945000 0.475000 ;
+      RECT 1.775000  0.475000 2.025000 0.735000 ;
+      RECT 1.815000  2.125000 2.065000 2.635000 ;
+      RECT 2.065000  1.075000 2.445000 1.415000 ;
+      RECT 2.065000  1.415000 2.615000 1.785000 ;
+      RECT 2.195000  0.645000 2.525000 0.815000 ;
+      RECT 2.195000  0.815000 2.445000 1.075000 ;
+      RECT 2.235000  1.955000 2.615000 1.965000 ;
+      RECT 2.235000  1.965000 2.525000 2.465000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.695000  2.135000 3.425000 2.635000 ;
+      RECT 2.955000  0.725000 4.305000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.785000 ;
+      RECT 2.955000  1.785000 4.685000 1.965000 ;
+      RECT 3.215000  0.085000 3.385000 0.555000 ;
+      RECT 3.555000  0.305000 4.725000 0.475000 ;
+      RECT 3.595000  1.965000 3.845000 2.125000 ;
+      RECT 3.975000  0.645000 4.305000 0.725000 ;
+      RECT 4.015000  2.135000 4.265000 2.635000 ;
+      RECT 4.435000  1.965000 4.685000 2.465000 ;
+      RECT 4.475000  0.475000 4.725000 0.895000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.165000  1.075000 6.435000 1.245000 ;
+      RECT 5.165000  1.245000 5.455000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.445000 2.615000 1.615000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.445000 5.395000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.385000 1.415000 2.675000 1.460000 ;
+      RECT 2.385000 1.460000 5.455000 1.600000 ;
+      RECT 2.385000 1.600000 2.675000 1.645000 ;
+      RECT 5.165000 1.415000 5.455000 1.460000 ;
+      RECT 5.165000 1.600000 5.455000 1.645000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_4
+MACRO sky130_fd_sc_hd__o2bb2a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.770000 1.075000 1.220000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.380000 1.290000 0.735000 ;
+        RECT 1.070000 0.735000 1.565000 0.905000 ;
+        RECT 1.390000 0.905000 1.565000 1.100000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.250000 1.075000 3.595000 1.645000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.520000 1.075000 3.080000 1.325000 ;
+        RECT 2.905000 1.325000 3.080000 2.425000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.795000 ;
+        RECT 0.085000 1.795000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.600000 1.445000 ;
+      RECT 0.430000  1.445000 0.825000 1.615000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 0.620000  0.085000 0.790000 0.750000 ;
+      RECT 0.655000  1.615000 0.825000 1.885000 ;
+      RECT 0.655000  1.885000 2.735000 2.055000 ;
+      RECT 0.995000  1.495000 2.010000 1.715000 ;
+      RECT 1.460000  0.395000 1.905000 0.565000 ;
+      RECT 1.715000  2.235000 2.115000 2.635000 ;
+      RECT 1.735000  0.565000 1.905000 1.355000 ;
+      RECT 1.735000  1.355000 2.010000 1.495000 ;
+      RECT 2.075000  0.320000 2.325000 0.690000 ;
+      RECT 2.155000  0.690000 2.325000 1.075000 ;
+      RECT 2.155000  1.075000 2.350000 1.245000 ;
+      RECT 2.180000  1.245000 2.350000 1.495000 ;
+      RECT 2.180000  1.495000 2.735000 1.885000 ;
+      RECT 2.405000  2.055000 2.735000 2.290000 ;
+      RECT 2.495000  0.320000 2.745000 0.725000 ;
+      RECT 2.495000  0.725000 3.595000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.250000  1.815000 3.595000 2.635000 ;
+      RECT 3.255000  0.320000 3.595000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2a_1
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 5.430000 2.465000 ;
+        RECT 2.835000 1.025000 5.430000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+        RECT 3.825000 2.125000 3.995000 2.295000 ;
+        RECT 4.285000 2.125000 4.455000 2.295000 ;
+        RECT 4.745000 2.125000 4.915000 2.295000 ;
+        RECT 5.205000 2.125000 5.375000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 5.450000 2.340000 ;
+        RECT 0.085000 2.080000 5.435000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_12
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.755000 2.465000 ;
+        RECT 1.005000 1.025000 1.755000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.085000 2.080000 1.755000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 1.295000 2.465000 ;
+        RECT 0.775000 1.005000 1.295000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.085000 2.080000 1.295000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_3
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 2.675000 2.465000 ;
+        RECT 1.465000 1.025000 2.675000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.085000 2.080000 2.675000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_6
+MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.545000 3.595000 2.465000 ;
+        RECT 1.905000 1.025000 3.595000 1.545000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.125000 0.315000 2.295000 ;
+        RECT 0.605000 2.125000 0.775000 2.295000 ;
+        RECT 1.065000 2.125000 1.235000 2.295000 ;
+        RECT 1.525000 2.125000 1.695000 2.295000 ;
+        RECT 1.985000 2.125000 2.155000 2.295000 ;
+        RECT 2.445000 2.125000 2.615000 2.295000 ;
+        RECT 2.905000 2.125000 3.075000 2.295000 ;
+        RECT 3.365000 2.125000 3.535000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 3.610000 2.340000 ;
+        RECT 0.085000 2.080000 3.595000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_decapkapwr_8
+MACRO sky130_fd_sc_hd__xnor3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.425000 1.075000 8.835000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.605000 0.995000 7.775000 1.445000 ;
+        RECT 7.605000 1.445000 8.185000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.995000 1.075000 3.560000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.625000 0.375000 0.875000 0.995000 ;
+        RECT 0.625000 0.995000 1.710000 1.325000 ;
+        RECT 0.625000 1.325000 0.955000 2.425000 ;
+        RECT 1.465000 0.350000 1.725000 0.925000 ;
+        RECT 1.465000 0.925000 1.710000 0.995000 ;
+        RECT 1.465000 1.325000 1.710000 1.440000 ;
+        RECT 1.465000 1.440000 1.745000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.285000  0.085000 0.455000 0.735000 ;
+      RECT 0.285000  1.490000 0.455000 2.635000 ;
+      RECT 1.125000  0.085000 1.295000 0.735000 ;
+      RECT 1.125000  1.495000 1.295000 2.635000 ;
+      RECT 1.880000  0.995000 2.085000 1.325000 ;
+      RECT 1.895000  0.085000 2.145000 0.525000 ;
+      RECT 1.910000  0.695000 2.485000 0.865000 ;
+      RECT 1.910000  0.865000 2.085000 0.995000 ;
+      RECT 1.915000  1.325000 2.085000 1.875000 ;
+      RECT 1.915000  1.875000 2.600000 2.045000 ;
+      RECT 1.915000  2.215000 2.250000 2.635000 ;
+      RECT 2.315000  0.255000 3.885000 0.425000 ;
+      RECT 2.315000  0.425000 2.485000 0.695000 ;
+      RECT 2.315000  1.535000 3.900000 1.705000 ;
+      RECT 2.430000  2.045000 2.600000 2.235000 ;
+      RECT 2.430000  2.235000 3.900000 2.405000 ;
+      RECT 2.655000  0.595000 2.825000 1.535000 ;
+      RECT 2.940000  1.895000 5.440000 2.065000 ;
+      RECT 3.125000  0.625000 4.345000 0.795000 ;
+      RECT 3.125000  0.795000 3.505000 0.905000 ;
+      RECT 3.450000  0.425000 3.885000 0.455000 ;
+      RECT 3.730000  0.995000 4.005000 1.325000 ;
+      RECT 3.730000  1.325000 3.900000 1.535000 ;
+      RECT 4.055000  0.285000 4.685000 0.455000 ;
+      RECT 4.070000  1.525000 4.455000 1.695000 ;
+      RECT 4.175000  0.795000 4.345000 1.375000 ;
+      RECT 4.175000  1.375000 4.455000 1.525000 ;
+      RECT 4.515000  0.455000 4.685000 1.035000 ;
+      RECT 4.515000  1.035000 4.795000 1.205000 ;
+      RECT 4.605000  2.235000 4.935000 2.635000 ;
+      RECT 4.625000  1.205000 4.795000 1.895000 ;
+      RECT 4.855000  0.085000 5.025000 0.865000 ;
+      RECT 5.025000  1.445000 5.445000 1.715000 ;
+      RECT 5.205000  0.415000 5.445000 1.445000 ;
+      RECT 5.270000  2.065000 5.440000 2.275000 ;
+      RECT 5.270000  2.275000 8.365000 2.445000 ;
+      RECT 5.625000  0.265000 6.035000 0.485000 ;
+      RECT 5.625000  0.485000 5.835000 0.595000 ;
+      RECT 5.625000  0.595000 5.795000 2.105000 ;
+      RECT 5.965000  0.720000 6.375000 0.825000 ;
+      RECT 5.965000  0.825000 6.175000 0.890000 ;
+      RECT 5.965000  0.890000 6.135000 2.275000 ;
+      RECT 6.005000  0.655000 6.375000 0.720000 ;
+      RECT 6.205000  0.320000 6.375000 0.655000 ;
+      RECT 6.315000  1.445000 7.095000 1.615000 ;
+      RECT 6.315000  1.615000 6.730000 2.045000 ;
+      RECT 6.330000  0.995000 6.755000 1.270000 ;
+      RECT 6.545000  0.630000 6.755000 0.995000 ;
+      RECT 6.925000  0.255000 8.070000 0.425000 ;
+      RECT 6.925000  0.425000 7.095000 1.445000 ;
+      RECT 7.265000  0.595000 7.435000 1.935000 ;
+      RECT 7.265000  1.935000 9.575000 2.105000 ;
+      RECT 7.605000  0.425000 8.070000 0.465000 ;
+      RECT 7.945000  0.730000 8.150000 0.945000 ;
+      RECT 7.945000  0.945000 8.255000 1.275000 ;
+      RECT 8.355000  1.495000 9.175000 1.705000 ;
+      RECT 8.395000  0.295000 8.685000 0.735000 ;
+      RECT 8.395000  0.735000 9.175000 0.750000 ;
+      RECT 8.435000  0.750000 9.175000 0.905000 ;
+      RECT 8.775000  2.275000 9.110000 2.635000 ;
+      RECT 8.855000  0.085000 9.025000 0.565000 ;
+      RECT 9.005000  0.905000 9.175000 0.995000 ;
+      RECT 9.005000  0.995000 9.235000 1.325000 ;
+      RECT 9.005000  1.325000 9.175000 1.495000 ;
+      RECT 9.090000  1.875000 9.575000 1.935000 ;
+      RECT 9.275000  0.255000 9.575000 0.585000 ;
+      RECT 9.280000  2.105000 9.575000 2.465000 ;
+      RECT 9.405000  0.585000 9.575000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  1.445000 4.455000 1.615000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.425000 5.835000 0.595000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  1.445000 6.755000 1.615000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  0.765000 8.135000 0.935000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  0.425000 8.595000 0.595000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.225000 1.415000 4.515000 1.460000 ;
+      RECT 4.225000 1.460000 6.815000 1.600000 ;
+      RECT 4.225000 1.600000 4.515000 1.645000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.780000 8.195000 0.920000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.605000 0.395000 5.895000 0.440000 ;
+      RECT 5.605000 0.440000 8.655000 0.580000 ;
+      RECT 5.605000 0.580000 5.895000 0.625000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.525000 1.415000 6.815000 1.460000 ;
+      RECT 6.525000 1.600000 6.815000 1.645000 ;
+      RECT 7.905000 0.735000 8.195000 0.780000 ;
+      RECT 7.905000 0.920000 8.195000 0.965000 ;
+      RECT 8.365000 0.395000 8.655000 0.440000 ;
+      RECT 8.365000 0.580000 8.655000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_4
+MACRO sky130_fd_sc_hd__xnor3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.505000 1.075000 7.915000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.685000 0.995000 6.855000 1.445000 ;
+        RECT 6.685000 1.445000 7.265000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 2.640000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.350000 0.805000 0.925000 ;
+        RECT 0.545000 0.925000 0.790000 1.440000 ;
+        RECT 0.545000 1.440000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.735000 ;
+      RECT 0.085000  1.490000 0.375000 2.635000 ;
+      RECT 0.960000  0.995000 1.165000 1.325000 ;
+      RECT 0.975000  0.085000 1.225000 0.525000 ;
+      RECT 0.990000  0.695000 1.565000 0.865000 ;
+      RECT 0.990000  0.865000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.875000 ;
+      RECT 0.995000  1.875000 1.680000 2.045000 ;
+      RECT 0.995000  2.215000 1.330000 2.635000 ;
+      RECT 1.395000  0.255000 2.965000 0.425000 ;
+      RECT 1.395000  0.425000 1.565000 0.695000 ;
+      RECT 1.395000  1.535000 2.980000 1.705000 ;
+      RECT 1.510000  2.045000 1.680000 2.235000 ;
+      RECT 1.510000  2.235000 2.980000 2.405000 ;
+      RECT 1.735000  0.595000 1.905000 1.535000 ;
+      RECT 2.020000  1.895000 4.520000 2.065000 ;
+      RECT 2.205000  0.625000 3.425000 0.795000 ;
+      RECT 2.205000  0.795000 2.585000 0.905000 ;
+      RECT 2.530000  0.425000 2.965000 0.455000 ;
+      RECT 2.810000  0.995000 3.085000 1.325000 ;
+      RECT 2.810000  1.325000 2.980000 1.535000 ;
+      RECT 3.135000  0.285000 3.765000 0.455000 ;
+      RECT 3.150000  1.525000 3.535000 1.695000 ;
+      RECT 3.255000  0.795000 3.425000 1.375000 ;
+      RECT 3.255000  1.375000 3.535000 1.525000 ;
+      RECT 3.595000  0.455000 3.765000 1.035000 ;
+      RECT 3.595000  1.035000 3.875000 1.205000 ;
+      RECT 3.685000  2.235000 4.015000 2.635000 ;
+      RECT 3.705000  1.205000 3.875000 1.895000 ;
+      RECT 3.935000  0.085000 4.105000 0.865000 ;
+      RECT 4.105000  1.445000 4.525000 1.715000 ;
+      RECT 4.285000  0.415000 4.525000 1.445000 ;
+      RECT 4.350000  2.065000 4.520000 2.275000 ;
+      RECT 4.350000  2.275000 7.445000 2.445000 ;
+      RECT 4.705000  0.265000 5.115000 0.485000 ;
+      RECT 4.705000  0.485000 4.915000 0.595000 ;
+      RECT 4.705000  0.595000 4.875000 2.105000 ;
+      RECT 5.045000  0.720000 5.455000 0.825000 ;
+      RECT 5.045000  0.825000 5.255000 0.890000 ;
+      RECT 5.045000  0.890000 5.215000 2.275000 ;
+      RECT 5.085000  0.655000 5.455000 0.720000 ;
+      RECT 5.285000  0.320000 5.455000 0.655000 ;
+      RECT 5.395000  1.445000 6.175000 1.615000 ;
+      RECT 5.395000  1.615000 5.810000 2.045000 ;
+      RECT 5.410000  0.995000 5.835000 1.270000 ;
+      RECT 5.625000  0.630000 5.835000 0.995000 ;
+      RECT 6.005000  0.255000 7.150000 0.425000 ;
+      RECT 6.005000  0.425000 6.175000 1.445000 ;
+      RECT 6.345000  0.595000 6.515000 1.935000 ;
+      RECT 6.345000  1.935000 8.655000 2.105000 ;
+      RECT 6.685000  0.425000 7.150000 0.465000 ;
+      RECT 7.025000  0.730000 7.230000 0.945000 ;
+      RECT 7.025000  0.945000 7.335000 1.275000 ;
+      RECT 7.435000  1.495000 8.255000 1.705000 ;
+      RECT 7.475000  0.295000 7.765000 0.735000 ;
+      RECT 7.475000  0.735000 8.255000 0.750000 ;
+      RECT 7.515000  0.750000 8.255000 0.905000 ;
+      RECT 7.855000  2.275000 8.190000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.565000 ;
+      RECT 8.085000  0.905000 8.255000 0.995000 ;
+      RECT 8.085000  0.995000 8.315000 1.325000 ;
+      RECT 8.085000  1.325000 8.255000 1.495000 ;
+      RECT 8.170000  1.875000 8.655000 1.935000 ;
+      RECT 8.355000  0.255000 8.655000 0.585000 ;
+      RECT 8.360000  2.105000 8.655000 2.465000 ;
+      RECT 8.485000  0.585000 8.655000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  1.445000 3.535000 1.615000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.765000 4.455000 0.935000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  0.425000 4.915000 0.595000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  0.765000 5.835000 0.935000 ;
+      RECT 5.665000  1.445000 5.835000 1.615000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.765000 7.215000 0.935000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  0.425000 7.675000 0.595000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.305000 1.415000 3.595000 1.460000 ;
+      RECT 3.305000 1.460000 5.895000 1.600000 ;
+      RECT 3.305000 1.600000 3.595000 1.645000 ;
+      RECT 4.225000 0.735000 4.515000 0.780000 ;
+      RECT 4.225000 0.780000 7.275000 0.920000 ;
+      RECT 4.225000 0.920000 4.515000 0.965000 ;
+      RECT 4.685000 0.395000 4.975000 0.440000 ;
+      RECT 4.685000 0.440000 7.735000 0.580000 ;
+      RECT 4.685000 0.580000 4.975000 0.625000 ;
+      RECT 5.605000 0.735000 5.895000 0.780000 ;
+      RECT 5.605000 0.920000 5.895000 0.965000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.985000 0.735000 7.275000 0.780000 ;
+      RECT 6.985000 0.920000 7.275000 0.965000 ;
+      RECT 7.445000 0.395000 7.735000 0.440000 ;
+      RECT 7.445000 0.580000 7.735000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_2
+MACRO sky130_fd_sc_hd__xnor3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.045000 1.075000 7.455000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.661500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.225000 0.995000 6.395000 1.445000 ;
+        RECT 6.225000 1.445000 6.805000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.381000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 1.075000 2.180000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.350000 0.345000 0.925000 ;
+        RECT 0.085000 0.925000 0.330000 1.440000 ;
+        RECT 0.085000 1.440000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.500000  0.995000 0.705000 1.325000 ;
+      RECT 0.515000  0.085000 0.765000 0.525000 ;
+      RECT 0.530000  0.695000 1.105000 0.865000 ;
+      RECT 0.530000  0.865000 0.705000 0.995000 ;
+      RECT 0.535000  1.325000 0.705000 1.875000 ;
+      RECT 0.535000  1.875000 1.220000 2.045000 ;
+      RECT 0.535000  2.215000 0.870000 2.635000 ;
+      RECT 0.935000  0.255000 2.505000 0.425000 ;
+      RECT 0.935000  0.425000 1.105000 0.695000 ;
+      RECT 0.935000  1.535000 2.520000 1.705000 ;
+      RECT 1.050000  2.045000 1.220000 2.235000 ;
+      RECT 1.050000  2.235000 2.520000 2.405000 ;
+      RECT 1.275000  0.595000 1.445000 1.535000 ;
+      RECT 1.560000  1.895000 4.060000 2.065000 ;
+      RECT 1.745000  0.625000 2.965000 0.795000 ;
+      RECT 1.745000  0.795000 2.125000 0.905000 ;
+      RECT 2.070000  0.425000 2.505000 0.455000 ;
+      RECT 2.350000  0.995000 2.625000 1.325000 ;
+      RECT 2.350000  1.325000 2.520000 1.535000 ;
+      RECT 2.675000  0.285000 3.305000 0.455000 ;
+      RECT 2.690000  1.525000 3.075000 1.695000 ;
+      RECT 2.795000  0.795000 2.965000 1.375000 ;
+      RECT 2.795000  1.375000 3.075000 1.525000 ;
+      RECT 3.135000  0.455000 3.305000 1.035000 ;
+      RECT 3.135000  1.035000 3.415000 1.205000 ;
+      RECT 3.225000  2.235000 3.555000 2.635000 ;
+      RECT 3.245000  1.205000 3.415000 1.895000 ;
+      RECT 3.475000  0.085000 3.645000 0.865000 ;
+      RECT 3.645000  1.445000 4.065000 1.715000 ;
+      RECT 3.825000  0.415000 4.065000 1.445000 ;
+      RECT 3.890000  2.065000 4.060000 2.275000 ;
+      RECT 3.890000  2.275000 6.985000 2.445000 ;
+      RECT 4.245000  0.265000 4.655000 0.485000 ;
+      RECT 4.245000  0.485000 4.455000 0.595000 ;
+      RECT 4.245000  0.595000 4.415000 2.105000 ;
+      RECT 4.585000  0.720000 4.995000 0.825000 ;
+      RECT 4.585000  0.825000 4.795000 0.890000 ;
+      RECT 4.585000  0.890000 4.755000 2.275000 ;
+      RECT 4.625000  0.655000 4.995000 0.720000 ;
+      RECT 4.825000  0.320000 4.995000 0.655000 ;
+      RECT 4.935000  1.445000 5.715000 1.615000 ;
+      RECT 4.935000  1.615000 5.350000 2.045000 ;
+      RECT 4.950000  0.995000 5.375000 1.270000 ;
+      RECT 5.165000  0.630000 5.375000 0.995000 ;
+      RECT 5.545000  0.255000 6.690000 0.425000 ;
+      RECT 5.545000  0.425000 5.715000 1.445000 ;
+      RECT 5.885000  0.595000 6.055000 1.935000 ;
+      RECT 5.885000  1.935000 8.195000 2.105000 ;
+      RECT 6.225000  0.425000 6.690000 0.465000 ;
+      RECT 6.565000  0.730000 6.770000 0.945000 ;
+      RECT 6.565000  0.945000 6.875000 1.275000 ;
+      RECT 6.975000  1.495000 7.795000 1.705000 ;
+      RECT 7.015000  0.295000 7.305000 0.735000 ;
+      RECT 7.015000  0.735000 7.795000 0.750000 ;
+      RECT 7.055000  0.750000 7.795000 0.905000 ;
+      RECT 7.395000  2.275000 7.730000 2.635000 ;
+      RECT 7.475000  0.085000 7.645000 0.565000 ;
+      RECT 7.625000  0.905000 7.795000 0.995000 ;
+      RECT 7.625000  0.995000 7.855000 1.325000 ;
+      RECT 7.625000  1.325000 7.795000 1.495000 ;
+      RECT 7.710000  1.875000 8.195000 1.935000 ;
+      RECT 7.895000  0.255000 8.195000 0.585000 ;
+      RECT 7.900000  2.105000 8.195000 2.465000 ;
+      RECT 8.025000  0.585000 8.195000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.445000 3.075000 1.615000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  0.765000 3.995000 0.935000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  0.425000 4.455000 0.595000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  0.765000 5.375000 0.935000 ;
+      RECT 5.205000  1.445000 5.375000 1.615000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  0.765000 6.755000 0.935000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  0.425000 7.215000 0.595000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.845000 1.415000 3.135000 1.460000 ;
+      RECT 2.845000 1.460000 5.435000 1.600000 ;
+      RECT 2.845000 1.600000 3.135000 1.645000 ;
+      RECT 3.765000 0.735000 4.055000 0.780000 ;
+      RECT 3.765000 0.780000 6.815000 0.920000 ;
+      RECT 3.765000 0.920000 4.055000 0.965000 ;
+      RECT 4.225000 0.395000 4.515000 0.440000 ;
+      RECT 4.225000 0.440000 7.275000 0.580000 ;
+      RECT 4.225000 0.580000 4.515000 0.625000 ;
+      RECT 5.145000 0.735000 5.435000 0.780000 ;
+      RECT 5.145000 0.920000 5.435000 0.965000 ;
+      RECT 5.145000 1.415000 5.435000 1.460000 ;
+      RECT 5.145000 1.600000 5.435000 1.645000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+      RECT 6.985000 0.395000 7.275000 0.440000 ;
+      RECT 6.985000 0.580000 7.275000 0.625000 ;
+  END
+END sky130_fd_sc_hd__xnor3_1
+MACRO sky130_fd_sc_hd__sdfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  15.18000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 14.415000 0.255000 14.665000 0.825000 ;
+        RECT 14.415000 1.445000 14.665000 2.465000 ;
+        RECT 14.460000 0.825000 14.665000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.580000 0.255000 12.830000 0.715000 ;
+        RECT 12.580000 1.630000 12.830000 2.465000 ;
+        RECT 12.660000 0.715000 12.830000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.590000 1.095000 12.070000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.145000 0.765000 ;
+        RECT 1.935000 0.765000 2.335000 1.095000 ;
+        RECT 1.935000 1.095000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 15.180000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 15.370000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 15.180000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 15.180000 0.085000 ;
+      RECT  0.000000  2.635000 15.180000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.315000  0.265000  2.730000 0.595000 ;
+      RECT  2.405000  1.250000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.575000 1.875000 ;
+      RECT  2.435000  1.235000  3.075000 1.250000 ;
+      RECT  2.560000  0.595000  2.730000 1.075000 ;
+      RECT  2.560000  1.075000  3.075000 1.235000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.955000  0.305000  3.125000 0.625000 ;
+      RECT  2.955000  0.625000  3.645000 0.765000 ;
+      RECT  2.955000  0.765000  3.770000 0.795000 ;
+      RECT  3.295000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.375000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.505000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.705000  0.595000  7.035000 0.765000 ;
+      RECT  6.815000  0.765000  7.035000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.205000  0.425000  7.375000 0.715000 ;
+      RECT  7.645000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.410000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.580000  0.595000 10.910000 0.780000 ;
+      RECT 10.730000  0.780000 10.910000 1.915000 ;
+      RECT 10.940000  2.255000 12.410000 2.635000 ;
+      RECT 11.080000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.845000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.925000 1.745000 ;
+      RECT 11.620000  0.265000 11.845000 0.755000 ;
+      RECT 12.080000  0.085000 12.410000 0.805000 ;
+      RECT 12.240000  0.995000 12.480000 1.325000 ;
+      RECT 12.240000  1.325000 12.410000 1.915000 ;
+      RECT 13.000000  0.085000 13.235000 0.885000 ;
+      RECT 13.000000  1.495000 13.235000 2.635000 ;
+      RECT 13.455000  0.255000 13.770000 0.995000 ;
+      RECT 13.455000  0.995000 14.290000 1.325000 ;
+      RECT 13.455000  1.325000 13.770000 2.415000 ;
+      RECT 13.950000  0.085000 14.245000 0.545000 ;
+      RECT 13.950000  1.765000 14.245000 2.635000 ;
+      RECT 14.835000  0.085000 15.075000 0.885000 ;
+      RECT 14.835000  1.495000 15.075000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.435000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_2
+MACRO sky130_fd_sc_hd__sdfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.405000 4.105000 1.575000 ;
+        RECT 3.775000 1.575000 4.060000 1.675000 ;
+        RECT 3.825000 1.675000 4.060000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.785000 ;
+        RECT 13.915000 1.470000 14.175000 2.465000 ;
+        RECT 13.965000 0.785000 14.175000 1.470000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 1.025000 1.695000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.345000 2.155000 0.815000 ;
+        RECT 1.935000 0.815000 2.315000 1.150000 ;
+        RECT 1.935000 1.150000 2.155000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.870000 0.735000 6.295000 0.965000 ;
+        RECT 5.870000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.095000  1.795000  0.835000 1.965000 ;
+      RECT  0.095000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.430000  0.085000  1.705000 0.635000 ;
+      RECT  1.430000  1.885000  1.785000 2.635000 ;
+      RECT  2.215000  1.875000  2.575000 2.385000 ;
+      RECT  2.325000  0.265000  2.655000 0.595000 ;
+      RECT  2.405000  1.295000  3.075000 1.405000 ;
+      RECT  2.405000  1.405000  2.670000 1.430000 ;
+      RECT  2.405000  1.430000  2.630000 1.465000 ;
+      RECT  2.405000  1.465000  2.605000 1.505000 ;
+      RECT  2.405000  1.505000  2.575000 1.875000 ;
+      RECT  2.460000  1.255000  3.075000 1.295000 ;
+      RECT  2.485000  0.595000  2.655000 1.075000 ;
+      RECT  2.485000  1.075000  3.075000 1.255000 ;
+      RECT  2.760000  1.575000  3.605000 1.745000 ;
+      RECT  2.760000  1.745000  3.140000 1.905000 ;
+      RECT  2.870000  0.305000  3.040000 0.625000 ;
+      RECT  2.870000  0.625000  3.645000 0.765000 ;
+      RECT  2.870000  0.765000  3.770000 0.795000 ;
+      RECT  2.970000  1.905000  3.140000 2.465000 ;
+      RECT  3.225000  0.085000  3.555000 0.445000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.430000  0.795000  3.770000 1.095000 ;
+      RECT  3.430000  1.095000  3.605000 1.575000 ;
+      RECT  3.950000  0.425000  4.330000 0.595000 ;
+      RECT  3.950000  0.595000  4.120000 1.065000 ;
+      RECT  3.950000  1.065000  4.400000 1.105000 ;
+      RECT  3.950000  1.105000  4.410000 1.175000 ;
+      RECT  3.950000  1.175000  4.445000 1.235000 ;
+      RECT  4.160000  0.265000  4.330000 0.425000 ;
+      RECT  4.225000  1.235000  4.445000 1.275000 ;
+      RECT  4.230000  2.135000  4.445000 2.465000 ;
+      RECT  4.245000  1.275000  4.445000 1.305000 ;
+      RECT  4.275000  1.305000  4.445000 2.135000 ;
+      RECT  4.555000  0.265000  5.655000 0.465000 ;
+      RECT  4.570000  0.705000  4.790000 1.035000 ;
+      RECT  4.615000  1.035000  4.790000 1.575000 ;
+      RECT  4.615000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  5.000000  0.735000  5.330000 1.015000 ;
+      RECT  5.295000  1.195000  5.670000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.485000  0.465000  5.655000 0.585000 ;
+      RECT  5.485000  0.585000  5.670000 0.655000 ;
+      RECT  5.500000  0.655000  5.670000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.835000  0.085000  6.005000 0.525000 ;
+      RECT  6.260000  0.255000  7.350000 0.425000 ;
+      RECT  6.260000  0.425000  6.590000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.680000  0.610000  7.010000 0.780000 ;
+      RECT  6.810000  0.780000  7.010000 0.895000 ;
+      RECT  6.810000  0.895000  8.125000 1.060000 ;
+      RECT  6.815000  1.060000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.180000  0.425000  7.350000 0.715000 ;
+      RECT  7.620000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.410000 0.545000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.595000 10.905000 0.780000 ;
+      RECT 10.730000  0.780000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.775000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.775000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+      RECT 13.455000  1.765000 13.740000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  0.765000  0.775000 0.935000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.230000  1.105000  4.400000 1.275000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.155000  0.765000  5.325000 0.935000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 0.735000  0.835000 0.780000 ;
+      RECT  0.545000 0.780000  5.385000 0.920000 ;
+      RECT  0.545000 0.920000  0.835000 0.965000 ;
+      RECT  1.005000 1.755000  1.295000 1.800000 ;
+      RECT  1.005000 1.800000  8.655000 1.940000 ;
+      RECT  1.005000 1.940000  1.295000 1.985000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.460000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.170000 1.075000  4.460000 1.120000 ;
+      RECT  4.170000 1.260000  4.460000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.095000 0.735000  5.385000 0.780000 ;
+      RECT  5.095000 0.920000  5.385000 0.965000 ;
+      RECT  5.170000 0.965000  5.385000 1.120000 ;
+      RECT  5.170000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbn_1
+MACRO sky130_fd_sc_hd__a21bo_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.995000 2.175000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 0.995000 2.630000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.325000 0.335000 1.665000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.300000 0.265000 3.580000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.105000  1.845000 0.855000 2.045000 ;
+      RECT 0.105000  2.045000 0.345000 2.435000 ;
+      RECT 0.515000  0.265000 0.745000 1.165000 ;
+      RECT 0.515000  1.165000 0.855000 1.845000 ;
+      RECT 0.515000  2.225000 0.865000 2.635000 ;
+      RECT 0.945000  0.085000 1.190000 0.865000 ;
+      RECT 1.035000  1.045000 1.580000 1.345000 ;
+      RECT 1.035000  1.345000 1.365000 2.455000 ;
+      RECT 1.360000  0.265000 1.790000 0.625000 ;
+      RECT 1.360000  0.625000 3.100000 0.815000 ;
+      RECT 1.360000  0.815000 1.580000 1.045000 ;
+      RECT 1.535000  1.785000 2.560000 1.985000 ;
+      RECT 1.535000  1.985000 1.715000 2.455000 ;
+      RECT 1.885000  2.155000 2.215000 2.635000 ;
+      RECT 2.370000  0.085000 3.100000 0.455000 ;
+      RECT 2.390000  1.985000 2.560000 2.455000 ;
+      RECT 2.825000  1.495000 3.110000 2.635000 ;
+      RECT 2.840000  0.815000 3.100000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_1
+MACRO sky130_fd_sc_hd__a21bo_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.590000 1.010000 4.955000 1.360000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.025000 1.010000 4.420000 1.275000 ;
+        RECT 4.245000 1.275000 4.420000 1.595000 ;
+        RECT 4.245000 1.595000 5.390000 1.765000 ;
+        RECT 5.220000 1.055000 5.700000 1.290000 ;
+        RECT 5.220000 1.290000 5.390000 1.595000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.500000 1.010000 0.830000 1.625000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 0.615000 2.340000 0.785000 ;
+        RECT 1.000000 0.785000 1.235000 1.595000 ;
+        RECT 1.000000 1.595000 2.410000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.105000  0.255000 0.540000 0.840000 ;
+      RECT 0.105000  0.840000 0.330000 1.795000 ;
+      RECT 0.105000  1.795000 0.565000 1.935000 ;
+      RECT 0.105000  1.935000 2.870000 2.105000 ;
+      RECT 0.105000  2.105000 0.550000 2.465000 ;
+      RECT 0.710000  0.085000 1.050000 0.445000 ;
+      RECT 0.720000  2.275000 1.050000 2.635000 ;
+      RECT 1.405000  0.995000 2.810000 1.185000 ;
+      RECT 1.405000  1.185000 2.530000 1.325000 ;
+      RECT 1.580000  0.085000 1.910000 0.445000 ;
+      RECT 1.580000  2.275000 1.910000 2.635000 ;
+      RECT 2.435000  2.275000 2.770000 2.635000 ;
+      RECT 2.515000  0.085000 3.285000 0.445000 ;
+      RECT 2.640000  0.615000 3.645000 0.670000 ;
+      RECT 2.640000  0.670000 4.965000 0.785000 ;
+      RECT 2.640000  0.785000 3.010000 0.800000 ;
+      RECT 2.640000  0.800000 2.810000 0.995000 ;
+      RECT 2.700000  1.355000 3.305000 1.525000 ;
+      RECT 2.700000  1.525000 2.870000 1.935000 ;
+      RECT 2.995000  0.995000 3.305000 1.355000 ;
+      RECT 3.055000  1.695000 3.225000 2.210000 ;
+      RECT 3.055000  2.210000 4.065000 2.380000 ;
+      RECT 3.475000  0.255000 3.645000 0.615000 ;
+      RECT 3.475000  0.785000 4.965000 0.840000 ;
+      RECT 3.475000  0.840000 3.645000 1.805000 ;
+      RECT 3.855000  0.085000 4.185000 0.445000 ;
+      RECT 3.885000  1.445000 4.065000 1.935000 ;
+      RECT 3.885000  1.935000 5.825000 2.105000 ;
+      RECT 3.885000  2.105000 4.065000 2.210000 ;
+      RECT 4.235000  2.275000 4.565000 2.635000 ;
+      RECT 4.685000  0.405000 4.965000 0.670000 ;
+      RECT 5.075000  2.275000 5.405000 2.635000 ;
+      RECT 5.545000  0.085000 5.825000 0.885000 ;
+      RECT 5.570000  1.460000 5.825000 1.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_4
+MACRO sky130_fd_sc_hd__a21bo_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.995000 3.100000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 0.995000 3.560000 1.615000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.035000 1.525000 1.325000 ;
+        RECT 1.330000 0.995000 1.525000 1.035000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.715000 0.850000 0.885000 ;
+        RECT 0.150000 0.885000 0.380000 1.835000 ;
+        RECT 0.150000 1.835000 0.850000 2.005000 ;
+        RECT 0.520000 0.315000 0.850000 0.715000 ;
+        RECT 0.595000 2.005000 0.850000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.090000  2.255000 0.425000 2.635000 ;
+      RECT 0.570000  1.075000 0.900000 1.495000 ;
+      RECT 0.570000  1.495000 1.285000 1.665000 ;
+      RECT 1.020000  0.085000 1.220000 0.865000 ;
+      RECT 1.040000  2.275000 1.370000 2.635000 ;
+      RECT 1.115000  1.665000 1.285000 1.895000 ;
+      RECT 1.115000  1.895000 2.225000 2.105000 ;
+      RECT 1.455000  0.655000 1.865000 0.825000 ;
+      RECT 1.455000  1.555000 1.865000 1.725000 ;
+      RECT 1.695000  0.825000 1.865000 0.995000 ;
+      RECT 1.695000  0.995000 2.175000 1.325000 ;
+      RECT 1.695000  1.325000 1.865000 1.555000 ;
+      RECT 1.975000  0.085000 2.305000 0.465000 ;
+      RECT 1.975000  2.105000 2.225000 2.465000 ;
+      RECT 2.055000  1.505000 2.515000 1.675000 ;
+      RECT 2.055000  1.675000 2.225000 1.895000 ;
+      RECT 2.345000  0.635000 2.740000 0.825000 ;
+      RECT 2.345000  0.825000 2.515000 1.505000 ;
+      RECT 2.395000  1.845000 3.565000 2.015000 ;
+      RECT 2.395000  2.015000 2.725000 2.465000 ;
+      RECT 2.895000  2.185000 3.065000 2.635000 ;
+      RECT 3.235000  0.085000 3.565000 0.825000 ;
+      RECT 3.235000  2.015000 3.565000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a21bo_2
+MACRO sky130_fd_sc_hd__or4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.680000 0.760000 ;
+        RECT 2.405000 1.495000 2.680000 2.465000 ;
+        RECT 2.510000 0.760000 2.680000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.410000 1.785000 ;
+      RECT 0.085000  1.785000 1.680000 1.955000 ;
+      RECT 0.090000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.340000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+      RECT 2.850000  0.085000 3.020000 1.000000 ;
+      RECT 2.850000  1.455000 3.020000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_2
+MACRO sky130_fd_sc_hd__or4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.490000 0.995000 1.895000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 2.125000 1.745000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.320000 1.615000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.755000 0.440000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.410000 1.785000 ;
+      RECT 0.090000  1.785000 1.680000 1.955000 ;
+      RECT 0.095000  0.085000 0.425000 0.585000 ;
+      RECT 0.625000  0.305000 0.795000 0.655000 ;
+      RECT 0.625000  0.655000 2.235000 0.825000 ;
+      RECT 0.995000  0.085000 1.325000 0.485000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.510000  1.495000 2.235000 1.665000 ;
+      RECT 1.510000  1.665000 1.680000 1.785000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.335000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_1
+MACRO sky130_fd_sc_hd__or4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.840000 0.995000 2.010000 1.445000 ;
+        RECT 1.840000 1.445000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.280000 0.995000 1.610000 1.450000 ;
+        RECT 1.400000 1.450000 1.610000 1.785000 ;
+        RECT 1.400000 1.785000 1.720000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.050000 1.620000 ;
+        RECT 0.880000 1.620000 1.230000 2.375000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.370000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 1.455000 4.055000 1.625000 ;
+        RECT 2.480000 1.625000 2.730000 2.465000 ;
+        RECT 2.520000 0.255000 2.770000 0.725000 ;
+        RECT 2.520000 0.725000 4.055000 0.905000 ;
+        RECT 3.280000 0.255000 3.610000 0.725000 ;
+        RECT 3.320000 1.625000 3.570000 2.465000 ;
+        RECT 3.810000 0.905000 4.055000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.115000  1.495000 0.710000 1.665000 ;
+      RECT 0.115000  1.665000 0.450000 2.450000 ;
+      RECT 0.120000  0.085000 0.370000 0.585000 ;
+      RECT 0.540000  0.655000 2.350000 0.825000 ;
+      RECT 0.540000  0.825000 0.710000 1.495000 ;
+      RECT 0.700000  0.305000 0.870000 0.655000 ;
+      RECT 1.070000  0.085000 1.400000 0.485000 ;
+      RECT 1.570000  0.305000 1.740000 0.655000 ;
+      RECT 1.960000  0.085000 2.340000 0.485000 ;
+      RECT 2.005000  1.795000 2.255000 2.635000 ;
+      RECT 2.180000  0.825000 2.350000 1.075000 ;
+      RECT 2.180000  1.075000 3.640000 1.245000 ;
+      RECT 2.900000  1.795000 3.150000 2.635000 ;
+      RECT 2.940000  0.085000 3.110000 0.555000 ;
+      RECT 3.740000  1.795000 3.990000 2.635000 ;
+      RECT 3.780000  0.085000 3.950000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4_4
+MACRO sky130_fd_sc_hd__o211a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.490000 1.035000 4.845000 1.495000 ;
+        RECT 4.490000 1.495000 6.290000 1.685000 ;
+        RECT 5.890000 1.035000 6.290000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.030000 1.035000 5.705000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.995000 2.830000 1.445000 ;
+        RECT 2.540000 1.445000 4.280000 1.685000 ;
+        RECT 3.950000 1.035000 4.280000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.055000 1.035000 3.740000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.911000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 1.605000 0.805000 ;
+        RECT 0.085000 0.805000 0.365000 1.435000 ;
+        RECT 0.085000 1.435000 2.030000 1.700000 ;
+        RECT 0.595000 0.255000 0.765000 0.615000 ;
+        RECT 0.595000 0.615000 1.605000 0.635000 ;
+        RECT 0.980000 1.700000 1.160000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.615000 ;
+        RECT 1.840000 1.700000 2.030000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.480000  1.870000 0.810000 2.635000 ;
+      RECT 0.535000  1.065000 2.370000 1.265000 ;
+      RECT 0.935000  0.085000 1.265000 0.445000 ;
+      RECT 1.340000  1.870000 1.670000 2.635000 ;
+      RECT 1.775000  0.085000 2.140000 0.465000 ;
+      RECT 2.200000  0.635000 3.520000 0.815000 ;
+      RECT 2.200000  0.815000 2.370000 1.065000 ;
+      RECT 2.200000  1.265000 2.370000 1.855000 ;
+      RECT 2.200000  1.855000 5.485000 2.025000 ;
+      RECT 2.200000  2.200000 2.530000 2.635000 ;
+      RECT 2.330000  0.255000 4.500000 0.465000 ;
+      RECT 2.700000  2.025000 3.060000 2.465000 ;
+      RECT 3.285000  2.195000 3.615000 2.635000 ;
+      RECT 3.785000  2.025000 4.120000 2.465000 ;
+      RECT 4.170000  0.465000 4.500000 0.695000 ;
+      RECT 4.170000  0.695000 6.345000 0.865000 ;
+      RECT 4.290000  2.195000 4.555000 2.635000 ;
+      RECT 4.670000  0.085000 4.985000 0.525000 ;
+      RECT 5.155000  0.255000 5.485000 0.695000 ;
+      RECT 5.155000  2.025000 5.485000 2.465000 ;
+      RECT 5.655000  0.085000 5.845000 0.525000 ;
+      RECT 6.015000  0.255000 6.345000 0.695000 ;
+      RECT 6.015000  1.915000 6.345000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_4
+MACRO sky130_fd_sc_hd__o211a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 1.075000 1.720000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.075000 2.220000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.075000 2.720000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.245000 1.075000 3.595000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  1.075000 1.125000 1.245000 ;
+      RECT 0.595000  0.085000 0.845000 0.885000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 0.955000  1.245000 1.125000 1.495000 ;
+      RECT 0.955000  1.495000 3.390000 1.665000 ;
+      RECT 1.035000  0.255000 1.365000 0.735000 ;
+      RECT 1.035000  0.735000 2.260000 0.905000 ;
+      RECT 1.035000  1.835000 1.285000 2.635000 ;
+      RECT 1.535000  0.085000 1.760000 0.545000 ;
+      RECT 1.930000  0.255000 2.260000 0.735000 ;
+      RECT 1.930000  1.665000 2.260000 2.465000 ;
+      RECT 2.560000  1.835000 2.890000 2.635000 ;
+      RECT 2.890000  0.255000 3.390000 0.865000 ;
+      RECT 2.890000  0.865000 3.060000 1.495000 ;
+      RECT 3.060000  1.665000 3.390000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_1
+MACRO sky130_fd_sc_hd__o211a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.995000 2.325000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.995000 1.820000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.880000 0.995000 1.240000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.360000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.720000 0.255000 3.050000 0.615000 ;
+        RECT 2.720000 0.615000 3.540000 0.785000 ;
+        RECT 2.810000 1.905000 3.540000 2.075000 ;
+        RECT 2.810000 2.075000 3.000000 2.465000 ;
+        RECT 3.345000 0.785000 3.540000 1.905000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  1.510000 2.665000 1.765000 ;
+      RECT 0.090000  1.765000 0.355000 2.465000 ;
+      RECT 0.095000  0.255000 0.430000 0.425000 ;
+      RECT 0.095000  0.425000 0.710000 0.825000 ;
+      RECT 0.525000  1.935000 0.855000 2.635000 ;
+      RECT 0.530000  0.825000 0.710000 1.510000 ;
+      RECT 0.880000  0.635000 2.150000 0.825000 ;
+      RECT 1.025000  1.765000 1.695000 2.465000 ;
+      RECT 1.390000  0.085000 1.725000 0.465000 ;
+      RECT 2.200000  1.935000 2.630000 2.635000 ;
+      RECT 2.315000  0.085000 2.550000 0.525000 ;
+      RECT 2.495000  0.995000 3.175000 1.325000 ;
+      RECT 2.495000  1.325000 2.665000 1.510000 ;
+      RECT 3.170000  2.255000 3.500000 2.635000 ;
+      RECT 3.220000  0.085000 3.550000 0.445000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211a_2
+MACRO sky130_fd_sc_hd__dlxtn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.685000 ;
+        RECT 5.215000 0.685000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.640000 ;
+        RECT 5.215000 1.640000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.895000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.895000 0.550000 ;
+      RECT 5.635000  1.755000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_2
+MACRO sky130_fd_sc_hd__dlxtn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.240000 0.415000 5.525000 0.745000 ;
+        RECT 5.240000 1.495000 5.525000 2.455000 ;
+        RECT 5.355000 0.745000 5.525000 0.995000 ;
+        RECT 5.355000 0.995000 6.815000 1.325000 ;
+        RECT 5.355000 1.325000 5.525000 1.495000 ;
+        RECT 6.115000 0.385000 6.385000 0.995000 ;
+        RECT 6.115000 1.325000 6.385000 2.455000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.120000 2.635000 ;
+      RECT 3.840000  1.535000 4.605000 1.865000 ;
+      RECT 4.385000  0.415000 4.605000 0.745000 ;
+      RECT 4.385000  1.865000 4.605000 2.435000 ;
+      RECT 4.435000  0.745000 4.605000 0.995000 ;
+      RECT 4.435000  0.995000 5.185000 1.325000 ;
+      RECT 4.435000  1.325000 4.605000 1.535000 ;
+      RECT 4.785000  0.085000 5.070000 0.715000 ;
+      RECT 4.785000  1.495000 5.070000 2.635000 ;
+      RECT 5.695000  0.085000 5.945000 0.825000 ;
+      RECT 5.695000  1.495000 5.945000 2.635000 ;
+      RECT 6.555000  0.085000 6.815000 0.715000 ;
+      RECT 6.555000  1.495000 6.815000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_4
+MACRO sky130_fd_sc_hd__dlxtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 0.955000 1.765000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.175000 0.415000 5.435000 0.745000 ;
+        RECT 5.175000 1.670000 5.435000 2.455000 ;
+        RECT 5.265000 0.745000 5.435000 1.670000 ;
+    END
+  END Q
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.785000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.115000 0.765000 ;
+      RECT 1.510000  0.765000 2.320000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.945000  0.785000 2.320000 1.235000 ;
+      RECT 1.945000  1.235000 2.115000 1.495000 ;
+      RECT 1.955000  1.835000 2.245000 2.635000 ;
+      RECT 2.445000  1.355000 2.780000 2.005000 ;
+      RECT 2.560000  0.735000 3.265000 1.040000 ;
+      RECT 2.745000  2.255000 3.605000 2.425000 ;
+      RECT 2.765000  0.365000 3.605000 0.535000 ;
+      RECT 2.950000  1.040000 3.265000 1.560000 ;
+      RECT 2.950000  1.560000 3.285000 1.910000 ;
+      RECT 3.295000  2.090000 3.620000 2.105000 ;
+      RECT 3.295000  2.105000 3.605000 2.255000 ;
+      RECT 3.390000  2.045000 3.645000 2.065000 ;
+      RECT 3.390000  2.065000 3.630000 2.085000 ;
+      RECT 3.390000  2.085000 3.620000 2.090000 ;
+      RECT 3.405000  2.035000 3.645000 2.045000 ;
+      RECT 3.430000  2.010000 3.645000 2.035000 ;
+      RECT 3.435000  0.535000 3.605000 0.995000 ;
+      RECT 3.435000  0.995000 4.200000 1.325000 ;
+      RECT 3.435000  1.325000 3.645000 1.450000 ;
+      RECT 3.455000  1.450000 3.645000 2.010000 ;
+      RECT 3.775000  0.085000 4.045000 0.545000 ;
+      RECT 3.775000  2.175000 4.095000 2.635000 ;
+      RECT 3.815000  1.535000 4.540000 1.865000 ;
+      RECT 4.295000  0.260000 4.540000 0.720000 ;
+      RECT 4.295000  1.865000 4.540000 2.435000 ;
+      RECT 4.370000  0.720000 4.540000 0.995000 ;
+      RECT 4.370000  0.995000 5.095000 1.325000 ;
+      RECT 4.370000  1.325000 4.540000 1.535000 ;
+      RECT 4.720000  1.570000 5.005000 2.635000 ;
+      RECT 4.755000  0.085000 4.980000 0.715000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.675000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxtn_1
+MACRO sky130_fd_sc_hd__probe_p_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.240000 1.275000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met5 ;
+        RECT 1.250000 0.560000 4.270000 2.160000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  1.445000 1.595000 1.615000 ;
+      RECT 0.095000  1.615000 0.425000 2.465000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.595000 0.905000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.615000 1.265000 2.465000 ;
+      RECT 1.015000  0.260000 1.185000 0.735000 ;
+      RECT 1.355000  0.085000 1.685000 0.565000 ;
+      RECT 1.420000  0.905000 1.595000 1.075000 ;
+      RECT 1.420000  1.075000 4.045000 1.245000 ;
+      RECT 1.420000  1.245000 1.595000 1.445000 ;
+      RECT 1.435000  1.835000 1.605000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 4.545000 0.905000 ;
+      RECT 1.855000  1.445000 4.545000 1.615000 ;
+      RECT 1.855000  1.615000 2.025000 2.465000 ;
+      RECT 2.195000  0.085000 2.525000 0.565000 ;
+      RECT 2.195000  1.835000 2.525000 2.635000 ;
+      RECT 2.695000  0.255000 2.865000 0.735000 ;
+      RECT 2.695000  1.615000 2.865000 2.465000 ;
+      RECT 3.035000  0.085000 3.365000 0.565000 ;
+      RECT 3.035000  1.835000 3.365000 2.635000 ;
+      RECT 3.535000  0.255000 3.705000 0.735000 ;
+      RECT 3.535000  1.615000 3.705000 2.465000 ;
+      RECT 3.875000  0.085000 4.205000 0.565000 ;
+      RECT 3.875000  1.835000 4.205000 2.635000 ;
+      RECT 4.290000  0.905000 4.545000 1.055000 ;
+      RECT 4.290000  1.055000 4.885000 1.315000 ;
+      RECT 4.290000  1.315000 4.545000 1.445000 ;
+      RECT 4.375000  0.255000 4.545000 0.735000 ;
+      RECT 4.375000  1.615000 4.545000 2.465000 ;
+      RECT 4.715000  0.085000 5.045000 0.885000 ;
+      RECT 4.715000  1.485000 5.045000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.320000  1.105000 4.490000 1.275000 ;
+      RECT 4.680000  1.105000 4.850000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+    LAYER met1 ;
+      RECT 3.465000 1.060000 4.105000 1.075000 ;
+      RECT 3.465000 1.075000 4.910000 1.305000 ;
+      RECT 3.465000 1.305000 4.105000 1.320000 ;
+    LAYER met2 ;
+      RECT 3.445000 1.005000 4.125000 1.375000 ;
+    LAYER met3 ;
+      RECT 3.395000 1.025000 4.175000 1.355000 ;
+    LAYER met4 ;
+      RECT 1.370000 0.680000 4.150000 1.860000 ;
+    LAYER via ;
+      RECT 3.495000 1.060000 3.755000 1.320000 ;
+      RECT 3.815000 1.060000 4.075000 1.320000 ;
+    LAYER via2 ;
+      RECT 3.445000 1.050000 3.725000 1.330000 ;
+      RECT 3.845000 1.050000 4.125000 1.330000 ;
+    LAYER via3 ;
+      RECT 3.425000 1.030000 3.745000 1.350000 ;
+      RECT 3.825000 1.030000 4.145000 1.350000 ;
+    LAYER via4 ;
+      RECT 2.970000 0.680000 4.150000 1.860000 ;
+  END
+END sky130_fd_sc_hd__probe_p_8
+MACRO sky130_fd_sc_hd__sdfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.510000 1.560000 12.780000 2.465000 ;
+        RECT 12.520000 0.255000 12.780000 0.760000 ;
+        RECT 12.600000 0.760000 12.780000 1.560000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.650000  1.535000 12.325000 1.705000 ;
+      RECT 11.650000  1.705000 11.830000 2.465000 ;
+      RECT 11.660000  0.255000 11.830000 0.635000 ;
+      RECT 11.660000  0.635000 12.325000 0.805000 ;
+      RECT 12.010000  0.085000 12.340000 0.465000 ;
+      RECT 12.010000  1.875000 12.340000 2.635000 ;
+      RECT 12.155000  0.805000 12.325000 1.060000 ;
+      RECT 12.155000  1.060000 12.430000 1.390000 ;
+      RECT 12.155000  1.390000 12.325000 1.535000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_1
+MACRO sky130_fd_sc_hd__sdfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.575000 0.265000 11.925000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.435000 1.535000 12.825000 2.080000 ;
+        RECT 12.445000 0.310000 12.825000 0.825000 ;
+        RECT 12.525000 2.080000 12.825000 2.465000 ;
+        RECT 12.655000 0.825000 12.825000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.530000 2.910000 ;
+        RECT  4.405000 1.305000 13.530000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 1.055000 ;
+      RECT 10.345000  1.055000 11.060000 1.295000 ;
+      RECT 10.375000  1.295000 11.060000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.715000  0.345000 10.885000 0.715000 ;
+      RECT 10.715000  0.715000 11.405000 0.885000 ;
+      RECT 10.715000  1.795000 11.405000 1.865000 ;
+      RECT 10.715000  1.865000 12.265000 2.035000 ;
+      RECT 10.715000  2.035000 10.890000 2.465000 ;
+      RECT 11.090000  0.085000 11.365000 0.545000 ;
+      RECT 11.090000  2.205000 11.420000 2.635000 ;
+      RECT 11.230000  0.885000 11.405000 1.795000 ;
+      RECT 11.550000  2.035000 12.265000 2.085000 ;
+      RECT 12.025000  2.255000 12.355000 2.635000 ;
+      RECT 12.095000  0.995000 12.485000 1.325000 ;
+      RECT 12.095000  1.325000 12.265000 1.865000 ;
+      RECT 12.105000  0.085000 12.275000 0.825000 ;
+      RECT 12.995000  0.085000 13.165000 0.930000 ;
+      RECT 12.995000  1.495000 13.245000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrbp_2
+MACRO sky130_fd_sc_hd__and3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.745000 0.410000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 2.125000 2.290000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 0.305000 2.220000 0.765000 ;
+        RECT 2.010000 0.765000 2.420000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.875000 1.795000 3.160000 2.465000 ;
+        RECT 2.915000 0.255000 3.160000 0.715000 ;
+        RECT 2.990000 0.715000 3.160000 0.925000 ;
+        RECT 2.990000 0.925000 3.595000 1.445000 ;
+        RECT 2.990000 1.445000 3.160000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.355000 0.575000 ;
+      RECT 0.085000  1.575000 0.400000 2.635000 ;
+      RECT 0.580000  0.305000 0.855000 1.015000 ;
+      RECT 0.580000  1.015000 1.415000 1.245000 ;
+      RECT 0.580000  1.245000 0.855000 1.905000 ;
+      RECT 1.030000  2.130000 1.645000 2.635000 ;
+      RECT 1.050000  1.425000 2.820000 1.595000 ;
+      RECT 1.050000  1.595000 1.285000 1.960000 ;
+      RECT 1.055000  0.305000 1.840000 0.570000 ;
+      RECT 1.455000  1.765000 1.785000 1.955000 ;
+      RECT 1.455000  1.955000 1.645000 2.130000 ;
+      RECT 1.585000  0.570000 1.840000 1.425000 ;
+      RECT 2.010000  1.595000 2.200000 1.890000 ;
+      RECT 2.410000  0.085000 2.740000 0.580000 ;
+      RECT 2.460000  1.790000 2.675000 2.635000 ;
+      RECT 2.590000  0.995000 2.820000 1.425000 ;
+      RECT 3.330000  0.085000 3.595000 0.745000 ;
+      RECT 3.330000  1.625000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_2
+MACRO sky130_fd_sc_hd__and3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.955000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.790000 2.125000 2.265000 2.465000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.305000 2.185000 0.725000 ;
+        RECT 1.985000 0.725000 2.395000 1.245000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 1.765000 3.135000 2.465000 ;
+        RECT 2.875000 0.255000 3.135000 0.735000 ;
+        RECT 2.965000 0.735000 3.135000 1.765000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  2.125000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.390000 1.245000 ;
+      RECT 0.595000  1.245000 0.765000 2.465000 ;
+      RECT 1.005000  1.425000 2.795000 1.595000 ;
+      RECT 1.005000  1.595000 1.255000 1.960000 ;
+      RECT 1.005000  2.130000 1.620000 2.635000 ;
+      RECT 1.025000  0.305000 1.815000 0.570000 ;
+      RECT 1.425000  1.765000 1.755000 1.955000 ;
+      RECT 1.425000  1.955000 1.620000 2.130000 ;
+      RECT 1.560000  0.570000 1.815000 1.425000 ;
+      RECT 1.975000  1.595000 2.690000 1.890000 ;
+      RECT 2.375000  0.085000 2.705000 0.545000 ;
+      RECT 2.435000  2.090000 2.650000 2.635000 ;
+      RECT 2.565000  0.995000 2.795000 1.425000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_1
+MACRO sky130_fd_sc_hd__and3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.715000 0.615000 3.995000 1.705000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 0.725000 1.235000 1.340000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.715000 1.340000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.934000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.225000 1.535000 3.535000 1.705000 ;
+        RECT 2.285000 0.515000 2.475000 0.615000 ;
+        RECT 2.285000 0.615000 3.535000 0.845000 ;
+        RECT 3.145000 0.255000 3.335000 0.615000 ;
+        RECT 3.270000 0.845000 3.535000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.150000  0.255000 0.635000 0.355000 ;
+      RECT 0.150000  0.355000 1.600000 0.545000 ;
+      RECT 0.150000  0.545000 0.635000 0.805000 ;
+      RECT 0.150000  0.805000 0.370000 1.495000 ;
+      RECT 0.150000  1.495000 0.510000 2.165000 ;
+      RECT 0.540000  0.995000 0.850000 1.325000 ;
+      RECT 0.680000  1.325000 0.850000 1.875000 ;
+      RECT 0.680000  1.875000 4.445000 2.105000 ;
+      RECT 0.730000  2.275000 1.180000 2.635000 ;
+      RECT 1.280000  1.525000 2.055000 1.695000 ;
+      RECT 1.420000  0.545000 1.600000 0.615000 ;
+      RECT 1.420000  0.615000 2.115000 0.805000 ;
+      RECT 1.745000  2.275000 2.075000 2.635000 ;
+      RECT 1.780000  0.085000 2.110000 0.445000 ;
+      RECT 1.885000  0.805000 2.115000 1.020000 ;
+      RECT 1.885000  1.020000 3.100000 1.355000 ;
+      RECT 1.885000  1.355000 2.055000 1.525000 ;
+      RECT 2.645000  0.085000 2.975000 0.445000 ;
+      RECT 2.645000  2.275000 2.980000 2.635000 ;
+      RECT 3.505000  0.085000 3.835000 0.445000 ;
+      RECT 3.505000  2.275000 3.835000 2.635000 ;
+      RECT 4.165000  0.425000 4.445000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and3b_4
+MACRO sky130_fd_sc_hd__o311ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.105000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.055000 2.155000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.055000 3.075000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 1.055000 4.385000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.085000 1.055000 5.895000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.551000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.485000 5.895000 1.725000 ;
+        RECT 2.415000 1.725000 2.665000 2.125000 ;
+        RECT 3.335000 1.725000 3.505000 2.465000 ;
+        RECT 4.515000 1.725000 4.825000 2.465000 ;
+        RECT 4.555000 0.655000 5.895000 0.885000 ;
+        RECT 4.555000 0.885000 4.915000 1.485000 ;
+        RECT 5.495000 1.725000 5.895000 2.465000 ;
+        RECT 5.515000 0.255000 5.895000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.655000 ;
+      RECT 0.085000  0.655000 4.385000 0.885000 ;
+      RECT 0.085000  1.485000 2.225000 1.725000 ;
+      RECT 0.085000  1.725000 0.465000 2.465000 ;
+      RECT 0.635000  1.895000 0.965000 2.635000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 1.135000  1.725000 1.305000 2.465000 ;
+      RECT 1.155000  0.255000 1.325000 0.655000 ;
+      RECT 1.475000  1.895000 1.805000 2.295000 ;
+      RECT 1.475000  2.295000 3.165000 2.465000 ;
+      RECT 1.495000  0.085000 1.825000 0.485000 ;
+      RECT 1.975000  1.725000 2.225000 2.125000 ;
+      RECT 1.995000  0.255000 2.165000 0.655000 ;
+      RECT 2.335000  0.085000 3.105000 0.485000 ;
+      RECT 2.835000  1.895000 3.165000 2.295000 ;
+      RECT 3.275000  0.255000 3.445000 0.655000 ;
+      RECT 3.615000  0.255000 5.345000 0.485000 ;
+      RECT 3.675000  1.895000 4.345000 2.635000 ;
+      RECT 4.995000  1.895000 5.325000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_2
+MACRO sky130_fd_sc_hd__o311ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.780000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.320000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.995000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.942000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.825000 ;
+        RECT 2.445000 0.825000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.825000 ;
+      RECT 0.085000  1.495000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_1
+MACRO sky130_fd_sc_hd__o311ai_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.570000 0.995000 ;
+        RECT 0.085000 0.995000 0.780000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.260000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 0.995000 1.780000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.260000 2.200000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.830000 0.765000 3.135000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.604000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.430000 1.495000 3.135000 1.665000 ;
+        RECT 1.430000 1.665000 1.980000 2.465000 ;
+        RECT 2.445000 0.255000 3.135000 0.595000 ;
+        RECT 2.445000 0.595000 2.660000 1.495000 ;
+        RECT 2.650000 1.665000 3.135000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.570000 0.595000 ;
+      RECT 0.085000  1.795000 0.780000 2.635000 ;
+      RECT 0.740000  0.255000 0.910000 0.655000 ;
+      RECT 0.740000  0.655000 1.750000 0.825000 ;
+      RECT 1.080000  0.085000 1.410000 0.485000 ;
+      RECT 1.580000  0.255000 1.750000 0.655000 ;
+      RECT 2.150000  1.835000 2.480000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_0
+MACRO sky130_fd_sc_hd__o311ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 1.775000 1.315000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.055000 3.615000 1.315000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 1.055000 5.885000 1.315000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 1.055000 7.695000 1.315000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.865000 1.055000 9.090000 1.315000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.241000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.055000 1.485000 9.575000 1.725000 ;
+        RECT 4.055000 1.725000 4.305000 2.115000 ;
+        RECT 4.975000 1.725000 5.145000 2.115000 ;
+        RECT 5.815000 1.725000 6.005000 2.465000 ;
+        RECT 6.675000 1.725000 6.845000 2.465000 ;
+        RECT 7.515000 1.725000 7.685000 2.465000 ;
+        RECT 7.895000 0.655000 9.575000 0.885000 ;
+        RECT 8.355000 1.725000 8.525000 2.465000 ;
+        RECT 9.195000 1.725000 9.575000 2.465000 ;
+        RECT 9.260000 0.885000 9.575000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.505000 0.885000 ;
+      RECT 0.085000  1.485000 3.865000 1.725000 ;
+      RECT 0.085000  1.725000 0.405000 2.465000 ;
+      RECT 0.595000  1.895000 0.925000 2.635000 ;
+      RECT 0.675000  0.255000 0.845000 0.655000 ;
+      RECT 0.675000  0.655000 7.385000 0.885000 ;
+      RECT 1.015000  0.085000 1.345000 0.485000 ;
+      RECT 1.095000  1.725000 1.265000 2.465000 ;
+      RECT 1.435000  1.895000 1.765000 2.635000 ;
+      RECT 1.515000  0.255000 1.685000 0.655000 ;
+      RECT 1.855000  0.085000 2.185000 0.485000 ;
+      RECT 1.935000  1.725000 2.105000 2.465000 ;
+      RECT 2.275000  1.895000 2.605000 2.295000 ;
+      RECT 2.275000  2.295000 5.645000 2.465000 ;
+      RECT 2.355000  0.255000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.025000 0.485000 ;
+      RECT 2.775000  1.725000 2.945000 2.115000 ;
+      RECT 3.115000  1.895000 3.445000 2.295000 ;
+      RECT 3.195000  0.255000 3.365000 0.655000 ;
+      RECT 3.535000  0.085000 3.885000 0.485000 ;
+      RECT 3.615000  1.725000 3.865000 2.115000 ;
+      RECT 4.055000  0.255000 4.225000 0.655000 ;
+      RECT 4.395000  0.085000 4.725000 0.485000 ;
+      RECT 4.475000  1.895000 4.805000 2.295000 ;
+      RECT 4.895000  0.255000 5.065000 0.655000 ;
+      RECT 5.235000  0.085000 5.585000 0.485000 ;
+      RECT 5.315000  1.895000 5.645000 2.295000 ;
+      RECT 5.755000  0.255000 9.575000 0.485000 ;
+      RECT 6.175000  1.895000 6.505000 2.635000 ;
+      RECT 7.015000  1.895000 7.345000 2.635000 ;
+      RECT 7.555000  0.485000 7.725000 0.885000 ;
+      RECT 7.855000  1.895000 8.185000 2.635000 ;
+      RECT 8.695000  1.895000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o311ai_4
+MACRO sky130_fd_sc_hd__nor4_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.180000 1.075000 1.825000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 4.070000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 1.075000 5.705000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.875000 1.075000 7.295000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.735000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 4.415000 0.255000 4.745000 0.725000 ;
+        RECT 5.255000 0.255000 5.585000 0.725000 ;
+        RECT 6.095000 0.255000 6.425000 0.725000 ;
+        RECT 6.135000 1.455000 7.735000 1.625000 ;
+        RECT 6.135000 1.625000 6.385000 2.125000 ;
+        RECT 6.935000 0.255000 7.265000 0.725000 ;
+        RECT 6.975000 1.625000 7.225000 2.125000 ;
+        RECT 7.465000 0.905000 7.735000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.085000 1.625000 ;
+      RECT 0.090000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.635000 ;
+      RECT 1.835000  1.625000 2.085000 2.295000 ;
+      RECT 1.835000  2.295000 3.820000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.455000 5.545000 1.625000 ;
+      RECT 2.255000  1.625000 2.505000 2.125000 ;
+      RECT 2.675000  1.795000 2.925000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.625000 3.345000 2.125000 ;
+      RECT 3.515000  1.795000 3.820000 2.295000 ;
+      RECT 3.555000  0.085000 4.245000 0.555000 ;
+      RECT 4.005000  1.795000 4.285000 2.295000 ;
+      RECT 4.005000  2.295000 7.645000 2.465000 ;
+      RECT 4.455000  1.625000 4.705000 2.125000 ;
+      RECT 4.875000  1.795000 5.125000 2.295000 ;
+      RECT 4.915000  0.085000 5.085000 0.555000 ;
+      RECT 5.295000  1.625000 5.545000 2.125000 ;
+      RECT 5.715000  1.795000 5.965000 2.295000 ;
+      RECT 5.755000  0.085000 5.925000 0.555000 ;
+      RECT 6.555000  1.795000 6.805000 2.295000 ;
+      RECT 6.595000  0.085000 6.765000 0.555000 ;
+      RECT 7.395000  1.795000 7.645000 2.295000 ;
+      RECT 7.435000  0.085000 7.605000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_4
+MACRO sky130_fd_sc_hd__nor4_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.965000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.135000 1.075000 1.940000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.285000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.340000 1.075000 3.925000 1.285000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.515000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.775000 0.255000 3.105000 0.725000 ;
+        RECT 3.615000 0.255000 3.945000 0.725000 ;
+        RECT 3.655000 1.455000 4.515000 1.625000 ;
+        RECT 3.655000 1.625000 3.905000 2.125000 ;
+        RECT 4.180000 0.905000 4.515000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 2.085000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.795000 1.665000 2.295000 ;
+      RECT 1.415000  2.295000 3.065000 2.465000 ;
+      RECT 1.835000  1.625000 2.085000 2.125000 ;
+      RECT 1.875000  0.085000 2.605000 0.555000 ;
+      RECT 2.395000  1.455000 3.485000 1.625000 ;
+      RECT 2.395000  1.625000 2.645000 2.125000 ;
+      RECT 2.815000  1.795000 3.065000 2.295000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.235000  2.295000 4.325000 2.465000 ;
+      RECT 3.275000  0.085000 3.445000 0.555000 ;
+      RECT 4.075000  1.795000 4.325000 2.295000 ;
+      RECT 4.115000  0.085000 4.405000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_2
+MACRO sky130_fd_sc_hd__nor4_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.655000 2.215000 1.665000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 1.075000 1.695000 1.245000 ;
+        RECT 1.455000 1.245000 1.695000 2.450000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.845000 0.995000 1.075000 1.415000 ;
+        RECT 0.845000 1.415000 1.285000 1.615000 ;
+        RECT 1.030000 1.615000 1.285000 2.450000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.745000 0.335000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.672750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.495000 0.675000 1.665000 ;
+        RECT 0.090000 1.665000 0.425000 2.450000 ;
+        RECT 0.505000 0.645000 0.860000 0.655000 ;
+        RECT 0.505000 0.655000 1.705000 0.825000 ;
+        RECT 0.505000 0.825000 0.675000 1.495000 ;
+        RECT 0.595000 0.385000 0.860000 0.645000 ;
+        RECT 1.535000 0.385000 1.705000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.575000 ;
+      RECT 1.035000  0.085000 1.365000 0.485000 ;
+      RECT 1.875000  0.085000 2.205000 0.485000 ;
+      RECT 1.955000  1.835000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4_1
+MACRO sky130_fd_sc_hd__conb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__conb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN HI
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.605000 1.740000 ;
+    END
+  END HI
+  PIN LO
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.775000 0.915000 1.295000 2.465000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.275000  1.910000 0.605000 2.635000 ;
+      RECT 0.775000  0.085000 1.115000 0.745000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__conb_1
+MACRO sky130_fd_sc_hd__dlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.435000 2.215000 1.685000 ;
+        RECT 1.985000 0.285000 2.215000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.360000 0.595000 ;
+        RECT 6.095000 1.495000 6.360000 2.455000 ;
+        RECT 6.165000 0.595000 6.360000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.210000 1.105000 5.485000 1.435000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.440000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 7.090000 2.910000 ;
+        RECT  2.625000 1.305000 7.090000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.645000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.455000  2.195000 1.820000 2.635000 ;
+      RECT 1.485000  0.085000 1.815000 0.905000 ;
+      RECT 2.395000  0.815000 3.225000 0.985000 ;
+      RECT 2.395000  0.985000 2.645000 1.855000 ;
+      RECT 2.480000  2.255000 3.230000 2.425000 ;
+      RECT 2.795000  0.390000 3.725000 0.560000 ;
+      RECT 3.060000  1.155000 4.180000 1.325000 ;
+      RECT 3.060000  1.325000 3.230000 2.255000 ;
+      RECT 3.400000  2.135000 3.700000 2.635000 ;
+      RECT 3.435000  1.535000 4.735000 1.840000 ;
+      RECT 3.435000  1.840000 4.135000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.180000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.915000  1.865000 4.135000 2.435000 ;
+      RECT 4.315000  0.255000 4.585000 0.615000 ;
+      RECT 4.315000  2.010000 4.600000 2.635000 ;
+      RECT 4.350000  0.615000 4.585000 0.995000 ;
+      RECT 4.350000  0.995000 4.735000 1.535000 ;
+      RECT 4.835000  0.290000 5.150000 0.620000 ;
+      RECT 4.930000  0.620000 5.150000 0.765000 ;
+      RECT 4.930000  0.765000 5.995000 0.935000 ;
+      RECT 5.010000  1.725000 5.925000 1.895000 ;
+      RECT 5.010000  1.895000 5.340000 2.465000 ;
+      RECT 5.575000  2.130000 5.925000 2.635000 ;
+      RECT 5.675000  0.085000 5.845000 0.545000 ;
+      RECT 5.755000  0.935000 5.995000 1.325000 ;
+      RECT 5.755000  1.325000 5.925000 1.725000 ;
+      RECT 6.530000  0.085000 6.810000 0.885000 ;
+      RECT 6.530000  1.485000 6.810000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_2
+MACRO sky130_fd_sc_hd__dlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.765000 1.950000 1.015000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  1.039500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.040000 0.255000 6.460000 0.545000 ;
+        RECT 6.040000 1.835000 7.300000 2.005000 ;
+        RECT 6.040000 2.005000 6.370000 2.455000 ;
+        RECT 6.290000 0.545000 6.460000 0.715000 ;
+        RECT 6.290000 0.715000 7.300000 0.885000 ;
+        RECT 6.585000 1.785000 7.300000 1.835000 ;
+        RECT 6.750000 0.885000 7.300000 1.785000 ;
+        RECT 6.970000 0.255000 7.300000 0.715000 ;
+        RECT 6.970000 2.005000 7.300000 2.465000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.150000 1.105000 0.320000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.230000 1.055000 5.740000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.230000 1.105000 5.400000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.090000 1.075000 0.380000 1.120000 ;
+        RECT 0.090000 1.120000 5.460000 1.260000 ;
+        RECT 0.090000 1.260000 0.380000 1.305000 ;
+        RECT 5.170000 1.075000 5.460000 1.120000 ;
+        RECT 5.170000 1.260000 5.460000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.280000 1.355000 ;
+      RECT 1.015000  1.355000 2.335000 1.585000 ;
+      RECT 1.015000  1.585000 1.240000 2.465000 ;
+      RECT 1.450000  0.085000 1.785000 0.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.525000  1.785000 1.695000 1.855000 ;
+      RECT 1.525000  1.855000 2.845000 1.905000 ;
+      RECT 1.525000  1.905000 2.735000 2.025000 ;
+      RECT 2.045000  1.585000 2.335000 1.685000 ;
+      RECT 2.290000  0.705000 2.735000 1.035000 ;
+      RECT 2.415000  0.365000 3.075000 0.535000 ;
+      RECT 2.475000  2.195000 3.165000 2.425000 ;
+      RECT 2.505000  1.575000 2.845000 1.855000 ;
+      RECT 2.565000  1.035000 2.735000 1.575000 ;
+      RECT 2.905000  0.535000 3.075000 0.995000 ;
+      RECT 2.905000  0.995000 3.775000 1.165000 ;
+      RECT 2.915000  2.060000 3.185000 2.090000 ;
+      RECT 2.915000  2.090000 3.180000 2.105000 ;
+      RECT 2.915000  2.105000 3.165000 2.195000 ;
+      RECT 2.980000  2.015000 3.185000 2.060000 ;
+      RECT 3.015000  1.165000 3.775000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.015000 ;
+      RECT 3.315000  0.085000 3.650000 0.530000 ;
+      RECT 3.335000  2.175000 3.695000 2.635000 ;
+      RECT 3.355000  1.535000 4.115000 1.865000 ;
+      RECT 3.895000  0.415000 4.115000 0.745000 ;
+      RECT 3.895000  1.865000 4.115000 2.435000 ;
+      RECT 3.945000  0.745000 4.115000 0.995000 ;
+      RECT 3.945000  0.995000 4.720000 1.325000 ;
+      RECT 3.945000  1.325000 4.115000 1.535000 ;
+      RECT 4.295000  0.085000 4.580000 0.715000 ;
+      RECT 4.295000  2.010000 4.580000 2.635000 ;
+      RECT 4.750000  0.290000 5.060000 0.715000 ;
+      RECT 4.750000  0.715000 6.120000 0.825000 ;
+      RECT 4.750000  1.495000 6.140000 1.665000 ;
+      RECT 4.750000  1.665000 5.035000 2.465000 ;
+      RECT 4.890000  0.825000 6.120000 0.885000 ;
+      RECT 4.890000  0.885000 5.060000 1.495000 ;
+      RECT 5.575000  1.835000 5.840000 2.635000 ;
+      RECT 5.590000  0.085000 5.870000 0.545000 ;
+      RECT 5.910000  0.885000 6.120000 1.055000 ;
+      RECT 5.910000  1.055000 6.580000 1.290000 ;
+      RECT 5.910000  1.290000 6.140000 1.495000 ;
+      RECT 6.540000  2.175000 6.800000 2.635000 ;
+      RECT 6.630000  0.085000 6.800000 0.545000 ;
+      RECT 7.470000  0.085000 7.735000 0.885000 ;
+      RECT 7.470000  1.485000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 1.755000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.465000 1.755000 1.755000 1.800000 ;
+      RECT 1.465000 1.940000 1.755000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_4
+MACRO sky130_fd_sc_hd__dlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.435000 2.185000 1.685000 ;
+        RECT 1.985000 0.385000 2.185000 1.435000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.055000 0.255000 6.355000 0.595000 ;
+        RECT 6.090000 1.495000 6.355000 2.455000 ;
+        RECT 6.170000 0.595000 6.355000 1.495000 ;
+    END
+  END GCLK
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+      LAYER mcon ;
+        RECT 0.145000 1.105000 0.315000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.190000 1.105000 5.510000 1.435000 ;
+      LAYER mcon ;
+        RECT 5.210000 1.105000 5.380000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.075000 0.380000 1.120000 ;
+        RECT 0.085000 1.120000 5.440000 1.260000 ;
+        RECT 0.085000 1.260000 0.380000 1.305000 ;
+        RECT 5.150000 1.075000 5.440000 1.120000 ;
+        RECT 5.150000 1.260000 5.440000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.995000 1.355000 ;
+        RECT -0.190000 1.355000 6.630000 2.910000 ;
+        RECT  2.620000 1.305000 6.630000 1.355000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.260000 0.345000 0.615000 ;
+      RECT 0.175000  0.615000 0.780000 0.785000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.785000 0.780000 1.060000 ;
+      RECT 0.610000  1.060000 0.840000 1.390000 ;
+      RECT 0.610000  1.390000 0.780000 1.795000 ;
+      RECT 1.015000  0.260000 1.280000 1.855000 ;
+      RECT 1.015000  1.855000 2.590000 2.025000 ;
+      RECT 1.015000  2.025000 1.240000 2.465000 ;
+      RECT 1.450000  2.195000 1.815000 2.635000 ;
+      RECT 1.480000  0.085000 1.810000 0.905000 ;
+      RECT 2.390000  0.815000 3.220000 0.985000 ;
+      RECT 2.390000  0.985000 2.590000 1.855000 ;
+      RECT 2.475000  2.255000 3.225000 2.425000 ;
+      RECT 2.790000  0.390000 3.725000 0.560000 ;
+      RECT 3.055000  1.155000 4.175000 1.325000 ;
+      RECT 3.055000  1.325000 3.225000 2.255000 ;
+      RECT 3.395000  2.135000 3.695000 2.635000 ;
+      RECT 3.430000  1.535000 4.710000 1.840000 ;
+      RECT 3.430000  1.840000 4.130000 1.865000 ;
+      RECT 3.555000  0.560000 3.725000 0.995000 ;
+      RECT 3.555000  0.995000 4.175000 1.155000 ;
+      RECT 3.895000  0.085000 4.145000 0.610000 ;
+      RECT 3.910000  1.865000 4.130000 2.435000 ;
+      RECT 4.310000  2.010000 4.595000 2.635000 ;
+      RECT 4.320000  0.255000 4.580000 0.615000 ;
+      RECT 4.345000  0.615000 4.580000 0.995000 ;
+      RECT 4.345000  0.995000 4.740000 1.325000 ;
+      RECT 4.345000  1.325000 4.710000 1.535000 ;
+      RECT 4.840000  0.290000 5.155000 0.620000 ;
+      RECT 4.935000  0.620000 5.155000 0.765000 ;
+      RECT 4.935000  0.765000 6.000000 0.935000 ;
+      RECT 5.005000  1.725000 5.920000 1.895000 ;
+      RECT 5.005000  1.895000 5.335000 2.465000 ;
+      RECT 5.570000  2.130000 5.920000 2.635000 ;
+      RECT 5.670000  0.085000 5.840000 0.545000 ;
+      RECT 5.750000  0.935000 6.000000 1.325000 ;
+      RECT 5.750000  1.325000 5.920000 1.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlclkp_1
+MACRO sky130_fd_sc_hd__or2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_1
+MACRO sky130_fd_sc_hd__or2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.730000 2.415000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.325000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.415000 2.630000 0.760000 ;
+        RECT 2.400000 1.495000 2.630000 2.465000 ;
+        RECT 2.460000 0.760000 2.630000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.105000  0.265000 0.420000 0.735000 ;
+      RECT 0.105000  0.735000 0.840000 0.905000 ;
+      RECT 0.590000  0.085000 1.320000 0.565000 ;
+      RECT 0.595000  0.905000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.330000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.985000  1.495000 2.230000 1.665000 ;
+      RECT 0.985000  1.665000 1.405000 1.915000 ;
+      RECT 1.490000  0.305000 1.660000 0.655000 ;
+      RECT 1.490000  0.655000 2.230000 0.825000 ;
+      RECT 1.830000  0.085000 2.210000 0.485000 ;
+      RECT 1.910000  1.835000 2.190000 2.635000 ;
+      RECT 2.060000  0.825000 2.230000 0.995000 ;
+      RECT 2.060000  0.995000 2.290000 1.325000 ;
+      RECT 2.060000  1.325000 2.230000 1.495000 ;
+      RECT 2.800000  0.085000 3.055000 0.925000 ;
+      RECT 2.800000  1.460000 3.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_2
+MACRO sky130_fd_sc_hd__or2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.630000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.955000 ;
+    END
+  END B_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 0.290000 2.655000 0.735000 ;
+        RECT 2.325000 0.735000 4.055000 0.905000 ;
+        RECT 2.365000 1.785000 3.455000 1.955000 ;
+        RECT 2.365000 1.955000 2.615000 2.465000 ;
+        RECT 2.830000 1.445000 4.055000 1.615000 ;
+        RECT 2.830000 1.615000 3.455000 1.785000 ;
+        RECT 3.165000 0.290000 3.495000 0.735000 ;
+        RECT 3.205000 1.955000 3.455000 2.465000 ;
+        RECT 3.670000 0.905000 4.055000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  2.125000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.245000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.120000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 2.465000 ;
+      RECT 0.990000  1.495000 2.660000 1.615000 ;
+      RECT 0.990000  1.615000 1.460000 2.465000 ;
+      RECT 1.290000  0.735000 1.745000 0.905000 ;
+      RECT 1.290000  0.905000 1.460000 1.445000 ;
+      RECT 1.290000  1.445000 2.660000 1.495000 ;
+      RECT 1.415000  0.305000 1.745000 0.735000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 1.980000  0.085000 2.155000 0.905000 ;
+      RECT 2.490000  1.075000 3.500000 1.245000 ;
+      RECT 2.490000  1.245000 2.660000 1.445000 ;
+      RECT 2.785000  2.135000 3.035000 2.635000 ;
+      RECT 2.825000  0.085000 2.995000 0.550000 ;
+      RECT 3.625000  1.795000 3.875000 2.635000 ;
+      RECT 3.665000  0.085000 3.835000 0.550000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2b_4
+MACRO sky130_fd_sc_hd__a2111oi_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.035000 1.070000 2.625000 1.400000 ;
+        RECT 2.355000 0.660000 2.625000 1.070000 ;
+        RECT 2.355000 1.400000 2.625000 1.735000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.795000 0.650000 3.135000 1.735000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 1.055000 1.845000 1.735000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.055000 1.325000 2.360000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.730000 0.435000 1.655000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  0.424000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.825000 0.785000 2.465000 ;
+        RECT 0.605000 0.635000 2.040000 0.885000 ;
+        RECT 0.605000 0.885000 0.785000 1.825000 ;
+        RECT 0.785000 0.255000 1.040000 0.615000 ;
+        RECT 0.785000 0.615000 2.040000 0.635000 ;
+        RECT 1.710000 0.280000 2.040000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.465000 ;
+      RECT 1.210000  0.085000 1.540000 0.445000 ;
+      RECT 1.540000  1.905000 2.870000 2.085000 ;
+      RECT 1.540000  2.085000 1.870000 2.465000 ;
+      RECT 2.040000  2.255000 2.370000 2.635000 ;
+      RECT 2.470000  0.085000 2.800000 0.480000 ;
+      RECT 2.540000  2.085000 2.870000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_0
+MACRO sky130_fd_sc_hd__a2111oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.465000 0.985000 3.715000 1.445000 ;
+        RECT 3.465000 1.445000 5.290000 1.675000 ;
+        RECT 4.895000 0.995000 5.290000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.970000 1.015000 4.725000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.185000 1.030000 2.855000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 1.045000 0.455000 1.445000 ;
+        RECT 0.125000 1.445000 1.800000 1.680000 ;
+        RECT 1.615000 1.030000 1.975000 1.275000 ;
+        RECT 1.615000 1.275000 1.800000 1.445000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.755000 1.075000 1.425000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.212750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.255000 0.380000 0.615000 ;
+        RECT 0.120000 0.615000 5.355000 0.805000 ;
+        RECT 0.120000 0.805000 3.255000 0.845000 ;
+        RECT 0.900000 1.850000 2.140000 2.105000 ;
+        RECT 1.050000 0.255000 1.295000 0.615000 ;
+        RECT 1.965000 0.255000 2.295000 0.615000 ;
+        RECT 1.970000 1.445000 3.255000 1.625000 ;
+        RECT 1.970000 1.625000 2.140000 1.850000 ;
+        RECT 2.965000 0.275000 3.295000 0.615000 ;
+        RECT 3.025000 0.845000 3.255000 1.445000 ;
+        RECT 5.020000 0.295000 5.355000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  1.870000 0.460000 2.275000 ;
+      RECT 0.100000  2.275000 2.185000 2.295000 ;
+      RECT 0.100000  2.295000 2.985000 2.465000 ;
+      RECT 0.550000  0.085000 0.880000 0.445000 ;
+      RECT 1.465000  0.085000 1.795000 0.445000 ;
+      RECT 2.310000  1.795000 3.335000 1.845000 ;
+      RECT 2.310000  1.845000 5.400000 1.965000 ;
+      RECT 2.310000  1.965000 2.640000 2.060000 ;
+      RECT 2.465000  0.085000 2.795000 0.445000 ;
+      RECT 2.815000  2.135000 2.985000 2.295000 ;
+      RECT 3.155000  1.965000 5.400000 2.095000 ;
+      RECT 3.155000  2.095000 3.520000 2.465000 ;
+      RECT 3.690000  2.275000 4.020000 2.635000 ;
+      RECT 4.125000  0.085000 4.455000 0.445000 ;
+      RECT 4.190000  2.095000 5.400000 2.105000 ;
+      RECT 4.190000  2.105000 4.400000 2.465000 ;
+      RECT 4.570000  2.275000 4.900000 2.635000 ;
+      RECT 5.070000  2.105000 5.400000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_2
+MACRO sky130_fd_sc_hd__a2111oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.020000 7.745000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 1.020000 9.990000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.955000 1.020000 5.650000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.055000 1.020000 3.745000 1.275000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 1.020000 1.845000 1.275000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  2.009500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.615000 7.620000 0.785000 ;
+        RECT 0.145000 0.785000 0.320000 1.475000 ;
+        RECT 0.145000 1.475000 1.720000 1.655000 ;
+        RECT 0.530000 1.655000 1.720000 1.685000 ;
+        RECT 0.530000 1.685000 0.860000 2.085000 ;
+        RECT 0.615000 0.455000 0.790000 0.615000 ;
+        RECT 1.390000 1.685000 1.720000 2.085000 ;
+        RECT 1.460000 0.455000 1.650000 0.615000 ;
+        RECT 2.400000 0.455000 2.590000 0.615000 ;
+        RECT 3.260000 0.455000 3.510000 0.615000 ;
+        RECT 4.180000 0.455000 4.420000 0.615000 ;
+        RECT 5.090000 0.455000 5.275000 0.615000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.100000  1.835000  0.360000 2.255000 ;
+      RECT 0.100000  2.255000  3.870000 2.445000 ;
+      RECT 0.115000  0.085000  0.445000 0.445000 ;
+      RECT 0.960000  0.085000  1.290000 0.445000 ;
+      RECT 1.030000  1.855000  1.220000 2.255000 ;
+      RECT 1.820000  0.085000  2.230000 0.445000 ;
+      RECT 1.890000  1.855000  2.080000 2.255000 ;
+      RECT 2.250000  1.475000  5.680000 1.655000 ;
+      RECT 2.250000  1.655000  3.440000 1.685000 ;
+      RECT 2.250000  1.685000  2.580000 2.085000 ;
+      RECT 2.750000  1.855000  2.940000 2.255000 ;
+      RECT 2.760000  0.085000  3.090000 0.445000 ;
+      RECT 3.110000  1.685000  3.440000 2.085000 ;
+      RECT 3.610000  1.835000  3.870000 2.255000 ;
+      RECT 3.680000  0.085000  4.010000 0.445000 ;
+      RECT 4.060000  1.835000  4.320000 2.255000 ;
+      RECT 4.060000  2.255000  5.180000 2.275000 ;
+      RECT 4.060000  2.275000  6.050000 2.445000 ;
+      RECT 4.490000  1.655000  5.680000 1.685000 ;
+      RECT 4.490000  1.685000  4.820000 2.085000 ;
+      RECT 4.590000  0.085000  4.920000 0.445000 ;
+      RECT 4.990000  1.855000  5.180000 2.255000 ;
+      RECT 5.350000  1.685000  5.680000 2.085000 ;
+      RECT 5.445000  0.085000  5.780000 0.445000 ;
+      RECT 5.860000  1.445000  9.770000 1.615000 ;
+      RECT 5.860000  1.615000  6.050000 2.275000 ;
+      RECT 5.980000  0.275000  8.075000 0.445000 ;
+      RECT 6.220000  1.785000  6.550000 2.635000 ;
+      RECT 6.720000  1.615000  6.910000 2.315000 ;
+      RECT 7.080000  1.805000  7.410000 2.635000 ;
+      RECT 7.580000  1.615000  9.770000 1.665000 ;
+      RECT 7.580000  1.665000  7.910000 2.315000 ;
+      RECT 7.885000  0.445000  8.075000 0.615000 ;
+      RECT 7.885000  0.615000  9.865000 0.785000 ;
+      RECT 8.080000  1.895000  8.410000 2.635000 ;
+      RECT 8.245000  0.085000  8.575000 0.445000 ;
+      RECT 8.580000  1.665000  9.770000 1.670000 ;
+      RECT 8.580000  1.670000  8.840000 2.290000 ;
+      RECT 8.745000  0.300000  8.935000 0.615000 ;
+      RECT 9.030000  1.915000  9.360000 2.635000 ;
+      RECT 9.105000  0.085000  9.435000 0.445000 ;
+      RECT 9.530000  1.670000  9.770000 2.260000 ;
+      RECT 9.605000  0.290000  9.865000 0.615000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_4
+MACRO sky130_fd_sc_hd__a2111oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.725000 1.400000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.350000 3.090000 1.020000 ;
+        RECT 2.905000 1.020000 3.540000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.940000 1.050000 2.270000 1.400000 ;
+        RECT 1.940000 1.400000 2.215000 2.455000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.050000 1.770000 2.455000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.785000 1.050000 1.235000 2.455000 ;
+    END
+  END D1
+  PIN Y
+    ANTENNADIFFAREA  1.388750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.700000 1.375000 0.705000 ;
+        RECT 0.145000 0.705000 2.420000 0.815000 ;
+        RECT 0.145000 0.815000 2.300000 0.880000 ;
+        RECT 0.145000 0.880000 0.530000 2.460000 ;
+        RECT 1.045000 0.260000 1.375000 0.700000 ;
+        RECT 2.090000 0.305000 2.420000 0.705000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 1.975000 -0.065000 2.145000 0.105000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.315000  0.085000 0.630000 0.525000 ;
+      RECT 1.550000  0.085000 1.880000 0.535000 ;
+      RECT 2.395000  1.580000 3.505000 1.750000 ;
+      RECT 2.395000  1.750000 2.625000 2.460000 ;
+      RECT 2.800000  1.920000 3.130000 2.635000 ;
+      RECT 3.270000  0.085000 3.510000 0.760000 ;
+      RECT 3.310000  1.750000 3.505000 2.460000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2111oi_1
+MACRO sky130_fd_sc_hd__or3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 1.415000 2.720000 1.700000 ;
+        RECT 2.535000 0.995000 2.720000 1.415000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.890000 0.995000 3.200000 1.700000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.735000 2.025000 0.905000 ;
+        RECT 0.935000 0.905000 1.105000 1.415000 ;
+        RECT 0.935000 1.415000 2.220000 1.700000 ;
+        RECT 1.000000 0.285000 1.330000 0.735000 ;
+        RECT 1.855000 0.255000 2.090000 0.585000 ;
+        RECT 1.855000 0.585000 2.025000 0.735000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 3.620000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 0.620000  0.085000 0.790000 0.565000 ;
+      RECT 1.275000  1.075000 2.365000 1.245000 ;
+      RECT 1.420000  2.210000 1.750000 2.635000 ;
+      RECT 1.500000  0.085000 1.670000 0.565000 ;
+      RECT 2.195000  0.720000 4.055000 0.825000 ;
+      RECT 2.195000  0.825000 2.400000 0.890000 ;
+      RECT 2.195000  0.890000 2.365000 1.075000 ;
+      RECT 2.250000  0.655000 4.055000 0.720000 ;
+      RECT 2.255000  2.210000 2.595000 2.635000 ;
+      RECT 2.260000  0.085000 2.590000 0.485000 ;
+      RECT 2.760000  0.305000 2.930000 0.655000 ;
+      RECT 3.100000  0.085000 3.490000 0.485000 ;
+      RECT 3.390000  0.995000 3.680000 1.325000 ;
+      RECT 3.390000  1.325000 3.620000 1.870000 ;
+      RECT 3.520000  2.210000 4.055000 2.425000 ;
+      RECT 3.660000  0.305000 3.915000 0.605000 ;
+      RECT 3.660000  0.605000 4.055000 0.655000 ;
+      RECT 3.850000  0.825000 4.055000 2.210000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_4
+MACRO sky130_fd_sc_hd__or3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 2.350000 1.325000 ;
+        RECT 1.525000 1.325000 1.770000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.585000 2.125000 2.200000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.860000 0.415000 3.135000 0.760000 ;
+        RECT 2.860000 1.495000 3.135000 2.465000 ;
+        RECT 2.965000 0.760000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.515000  0.485000 0.845000 0.905000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.310000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 1.025000  0.255000 1.285000 0.655000 ;
+      RECT 1.025000  0.655000 2.690000 0.825000 ;
+      RECT 1.025000  1.495000 1.355000 1.785000 ;
+      RECT 1.025000  1.785000 2.200000 1.955000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.955000  0.305000 2.125000 0.655000 ;
+      RECT 2.030000  1.495000 2.690000 1.665000 ;
+      RECT 2.030000  1.665000 2.200000 1.785000 ;
+      RECT 2.295000  0.085000 2.670000 0.485000 ;
+      RECT 2.370000  1.835000 2.650000 2.635000 ;
+      RECT 2.520000  0.825000 2.690000 0.995000 ;
+      RECT 2.520000  0.995000 2.795000 1.325000 ;
+      RECT 2.520000  1.325000 2.690000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_1
+MACRO sky130_fd_sc_hd__or3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 1.075000 2.230000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 2.125000 3.135000 2.365000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.640000 ;
+    END
+  END C_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.265000 1.285000 0.595000 ;
+        RECT 0.935000 0.595000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.330000 1.700000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.290000 0.345000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.810000 0.765000 1.870000 ;
+      RECT 0.085000  1.870000 2.660000 1.955000 ;
+      RECT 0.085000  1.955000 1.720000 2.040000 ;
+      RECT 0.085000  2.040000 0.345000 2.220000 ;
+      RECT 0.550000  2.210000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.595000  0.905000 0.765000 1.810000 ;
+      RECT 1.275000  0.765000 3.135000 0.825000 ;
+      RECT 1.275000  0.825000 2.160000 0.905000 ;
+      RECT 1.275000  0.905000 1.595000 0.935000 ;
+      RECT 1.275000  0.935000 1.445000 1.325000 ;
+      RECT 1.425000  0.735000 3.135000 0.765000 ;
+      RECT 1.425000  2.210000 1.755000 2.635000 ;
+      RECT 1.520000  0.085000 1.690000 0.565000 ;
+      RECT 1.550000  1.785000 2.660000 1.870000 ;
+      RECT 1.990000  0.305000 2.160000 0.655000 ;
+      RECT 1.990000  0.655000 3.135000 0.735000 ;
+      RECT 2.330000  0.085000 2.660000 0.485000 ;
+      RECT 2.490000  0.995000 2.790000 1.325000 ;
+      RECT 2.490000  1.325000 2.660000 1.785000 ;
+      RECT 2.830000  0.305000 3.085000 0.605000 ;
+      RECT 2.830000  0.605000 3.135000 0.655000 ;
+      RECT 2.830000  1.495000 3.135000 1.925000 ;
+      RECT 2.965000  0.825000 3.135000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or3b_2
+MACRO sky130_fd_sc_hd__sdfsbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.915000 0.275000 13.255000 0.825000 ;
+        RECT 12.915000 1.495000 13.255000 2.450000 ;
+        RECT 13.070000 0.825000 13.255000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.500000 0.255000 11.830000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.345000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.765000 0.825000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.610000 1.105000 0.780000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.370000 1.075000 2.700000 1.600000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.550000 1.075000 0.840000 1.120000 ;
+        RECT 0.550000 1.120000 2.675000 1.260000 ;
+        RECT 0.550000 1.260000 0.840000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.015000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.885000 1.415000  9.110000 1.525000 ;
+        RECT 8.885000 1.525000 10.075000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.885000 1.445000 9.055000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.115000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.825000 1.415000 9.115000 1.460000 ;
+        RECT 8.825000 1.600000 9.115000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.590000 ;
+        RECT 2.905000 1.590000 3.085000 1.960000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 13.340000 0.085000 ;
+      RECT  0.000000  2.635000 13.340000 2.805000 ;
+      RECT  0.085000  0.085000  0.480000 0.595000 ;
+      RECT  0.085000  1.845000  1.105000 2.025000 ;
+      RECT  0.085000  2.025000  0.345000 2.465000 ;
+      RECT  0.515000  2.195000  0.765000 2.635000 ;
+      RECT  0.875000  0.280000  1.655000 0.560000 ;
+      RECT  0.935000  2.025000  1.105000 2.255000 ;
+      RECT  0.935000  2.255000  2.045000 2.465000 ;
+      RECT  1.295000  1.870000  1.695000 2.075000 ;
+      RECT  1.380000  0.560000  1.655000 0.590000 ;
+      RECT  1.380000  0.590000  1.660000 0.600000 ;
+      RECT  1.395000  0.600000  1.660000 0.605000 ;
+      RECT  1.405000  0.605000  1.660000 0.610000 ;
+      RECT  1.420000  0.610000  1.660000 0.615000 ;
+      RECT  1.430000  0.615000  1.670000 0.620000 ;
+      RECT  1.440000  0.620000  1.670000 0.630000 ;
+      RECT  1.445000  0.630000  1.670000 0.635000 ;
+      RECT  1.460000  0.635000  1.670000 0.645000 ;
+      RECT  1.475000  0.645000  1.670000 0.655000 ;
+      RECT  1.475000  0.655000  1.695000 0.665000 ;
+      RECT  1.495000  0.665000  1.695000 0.705000 ;
+      RECT  1.505000  0.705000  1.695000 1.870000 ;
+      RECT  1.825000  0.085000  2.005000 0.545000 ;
+      RECT  1.865000  0.715000  2.515000 0.905000 ;
+      RECT  1.865000  0.905000  2.200000 1.770000 ;
+      RECT  1.865000  1.770000  2.520000 2.085000 ;
+      RECT  2.260000  0.255000  2.515000 0.715000 ;
+      RECT  2.270000  2.085000  2.520000 2.465000 ;
+      RECT  2.690000  0.085000  3.030000 0.555000 ;
+      RECT  2.690000  2.140000  3.030000 2.635000 ;
+      RECT  3.255000  1.775000  3.995000 1.955000 ;
+      RECT  3.255000  1.955000  3.425000 2.325000 ;
+      RECT  3.270000  0.255000  3.455000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.595000  2.275000  3.925000 2.635000 ;
+      RECT  3.630000  0.085000  3.940000 0.545000 ;
+      RECT  3.735000  0.885000  3.995000 1.775000 ;
+      RECT  4.095000  2.135000  4.440000 2.465000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.830000 0.920000 ;
+      RECT  4.610000  1.590000  4.915000 1.615000 ;
+      RECT  4.610000  1.615000  4.830000 2.465000 ;
+      RECT  4.660000  0.920000  4.830000 1.445000 ;
+      RECT  4.660000  1.445000  4.915000 1.590000 ;
+      RECT  5.000000  0.255000  5.440000 1.225000 ;
+      RECT  5.000000  1.225000  7.660000 1.275000 ;
+      RECT  5.030000  2.135000  5.755000 2.465000 ;
+      RECT  5.085000  1.275000  6.435000 1.395000 ;
+      RECT  5.205000  1.575000  5.415000 1.955000 ;
+      RECT  5.585000  1.395000  5.755000 2.135000 ;
+      RECT  5.610000  0.085000  6.095000 0.465000 ;
+      RECT  5.610000  0.635000  6.535000 0.805000 ;
+      RECT  5.610000  0.805000  5.975000 1.015000 ;
+      RECT  5.925000  1.575000  6.095000 1.935000 ;
+      RECT  5.925000  1.935000  6.765000 2.105000 ;
+      RECT  5.945000  2.275000  6.275000 2.635000 ;
+      RECT  6.250000  0.975000  7.660000 1.225000 ;
+      RECT  6.275000  0.255000  6.535000 0.635000 ;
+      RECT  6.550000  2.105000  6.765000 2.450000 ;
+      RECT  6.735000  0.085000  7.630000 0.805000 ;
+      RECT  7.005000  2.125000  7.960000 2.635000 ;
+      RECT  7.190000  1.495000  8.005000 1.955000 ;
+      RECT  7.300000  1.275000  7.660000 1.325000 ;
+      RECT  7.835000  0.695000  9.040000 0.895000 ;
+      RECT  7.835000  0.895000  8.005000 1.495000 ;
+      RECT  8.130000  2.125000  8.935000 2.460000 ;
+      RECT  8.365000  1.075000  8.595000 1.905000 ;
+      RECT  8.410000  0.275000  9.825000 0.445000 ;
+      RECT  8.765000  1.895000 10.465000 2.065000 ;
+      RECT  8.765000  2.065000  8.935000 2.125000 ;
+      RECT  8.810000  0.895000  9.040000 1.245000 ;
+      RECT  9.195000  2.235000  9.525000 2.635000 ;
+      RECT  9.290000  0.855000  9.465000 1.185000 ;
+      RECT  9.290000  1.185000 10.895000 1.355000 ;
+      RECT  9.655000  0.445000  9.825000 0.845000 ;
+      RECT  9.655000  0.845000 10.545000 1.015000 ;
+      RECT  9.695000  2.065000  9.910000 2.450000 ;
+      RECT 10.135000  2.235000 10.465000 2.635000 ;
+      RECT 10.220000  0.085000 10.390000 0.545000 ;
+      RECT 10.245000  1.525000 10.465000 1.895000 ;
+      RECT 10.560000  0.255000 10.895000 0.540000 ;
+      RECT 10.635000  1.355000 10.895000 2.465000 ;
+      RECT 10.715000  0.540000 10.895000 1.185000 ;
+      RECT 11.120000  0.085000 11.330000 0.885000 ;
+      RECT 11.120000  1.485000 11.330000 2.635000 ;
+      RECT 12.060000  0.255000 12.270000 0.995000 ;
+      RECT 12.060000  0.995000 12.900000 1.325000 ;
+      RECT 12.060000  1.325000 12.270000 2.465000 ;
+      RECT 12.540000  0.085000 12.745000 0.825000 ;
+      RECT 12.575000  1.575000 12.745000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.445000  4.915000 1.615000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  1.785000  7.675000 1.955000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.975000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.735000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.655000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.685000 1.415000 4.975000 1.460000 ;
+      RECT 4.685000 1.600000 4.975000 1.645000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 7.445000 1.755000 7.735000 1.800000 ;
+      RECT 7.445000 1.940000 7.735000 1.985000 ;
+      RECT 8.365000 1.075000 8.655000 1.120000 ;
+      RECT 8.365000 1.260000 8.655000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_1
+MACRO sky130_fd_sc_hd__sdfsbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.765000 1.335000 1.675000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.410000 0.275000 13.740000 0.825000 ;
+        RECT 13.410000 1.495000 13.740000 2.450000 ;
+        RECT 13.515000 0.825000 13.740000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.460000 0.255000 11.855000 2.465000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.340000 1.675000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.765000 0.820000 1.675000 ;
+      LAYER mcon ;
+        RECT 0.605000 1.105000 0.775000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 1.590000 ;
+      LAYER mcon ;
+        RECT 2.445000 1.105000 2.615000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.545000 1.075000 0.835000 1.120000 ;
+        RECT 0.545000 1.120000 2.675000 1.260000 ;
+        RECT 0.545000 1.260000 0.835000 1.305000 ;
+        RECT 2.385000 1.075000 2.675000 1.120000 ;
+        RECT 2.385000 1.260000 2.675000 1.305000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.640000 1.445000 7.065000 1.765000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.880000 1.435000 9.115000 1.525000 ;
+        RECT 8.880000 1.525000 9.935000 1.725000 ;
+      LAYER mcon ;
+        RECT 8.940000 1.445000 9.110000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.580000 1.415000 6.870000 1.460000 ;
+        RECT 6.580000 1.460000 9.170000 1.600000 ;
+        RECT 6.580000 1.600000 6.870000 1.645000 ;
+        RECT 8.880000 1.415000 9.170000 1.460000 ;
+        RECT 8.880000 1.600000 9.170000 1.645000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.725000 3.100000 1.055000 ;
+        RECT 2.905000 1.055000 3.565000 1.615000 ;
+        RECT 2.905000 1.615000 3.100000 1.970000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.085000  0.085000  0.700000 0.595000 ;
+      RECT  0.085000  1.845000  1.185000 2.075000 ;
+      RECT  0.085000  2.075000  0.345000 2.465000 ;
+      RECT  0.515000  2.275000  0.845000 2.635000 ;
+      RECT  0.870000  0.255000  1.670000 0.595000 ;
+      RECT  1.015000  2.075000  1.185000 2.255000 ;
+      RECT  1.015000  2.255000  2.105000 2.465000 ;
+      RECT  1.355000  1.845000  1.695000 2.085000 ;
+      RECT  1.495000  0.595000  1.670000 0.645000 ;
+      RECT  1.495000  0.645000  1.695000 0.705000 ;
+      RECT  1.500000  0.705000  1.695000 0.720000 ;
+      RECT  1.505000  0.720000  1.695000 1.845000 ;
+      RECT  1.840000  0.085000  2.090000 0.545000 ;
+      RECT  1.980000  0.715000  2.530000 0.905000 ;
+      RECT  1.980000  0.905000  2.235000 1.760000 ;
+      RECT  1.980000  1.760000  2.535000 2.085000 ;
+      RECT  2.260000  0.255000  2.530000 0.715000 ;
+      RECT  2.275000  2.085000  2.535000 2.465000 ;
+      RECT  2.700000  0.085000  3.100000 0.555000 ;
+      RECT  2.705000  2.140000  3.100000 2.635000 ;
+      RECT  3.270000  0.255000  3.470000 0.715000 ;
+      RECT  3.270000  0.715000  3.995000 0.885000 ;
+      RECT  3.270000  1.830000  3.995000 2.000000 ;
+      RECT  3.270000  2.000000  3.475000 2.325000 ;
+      RECT  3.640000  0.085000  3.940000 0.545000 ;
+      RECT  3.645000  2.275000  3.975000 2.635000 ;
+      RECT  3.735000  0.885000  3.995000 1.830000 ;
+      RECT  4.110000  0.255000  4.335000 0.585000 ;
+      RECT  4.145000  2.135000  4.440000 2.465000 ;
+      RECT  4.165000  0.585000  4.335000 1.090000 ;
+      RECT  4.165000  1.090000  4.490000 1.420000 ;
+      RECT  4.165000  1.420000  4.440000 2.135000 ;
+      RECT  4.505000  0.255000  4.885000 0.920000 ;
+      RECT  4.665000  1.590000  4.970000 1.615000 ;
+      RECT  4.665000  1.615000  4.890000 2.465000 ;
+      RECT  4.715000  0.920000  4.885000 1.445000 ;
+      RECT  4.715000  1.445000  4.970000 1.590000 ;
+      RECT  5.055000  0.255000  5.450000 1.225000 ;
+      RECT  5.055000  1.225000  7.705000 1.275000 ;
+      RECT  5.060000  2.135000  5.805000 2.465000 ;
+      RECT  5.140000  1.275000  6.475000 1.395000 ;
+      RECT  5.205000  1.575000  5.465000 1.955000 ;
+      RECT  5.620000  0.635000  6.550000 0.805000 ;
+      RECT  5.620000  0.805000  6.015000 1.015000 ;
+      RECT  5.635000  1.395000  5.805000 2.135000 ;
+      RECT  5.665000  0.085000  6.165000 0.465000 ;
+      RECT  5.975000  1.575000  6.145000 1.935000 ;
+      RECT  5.975000  1.935000  6.820000 2.105000 ;
+      RECT  6.000000  2.275000  6.330000 2.635000 ;
+      RECT  6.305000  0.975000  7.705000 1.225000 ;
+      RECT  6.335000  0.255000  6.550000 0.635000 ;
+      RECT  6.605000  2.105000  6.820000 2.450000 ;
+      RECT  6.720000  0.085000  7.705000 0.805000 ;
+      RECT  7.060000  2.125000  8.015000 2.635000 ;
+      RECT  7.355000  1.275000  7.705000 1.325000 ;
+      RECT  7.385000  1.705000  8.055000 1.955000 ;
+      RECT  7.885000  0.695000  9.085000 0.895000 ;
+      RECT  7.885000  0.895000  8.055000 1.705000 ;
+      RECT  8.185000  2.125000  8.990000 2.460000 ;
+      RECT  8.420000  1.075000  8.650000 1.905000 ;
+      RECT  8.465000  0.275000  9.855000 0.515000 ;
+      RECT  8.820000  1.895000 10.430000 2.065000 ;
+      RECT  8.820000  2.065000  8.990000 2.125000 ;
+      RECT  8.830000  0.895000  9.085000 1.265000 ;
+      RECT  9.160000  2.235000  9.490000 2.635000 ;
+      RECT  9.285000  0.855000  9.515000 1.185000 ;
+      RECT  9.285000  1.185000 10.910000 1.355000 ;
+      RECT  9.660000  2.065000  9.930000 2.450000 ;
+      RECT  9.685000  0.515000  9.855000 0.845000 ;
+      RECT  9.685000  0.845000 10.560000 1.015000 ;
+      RECT 10.035000  0.085000 10.285000 0.545000 ;
+      RECT 10.100000  2.235000 10.430000 2.635000 ;
+      RECT 10.105000  1.525000 10.430000 1.895000 ;
+      RECT 10.465000  0.255000 10.910000 0.585000 ;
+      RECT 10.600000  1.355000 10.845000 2.465000 ;
+      RECT 10.730000  0.585000 10.910000 1.185000 ;
+      RECT 11.080000  1.485000 11.290000 2.635000 ;
+      RECT 11.120000  0.085000 11.290000 0.885000 ;
+      RECT 12.025000  0.085000 12.315000 0.885000 ;
+      RECT 12.025000  1.485000 12.315000 2.635000 ;
+      RECT 12.530000  0.255000 12.715000 0.995000 ;
+      RECT 12.530000  0.995000 13.345000 1.325000 ;
+      RECT 12.530000  1.325000 12.715000 2.465000 ;
+      RECT 12.885000  0.085000 13.240000 0.825000 ;
+      RECT 12.885000  1.635000 13.240000 2.635000 ;
+      RECT 13.910000  0.085000 14.175000 0.885000 ;
+      RECT 13.910000  1.485000 14.175000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  1.445000  1.695000 1.615000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  1.785000  3.995000 1.955000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.260000  1.785000  5.430000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.560000  1.785000  7.730000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.480000  1.105000  8.650000 1.275000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 5.030000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 3.765000 1.755000 4.055000 1.800000 ;
+      RECT 3.765000 1.800000 7.790000 1.940000 ;
+      RECT 3.765000 1.940000 4.055000 1.985000 ;
+      RECT 4.225000 1.075000 4.515000 1.120000 ;
+      RECT 4.225000 1.120000 8.710000 1.260000 ;
+      RECT 4.225000 1.260000 4.515000 1.305000 ;
+      RECT 4.740000 1.415000 5.030000 1.460000 ;
+      RECT 4.740000 1.600000 5.030000 1.645000 ;
+      RECT 5.200000 1.755000 5.490000 1.800000 ;
+      RECT 5.200000 1.940000 5.490000 1.985000 ;
+      RECT 7.500000 1.755000 7.790000 1.800000 ;
+      RECT 7.500000 1.940000 7.790000 1.985000 ;
+      RECT 8.420000 1.075000 8.710000 1.120000 ;
+      RECT 8.420000 1.260000 8.710000 1.305000 ;
+  END
+END sky130_fd_sc_hd__sdfsbp_2
+MACRO sky130_fd_sc_hd__tapvgnd2_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.085000 1.755000 0.375000 1.985000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  1.785000 0.315000 1.955000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvgnd2_1
+MACRO sky130_fd_sc_hd__macro_sparecell
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  13.34000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN LO
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.215000 1.075000 4.965000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.775000 1.105000 4.945000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.135000 1.075000 5.895000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.705000 1.105000 5.875000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.755000 0.915000 7.275000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.765000 1.105000 6.935000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.445000 1.075000 8.205000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.625000 1.105000 7.795000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.375000 1.075000 9.125000 1.325000 ;
+      LAYER mcon ;
+        RECT 8.485000 1.105000 8.655000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.715000 1.075000 5.005000 1.120000 ;
+        RECT 4.715000 1.120000 8.715000 1.260000 ;
+        RECT 4.715000 1.260000 5.005000 1.305000 ;
+        RECT 5.645000 1.075000 5.935000 1.120000 ;
+        RECT 5.645000 1.260000 5.935000 1.305000 ;
+        RECT 6.705000 1.075000 6.995000 1.120000 ;
+        RECT 6.705000 1.260000 6.995000 1.305000 ;
+        RECT 7.565000 1.075000 7.855000 1.120000 ;
+        RECT 7.565000 1.260000 7.855000 1.305000 ;
+        RECT 8.425000 1.075000 8.715000 1.120000 ;
+        RECT 8.425000 1.260000 8.715000 1.305000 ;
+    END
+  END LO
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 -0.085000 13.340000 0.085000 ;
+        RECT  0.145000  0.085000  0.355000 0.905000 ;
+        RECT  1.025000  0.085000  1.255000 0.905000 ;
+        RECT  1.515000  0.085000  1.805000 0.555000 ;
+        RECT  2.475000  0.085000  2.645000 0.555000 ;
+        RECT  3.315000  0.085000  3.590000 0.905000 ;
+        RECT  5.215000  0.085000  5.385000 0.545000 ;
+        RECT  6.755000  0.085000  7.095000 0.745000 ;
+        RECT  7.955000  0.085000  8.125000 0.545000 ;
+        RECT  9.750000  0.085000 10.025000 0.905000 ;
+        RECT 10.695000  0.085000 10.865000 0.555000 ;
+        RECT 11.535000  0.085000 11.825000 0.555000 ;
+        RECT 12.085000  0.085000 12.315000 0.905000 ;
+        RECT 12.985000  0.085000 13.195000 0.905000 ;
+      LAYER mcon ;
+        RECT  0.145000 -0.085000  0.315000 0.085000 ;
+        RECT  0.605000 -0.085000  0.775000 0.085000 ;
+        RECT  1.065000 -0.085000  1.235000 0.085000 ;
+        RECT  1.525000 -0.085000  1.695000 0.085000 ;
+        RECT  1.985000 -0.085000  2.155000 0.085000 ;
+        RECT  2.445000 -0.085000  2.615000 0.085000 ;
+        RECT  2.905000 -0.085000  3.075000 0.085000 ;
+        RECT  3.365000 -0.085000  3.535000 0.085000 ;
+        RECT  3.825000 -0.085000  3.995000 0.085000 ;
+        RECT  4.285000 -0.085000  4.455000 0.085000 ;
+        RECT  4.745000 -0.085000  4.915000 0.085000 ;
+        RECT  5.205000 -0.085000  5.375000 0.085000 ;
+        RECT  5.665000 -0.085000  5.835000 0.085000 ;
+        RECT  6.125000 -0.085000  6.295000 0.085000 ;
+        RECT  6.585000 -0.085000  6.755000 0.085000 ;
+        RECT  7.045000 -0.085000  7.215000 0.085000 ;
+        RECT  7.505000 -0.085000  7.675000 0.085000 ;
+        RECT  7.965000 -0.085000  8.135000 0.085000 ;
+        RECT  8.425000 -0.085000  8.595000 0.085000 ;
+        RECT  8.885000 -0.085000  9.055000 0.085000 ;
+        RECT  9.345000 -0.085000  9.515000 0.085000 ;
+        RECT  9.805000 -0.085000  9.975000 0.085000 ;
+        RECT 10.265000 -0.085000 10.435000 0.085000 ;
+        RECT 10.725000 -0.085000 10.895000 0.085000 ;
+        RECT 11.185000 -0.085000 11.355000 0.085000 ;
+        RECT 11.645000 -0.085000 11.815000 0.085000 ;
+        RECT 12.105000 -0.085000 12.275000 0.085000 ;
+        RECT 12.565000 -0.085000 12.735000 0.085000 ;
+        RECT 13.025000 -0.085000 13.195000 0.085000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 13.340000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.530000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT  0.000000 2.635000 13.340000 2.805000 ;
+        RECT  0.145000 1.495000  0.355000 2.635000 ;
+        RECT  1.025000 1.495000  1.255000 2.635000 ;
+        RECT  2.815000 1.835000  3.145000 2.635000 ;
+        RECT  3.870000 1.835000  4.125000 2.635000 ;
+        RECT  4.795000 1.835000  4.965000 2.635000 ;
+        RECT  5.635000 1.495000  5.895000 2.635000 ;
+        RECT  6.255000 1.910000  6.585000 2.635000 ;
+        RECT  7.445000 1.495000  7.705000 2.635000 ;
+        RECT  8.375000 1.835000  8.545000 2.635000 ;
+        RECT  9.215000 1.835000  9.470000 2.635000 ;
+        RECT 10.195000 1.835000 10.525000 2.635000 ;
+        RECT 12.085000 1.495000 12.315000 2.635000 ;
+        RECT 12.985000 1.495000 13.195000 2.635000 ;
+      LAYER mcon ;
+        RECT  0.145000 2.635000  0.315000 2.805000 ;
+        RECT  0.605000 2.635000  0.775000 2.805000 ;
+        RECT  1.065000 2.635000  1.235000 2.805000 ;
+        RECT  1.525000 2.635000  1.695000 2.805000 ;
+        RECT  1.985000 2.635000  2.155000 2.805000 ;
+        RECT  2.445000 2.635000  2.615000 2.805000 ;
+        RECT  2.905000 2.635000  3.075000 2.805000 ;
+        RECT  3.365000 2.635000  3.535000 2.805000 ;
+        RECT  3.825000 2.635000  3.995000 2.805000 ;
+        RECT  4.285000 2.635000  4.455000 2.805000 ;
+        RECT  4.745000 2.635000  4.915000 2.805000 ;
+        RECT  5.205000 2.635000  5.375000 2.805000 ;
+        RECT  5.665000 2.635000  5.835000 2.805000 ;
+        RECT  6.125000 2.635000  6.295000 2.805000 ;
+        RECT  6.585000 2.635000  6.755000 2.805000 ;
+        RECT  7.045000 2.635000  7.215000 2.805000 ;
+        RECT  7.505000 2.635000  7.675000 2.805000 ;
+        RECT  7.965000 2.635000  8.135000 2.805000 ;
+        RECT  8.425000 2.635000  8.595000 2.805000 ;
+        RECT  8.885000 2.635000  9.055000 2.805000 ;
+        RECT  9.345000 2.635000  9.515000 2.805000 ;
+        RECT  9.805000 2.635000  9.975000 2.805000 ;
+        RECT 10.265000 2.635000 10.435000 2.805000 ;
+        RECT 10.725000 2.635000 10.895000 2.805000 ;
+        RECT 11.185000 2.635000 11.355000 2.805000 ;
+        RECT 11.645000 2.635000 11.815000 2.805000 ;
+        RECT 12.105000 2.635000 12.275000 2.805000 ;
+        RECT 12.565000 2.635000 12.735000 2.805000 ;
+        RECT 13.025000 2.635000 13.195000 2.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 13.340000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.525000 0.255000  0.855000 0.885000 ;
+      RECT  0.525000 0.885000  0.775000 1.485000 ;
+      RECT  0.525000 1.485000  0.855000 2.465000 ;
+      RECT  0.945000 1.075000  1.275000 1.325000 ;
+      RECT  1.505000 1.835000  1.805000 2.295000 ;
+      RECT  1.505000 2.295000  2.645000 2.465000 ;
+      RECT  1.545000 0.735000  3.145000 0.905000 ;
+      RECT  1.545000 0.905000  1.760000 1.445000 ;
+      RECT  1.545000 1.445000  2.305000 1.665000 ;
+      RECT  1.930000 1.075000  2.700000 1.275000 ;
+      RECT  1.975000 0.255000  2.305000 0.725000 ;
+      RECT  1.975000 0.725000  3.145000 0.735000 ;
+      RECT  1.975000 1.665000  2.305000 2.125000 ;
+      RECT  2.475000 1.455000  3.590000 1.665000 ;
+      RECT  2.475000 1.665000  2.645000 2.295000 ;
+      RECT  2.815000 0.255000  3.145000 0.725000 ;
+      RECT  2.870000 1.075000  3.590000 1.275000 ;
+      RECT  3.315000 1.665000  3.590000 2.465000 ;
+      RECT  3.765000 0.655000  4.625000 0.905000 ;
+      RECT  3.765000 0.905000  4.045000 1.495000 ;
+      RECT  3.765000 1.495000  5.465000 1.665000 ;
+      RECT  3.875000 0.255000  5.045000 0.465000 ;
+      RECT  3.875000 0.465000  4.205000 0.485000 ;
+      RECT  4.295000 1.665000  4.625000 2.465000 ;
+      RECT  4.795000 0.465000  5.045000 0.715000 ;
+      RECT  4.795000 0.715000  5.895000 0.885000 ;
+      RECT  5.135000 1.665000  5.465000 2.465000 ;
+      RECT  5.555000 0.255000  5.895000 0.715000 ;
+      RECT  6.065000 0.255000  6.585000 1.740000 ;
+      RECT  7.445000 0.255000  7.785000 0.715000 ;
+      RECT  7.445000 0.715000  8.545000 0.885000 ;
+      RECT  7.875000 1.495000  9.575000 1.665000 ;
+      RECT  7.875000 1.665000  8.205000 2.465000 ;
+      RECT  8.295000 0.255000  9.465000 0.465000 ;
+      RECT  8.295000 0.465000  8.545000 0.715000 ;
+      RECT  8.715000 0.655000  9.575000 0.905000 ;
+      RECT  8.715000 1.665000  9.045000 2.465000 ;
+      RECT  9.135000 0.465000  9.465000 0.485000 ;
+      RECT  9.295000 0.905000  9.575000 1.495000 ;
+      RECT  9.750000 1.075000 10.470000 1.275000 ;
+      RECT  9.750000 1.455000 10.865000 1.665000 ;
+      RECT  9.750000 1.665000 10.025000 2.465000 ;
+      RECT 10.195000 0.255000 10.525000 0.725000 ;
+      RECT 10.195000 0.725000 11.365000 0.735000 ;
+      RECT 10.195000 0.735000 11.795000 0.905000 ;
+      RECT 10.640000 1.075000 11.410000 1.275000 ;
+      RECT 10.695000 1.665000 10.865000 2.295000 ;
+      RECT 10.695000 2.295000 11.835000 2.465000 ;
+      RECT 11.035000 0.255000 11.365000 0.725000 ;
+      RECT 11.035000 1.445000 11.795000 1.665000 ;
+      RECT 11.035000 1.665000 11.365000 2.125000 ;
+      RECT 11.535000 1.835000 11.835000 2.295000 ;
+      RECT 11.580000 0.905000 11.795000 1.445000 ;
+      RECT 12.065000 1.075000 12.395000 1.325000 ;
+      RECT 12.485000 0.255000 12.815000 0.885000 ;
+      RECT 12.485000 1.485000 12.815000 2.465000 ;
+      RECT 12.565000 0.885000 12.815000 1.485000 ;
+    LAYER mcon ;
+      RECT  0.565000 1.105000  0.735000 1.275000 ;
+      RECT  1.085000 1.105000  1.255000 1.275000 ;
+      RECT  1.570000 1.105000  1.740000 1.275000 ;
+      RECT  2.100000 1.105000  2.270000 1.275000 ;
+      RECT  2.960000 1.105000  3.130000 1.275000 ;
+      RECT  3.820000 1.105000  3.990000 1.275000 ;
+      RECT  9.345000 1.105000  9.515000 1.275000 ;
+      RECT 10.205000 1.105000 10.375000 1.275000 ;
+      RECT 11.065000 1.105000 11.235000 1.275000 ;
+      RECT 11.605000 1.105000 11.775000 1.275000 ;
+      RECT 12.090000 1.105000 12.260000 1.275000 ;
+      RECT 12.605000 1.105000 12.775000 1.275000 ;
+    LAYER met1 ;
+      RECT  0.505000 1.075000  0.875000 1.305000 ;
+      RECT  1.025000 1.075000  1.315000 1.120000 ;
+      RECT  1.025000 1.120000  1.800000 1.260000 ;
+      RECT  1.025000 1.260000  1.315000 1.305000 ;
+      RECT  1.510000 1.075000  1.800000 1.120000 ;
+      RECT  1.510000 1.260000  1.800000 1.305000 ;
+      RECT  2.040000 1.075000  2.330000 1.120000 ;
+      RECT  2.040000 1.120000  4.050000 1.260000 ;
+      RECT  2.040000 1.260000  2.330000 1.305000 ;
+      RECT  2.900000 1.075000  3.190000 1.120000 ;
+      RECT  2.900000 1.260000  3.190000 1.305000 ;
+      RECT  3.760000 1.075000  4.050000 1.120000 ;
+      RECT  3.760000 1.260000  4.050000 1.305000 ;
+      RECT  9.285000 1.075000  9.575000 1.120000 ;
+      RECT  9.285000 1.120000 11.295000 1.260000 ;
+      RECT  9.285000 1.260000  9.575000 1.305000 ;
+      RECT 10.145000 1.075000 10.435000 1.120000 ;
+      RECT 10.145000 1.260000 10.435000 1.305000 ;
+      RECT 11.005000 1.075000 11.295000 1.120000 ;
+      RECT 11.005000 1.260000 11.295000 1.305000 ;
+      RECT 11.545000 1.075000 11.835000 1.120000 ;
+      RECT 11.545000 1.120000 12.320000 1.260000 ;
+      RECT 11.545000 1.260000 11.835000 1.305000 ;
+      RECT 12.030000 1.075000 12.320000 1.120000 ;
+      RECT 12.030000 1.260000 12.320000 1.305000 ;
+      RECT 12.470000 1.075000 12.835000 1.305000 ;
+    LAYER pwell ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  3.360000 -0.085000  3.530000 0.085000 ;
+      RECT  5.660000 -0.085000  5.830000 0.085000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  7.510000 -0.085000  7.680000 0.085000 ;
+      RECT  9.810000 -0.085000  9.980000 0.085000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+  END
+END sky130_fd_sc_hd__macro_sparecell
+MACRO sky130_fd_sc_hd__o41a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.075000 4.515000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.325000 1.075000 3.655000 2.335000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.825000 1.075000 3.155000 2.340000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.325000 1.075000 2.655000 2.340000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 1.075000 2.155000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.880000 ;
+        RECT 0.515000 0.880000 0.790000 1.495000 ;
+        RECT 0.515000 1.495000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.960000  1.075000 1.600000 1.325000 ;
+      RECT 1.015000  0.085000 1.260000 0.885000 ;
+      RECT 1.015000  1.495000 1.185000 1.835000 ;
+      RECT 1.015000  1.835000 1.525000 2.635000 ;
+      RECT 1.355000  1.325000 1.600000 1.495000 ;
+      RECT 1.355000  1.495000 2.145000 1.665000 ;
+      RECT 1.430000  0.255000 1.785000 0.850000 ;
+      RECT 1.430000  0.850000 1.600000 1.075000 ;
+      RECT 1.695000  1.665000 2.145000 2.465000 ;
+      RECT 1.985000  0.255000 2.315000 0.715000 ;
+      RECT 1.985000  0.715000 4.395000 0.905000 ;
+      RECT 2.485000  0.085000 2.750000 0.545000 ;
+      RECT 2.955000  0.255000 3.285000 0.715000 ;
+      RECT 3.505000  0.085000 3.775000 0.545000 ;
+      RECT 4.065000  0.255000 4.395000 0.715000 ;
+      RECT 4.065000  1.495000 4.395000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_2
+MACRO sky130_fd_sc_hd__o41a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.650000 1.075000 7.735000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.150000 1.075000 6.360000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.330000 1.075000 4.960000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 4.040000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.835000 1.075000 3.165000 1.275000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 1.685000 0.905000 ;
+        RECT 0.085000 0.905000 0.345000 1.465000 ;
+        RECT 0.085000 1.465000 1.685000 1.665000 ;
+        RECT 0.515000 0.255000 0.845000 0.715000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.715000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.545000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  1.075000 2.665000 1.245000 ;
+      RECT 0.515000  1.245000 2.545000 1.295000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.855000  0.085000 2.105000 0.885000 ;
+      RECT 1.855000  1.465000 2.025000 2.635000 ;
+      RECT 2.195000  1.295000 2.545000 1.445000 ;
+      RECT 2.195000  1.445000 3.825000 1.615000 ;
+      RECT 2.195000  1.615000 2.545000 2.465000 ;
+      RECT 2.295000  0.255000 3.485000 0.465000 ;
+      RECT 2.295000  0.635000 3.045000 0.905000 ;
+      RECT 2.295000  0.905000 2.665000 1.075000 ;
+      RECT 2.715000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  1.835000 3.405000 2.295000 ;
+      RECT 3.135000  2.295000 4.325000 2.465000 ;
+      RECT 3.235000  0.465000 3.485000 0.735000 ;
+      RECT 3.235000  0.735000 7.595000 0.905000 ;
+      RECT 3.575000  1.615000 3.825000 2.125000 ;
+      RECT 3.655000  0.085000 3.875000 0.545000 ;
+      RECT 3.995000  1.445000 5.165000 1.615000 ;
+      RECT 3.995000  1.615000 4.325000 2.295000 ;
+      RECT 4.075000  0.255000 4.245000 0.735000 ;
+      RECT 4.445000  0.085000 4.715000 0.545000 ;
+      RECT 4.495000  1.785000 4.665000 2.295000 ;
+      RECT 4.495000  2.295000 6.145000 2.465000 ;
+      RECT 4.835000  1.615000 5.165000 2.115000 ;
+      RECT 4.915000  0.255000 5.085000 0.735000 ;
+      RECT 5.305000  0.085000 5.915000 0.545000 ;
+      RECT 5.395000  1.445000 7.595000 1.615000 ;
+      RECT 5.395000  1.615000 5.645000 2.115000 ;
+      RECT 5.815000  1.785000 6.145000 2.295000 ;
+      RECT 6.240000  0.255000 6.410000 0.735000 ;
+      RECT 6.315000  1.615000 6.485000 2.455000 ;
+      RECT 6.655000  1.785000 6.985000 2.635000 ;
+      RECT 6.685000  0.085000 6.955000 0.545000 ;
+      RECT 7.265000  0.255000 7.595000 0.735000 ;
+      RECT 7.265000  1.615000 7.595000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_4
+MACRO sky130_fd_sc_hd__o41a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.485000 1.075000 3.995000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 1.075000 3.275000 2.390000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 2.735000 2.390000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 1.075000 2.195000 2.390000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.275000 1.075000 1.695000 1.285000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.672000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.885000 ;
+        RECT 0.085000 0.885000 0.355000 1.455000 ;
+        RECT 0.085000 1.455000 0.610000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.525000  1.075000 1.105000 1.285000 ;
+      RECT 0.715000  0.085000 0.885000 0.545000 ;
+      RECT 0.735000  0.715000 1.485000 0.905000 ;
+      RECT 0.735000  0.905000 1.105000 1.075000 ;
+      RECT 0.845000  1.285000 1.105000 1.455000 ;
+      RECT 0.845000  1.455000 1.595000 1.745000 ;
+      RECT 0.845000  1.915000 1.175000 2.635000 ;
+      RECT 1.155000  0.270000 1.485000 0.715000 ;
+      RECT 1.345000  1.745000 1.595000 2.465000 ;
+      RECT 1.655000  0.415000 1.825000 0.735000 ;
+      RECT 1.655000  0.735000 3.955000 0.905000 ;
+      RECT 2.050000  0.085000 2.380000 0.545000 ;
+      RECT 2.580000  0.255000 2.910000 0.735000 ;
+      RECT 3.125000  0.085000 3.455000 0.545000 ;
+      RECT 3.605000  1.515000 3.935000 2.635000 ;
+      RECT 3.625000  0.255000 3.955000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41a_1
+MACRO sky130_fd_sc_hd__o32ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.750000 1.075000 5.865000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.370000 1.075000 4.480000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 1.075000 3.065000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.705000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.845000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 2.045000 0.905000 ;
+        RECT 0.515000 1.495000 3.105000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.095000 ;
+        RECT 1.875000 0.905000 2.045000 1.105000 ;
+        RECT 1.875000 1.105000 2.170000 1.495000 ;
+        RECT 2.775000 1.665000 3.105000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.090000  0.255000 2.405000 0.485000 ;
+      RECT 0.090000  0.485000 0.345000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.295000 ;
+      RECT 0.090000  2.295000 1.265000 2.465000 ;
+      RECT 1.015000  1.835000 2.105000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.295000 ;
+      RECT 1.435000  2.175000 1.605000 2.635000 ;
+      RECT 1.775000  2.005000 2.105000 2.455000 ;
+      RECT 2.235000  0.485000 2.405000 0.715000 ;
+      RECT 2.235000  0.715000 5.755000 0.905000 ;
+      RECT 2.335000  1.835000 2.585000 2.255000 ;
+      RECT 2.335000  2.255000 4.385000 2.445000 ;
+      RECT 2.620000  0.085000 2.950000 0.545000 ;
+      RECT 3.135000  0.255000 3.465000 0.715000 ;
+      RECT 3.275000  1.495000 3.445000 2.255000 ;
+      RECT 3.615000  1.495000 5.325000 1.665000 ;
+      RECT 3.615000  1.665000 3.945000 2.085000 ;
+      RECT 3.635000  0.085000 3.805000 0.545000 ;
+      RECT 4.055000  0.255000 4.725000 0.715000 ;
+      RECT 4.135000  1.835000 4.385000 2.255000 ;
+      RECT 4.620000  1.835000 4.825000 2.635000 ;
+      RECT 4.905000  0.085000 5.235000 0.545000 ;
+      RECT 4.995000  1.665000 5.325000 2.460000 ;
+      RECT 5.425000  0.255000 5.755000 0.715000 ;
+      RECT 5.495000  1.495000 5.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_2
+MACRO sky130_fd_sc_hd__o32ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.575000 0.995000 3.135000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.930000 0.995000 2.225000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.685000 0.345000 0.995000 ;
+        RECT 0.090000 0.995000 0.360000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.870000 0.995000 1.240000 1.615000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.821250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 0.845000 0.825000 ;
+        RECT 0.530000 0.825000 0.700000 1.785000 ;
+        RECT 0.530000 1.785000 1.545000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.255000 1.345000 0.485000 ;
+      RECT 0.090000  1.495000 0.360000 2.635000 ;
+      RECT 1.015000  0.485000 1.345000 0.655000 ;
+      RECT 1.015000  0.655000 2.525000 0.825000 ;
+      RECT 1.515000  0.085000 2.185000 0.485000 ;
+      RECT 2.355000  0.375000 2.525000 0.655000 ;
+      RECT 2.695000  0.085000 3.135000 0.825000 ;
+      RECT 2.695000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_1
+MACRO sky130_fd_sc_hd__o32ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.290000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.090000 1.075000 7.260000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.770000 1.075000 5.380000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 3.540000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.685000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.655000 3.380000 0.905000 ;
+        RECT 0.515000 1.495000 5.580000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.085000 ;
+        RECT 1.355000 1.665000 1.700000 2.085000 ;
+        RECT 1.855000 0.905000 2.035000 1.495000 ;
+        RECT 4.410000 1.665000 4.740000 2.085000 ;
+        RECT 5.250000 1.665000 5.580000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.255000  3.800000 0.465000 ;
+      RECT 0.090000  0.465000  0.345000 0.905000 ;
+      RECT 0.090000  1.495000  0.345000 2.255000 ;
+      RECT 0.090000  2.255000  2.040000 2.465000 ;
+      RECT 1.015000  1.835000  1.185000 2.255000 ;
+      RECT 1.870000  1.835000  3.800000 2.005000 ;
+      RECT 1.870000  2.005000  2.040000 2.255000 ;
+      RECT 2.210000  2.175000  2.540000 2.635000 ;
+      RECT 2.710000  2.005000  2.880000 2.425000 ;
+      RECT 3.050000  2.175000  3.380000 2.635000 ;
+      RECT 3.550000  0.465000  3.800000 0.735000 ;
+      RECT 3.550000  0.735000 10.035000 0.905000 ;
+      RECT 3.550000  2.005000  3.800000 2.465000 ;
+      RECT 3.970000  0.085000  4.140000 0.545000 ;
+      RECT 3.990000  1.835000  4.240000 2.255000 ;
+      RECT 3.990000  2.255000  7.680000 2.465000 ;
+      RECT 4.310000  0.255000  4.640000 0.735000 ;
+      RECT 4.810000  0.085000  5.140000 0.545000 ;
+      RECT 4.910000  1.835000  5.080000 2.255000 ;
+      RECT 5.310000  0.255000  5.980000 0.735000 ;
+      RECT 5.750000  1.835000  5.920000 2.255000 ;
+      RECT 6.090000  1.495000  9.460000 1.665000 ;
+      RECT 6.090000  1.665000  6.420000 2.085000 ;
+      RECT 6.170000  0.085000  6.340000 0.545000 ;
+      RECT 6.510000  0.255000  6.840000 0.735000 ;
+      RECT 6.590000  1.835000  6.760000 2.255000 ;
+      RECT 6.930000  1.665000  7.260000 2.085000 ;
+      RECT 7.010000  0.085000  7.180000 0.545000 ;
+      RECT 7.350000  0.255000  8.040000 0.735000 ;
+      RECT 7.430000  1.835000  7.680000 2.255000 ;
+      RECT 7.870000  1.835000  8.120000 2.635000 ;
+      RECT 8.290000  1.665000  8.620000 2.465000 ;
+      RECT 8.370000  0.085000  8.540000 0.545000 ;
+      RECT 8.710000  0.255000  9.040000 0.735000 ;
+      RECT 8.790000  1.835000  8.960000 2.635000 ;
+      RECT 9.130000  1.665000  9.460000 2.465000 ;
+      RECT 9.210000  0.085000  9.470000 0.545000 ;
+      RECT 9.630000  1.495000 10.035000 2.635000 ;
+      RECT 9.645000  0.255000 10.035000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32ai_4
+MACRO sky130_fd_sc_hd__o21ba_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.950000 1.075000 3.595000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 2.780000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.030000 0.995000 1.360000 1.325000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.450000 0.445000 0.825000 ;
+        RECT 0.085000 0.825000 0.340000 1.480000 ;
+        RECT 0.085000 1.480000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.510000  0.995000 0.860000 1.325000 ;
+      RECT 0.595000  1.325000 0.860000 1.865000 ;
+      RECT 0.595000  1.865000 2.575000 2.035000 ;
+      RECT 0.595000  2.205000 1.005000 2.635000 ;
+      RECT 0.710000  0.085000 0.880000 0.825000 ;
+      RECT 1.075000  1.525000 1.700000 1.695000 ;
+      RECT 1.160000  0.450000 1.330000 0.655000 ;
+      RECT 1.160000  0.655000 1.700000 0.825000 ;
+      RECT 1.530000  0.825000 1.700000 1.525000 ;
+      RECT 1.750000  2.215000 2.080000 2.635000 ;
+      RECT 1.870000  0.255000 2.040000 1.455000 ;
+      RECT 1.870000  1.455000 2.575000 1.865000 ;
+      RECT 2.250000  2.035000 2.575000 2.465000 ;
+      RECT 2.270000  0.255000 2.600000 0.735000 ;
+      RECT 2.270000  0.735000 3.440000 0.905000 ;
+      RECT 2.770000  0.085000 2.940000 0.555000 ;
+      RECT 3.050000  1.535000 3.380000 2.635000 ;
+      RECT 3.110000  0.270000 3.440000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_1
+MACRO sky130_fd_sc_hd__o21ba_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.990000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.820000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.885000 1.285000 ;
+        RECT 0.605000 1.285000 0.885000 1.705000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.055000 0.255000 1.385000 0.725000 ;
+        RECT 1.055000 0.725000 2.225000 0.905000 ;
+        RECT 1.055000 0.905000 1.455000 1.445000 ;
+        RECT 1.055000 1.445000 2.225000 1.705000 ;
+        RECT 1.895000 0.255000 2.225000 0.725000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.265000 0.545000 0.855000 ;
+      RECT 0.085000  0.855000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.435000 1.875000 ;
+      RECT 0.085000  1.875000 2.565000 2.045000 ;
+      RECT 0.085000  2.045000 0.435000 2.465000 ;
+      RECT 0.635000  2.215000 0.965000 2.635000 ;
+      RECT 0.715000  0.085000 0.885000 0.905000 ;
+      RECT 1.475000  2.215000 1.805000 2.635000 ;
+      RECT 1.555000  0.085000 1.725000 0.555000 ;
+      RECT 1.625000  1.075000 2.565000 1.275000 ;
+      RECT 2.315000  2.215000 2.645000 2.635000 ;
+      RECT 2.395000  0.085000 2.565000 0.555000 ;
+      RECT 2.395000  0.725000 3.585000 0.895000 ;
+      RECT 2.395000  0.895000 2.565000 1.075000 ;
+      RECT 2.395000  1.445000 2.905000 1.615000 ;
+      RECT 2.395000  1.615000 2.565000 1.875000 ;
+      RECT 2.735000  1.075000 3.135000 1.245000 ;
+      RECT 2.735000  1.245000 2.905000 1.445000 ;
+      RECT 2.805000  0.255000 4.005000 0.475000 ;
+      RECT 2.815000  1.795000 4.380000 1.965000 ;
+      RECT 2.815000  1.965000 2.985000 2.465000 ;
+      RECT 3.200000  2.135000 3.450000 2.635000 ;
+      RECT 3.235000  0.645000 3.585000 0.725000 ;
+      RECT 3.395000  0.895000 3.585000 1.795000 ;
+      RECT 3.685000  2.135000 3.925000 2.295000 ;
+      RECT 3.685000  2.295000 4.765000 2.465000 ;
+      RECT 3.755000  0.475000 4.005000 0.725000 ;
+      RECT 3.755000  0.725000 5.710000 0.905000 ;
+      RECT 4.135000  1.445000 4.380000 1.795000 ;
+      RECT 4.135000  1.965000 4.380000 2.125000 ;
+      RECT 4.175000  0.085000 4.345000 0.555000 ;
+      RECT 4.515000  0.255000 4.845000 0.725000 ;
+      RECT 4.595000  1.455000 5.710000 1.665000 ;
+      RECT 4.595000  1.665000 4.765000 2.295000 ;
+      RECT 4.935000  1.835000 5.265000 2.635000 ;
+      RECT 5.015000  0.085000 5.185000 0.555000 ;
+      RECT 5.355000  0.265000 5.710000 0.725000 ;
+      RECT 5.435000  1.665000 5.710000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_4
+MACRO sky130_fd_sc_hd__o21ba_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.100000 1.075000 3.595000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 1.075000 2.930000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+        RECT 0.595000 1.325000 0.775000 1.695000 ;
+    END
+  END B1_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.255000 1.240000 0.595000 ;
+        RECT 0.945000 0.595000 1.115000 1.495000 ;
+        RECT 0.945000 1.495000 1.350000 1.695000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.430000 0.345000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 0.395000 1.865000 ;
+      RECT 0.085000  1.865000 1.935000 2.035000 ;
+      RECT 0.520000  2.205000 0.910000 2.635000 ;
+      RECT 0.595000  0.085000 0.775000 0.825000 ;
+      RECT 1.285000  0.890000 1.595000 1.060000 ;
+      RECT 1.285000  1.060000 1.455000 1.325000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.415000  2.205000 2.230000 2.635000 ;
+      RECT 1.425000  0.655000 2.275000 0.825000 ;
+      RECT 1.425000  0.825000 1.595000 0.890000 ;
+      RECT 1.765000  0.995000 1.935000 1.865000 ;
+      RECT 1.940000  0.255000 2.275000 0.655000 ;
+      RECT 2.105000  0.825000 2.275000 1.455000 ;
+      RECT 2.105000  1.455000 2.725000 2.035000 ;
+      RECT 2.400000  2.035000 2.725000 2.465000 ;
+      RECT 2.445000  0.365000 2.745000 0.735000 ;
+      RECT 2.445000  0.735000 3.590000 0.905000 ;
+      RECT 2.915000  0.085000 3.085000 0.555000 ;
+      RECT 3.200000  1.875000 3.530000 2.635000 ;
+      RECT 3.255000  0.270000 3.590000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21ba_2
+MACRO sky130_fd_sc_hd__dlygate4sd2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.625000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.570000 0.255000 3.135000 0.825000 ;
+        RECT 2.570000 1.495000 3.135000 2.465000 ;
+        RECT 2.675000 0.825000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.485000 0.715000 ;
+      RECT 0.085000  0.715000 1.030000 0.885000 ;
+      RECT 0.085000  1.785000 1.030000 2.005000 ;
+      RECT 0.085000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.795000  0.885000 1.030000 0.995000 ;
+      RECT 0.795000  0.995000 1.085000 1.325000 ;
+      RECT 0.795000  1.325000 1.030000 1.785000 ;
+      RECT 1.155000  0.255000 1.425000 0.585000 ;
+      RECT 1.155000  2.135000 1.425000 2.465000 ;
+      RECT 1.255000  0.585000 1.425000 1.055000 ;
+      RECT 1.255000  1.055000 2.030000 1.615000 ;
+      RECT 1.255000  1.615000 1.425000 2.135000 ;
+      RECT 1.615000  0.255000 1.875000 0.715000 ;
+      RECT 1.615000  0.715000 2.400000 0.885000 ;
+      RECT 1.615000  1.785000 2.400000 2.005000 ;
+      RECT 1.615000  2.005000 1.875000 2.465000 ;
+      RECT 2.075000  0.085000 2.400000 0.545000 ;
+      RECT 2.075000  2.175000 2.400000 2.635000 ;
+      RECT 2.200000  0.885000 2.400000 0.995000 ;
+      RECT 2.200000  0.995000 2.505000 1.325000 ;
+      RECT 2.200000  1.325000 2.400000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd2_1
+MACRO sky130_fd_sc_hd__dfstp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.575000 1.615000 ;
+        RECT 8.810000 1.615000 9.140000 2.460000 ;
+        RECT 8.890000 0.265000 9.135000 0.765000 ;
+        RECT 8.890000 0.765000 9.575000 0.825000 ;
+        RECT 8.975000 0.825000 9.575000 0.855000 ;
+        RECT 8.975000 1.445000 9.575000 1.495000 ;
+        RECT 8.990000 0.855000 9.575000 0.895000 ;
+        RECT 9.020000 0.895000 9.575000 1.445000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.340000 1.005000 ;
+        RECT 6.660000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.835000 0.805000 ;
+      RECT 0.085000  1.795000 0.835000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.835000 1.795000 ;
+      RECT 1.005000  0.565000 1.235000 2.045000 ;
+      RECT 1.015000  0.345000 1.235000 0.565000 ;
+      RECT 1.015000  2.045000 1.235000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.710000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.710000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.710000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.880000  0.725000 6.150000 0.895000 ;
+      RECT 4.880000  0.895000 5.050000 1.655000 ;
+      RECT 4.880000  1.655000 5.400000 1.965000 ;
+      RECT 5.110000  2.165000 5.740000 2.415000 ;
+      RECT 5.220000  1.065000 5.400000 1.475000 ;
+      RECT 5.570000  1.235000 7.490000 1.405000 ;
+      RECT 5.570000  1.405000 5.740000 1.915000 ;
+      RECT 5.570000  1.915000 6.780000 2.085000 ;
+      RECT 5.570000  2.085000 5.740000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.800000  0.895000 6.150000 1.015000 ;
+      RECT 5.910000  1.575000 7.880000 1.745000 ;
+      RECT 5.920000  2.255000 6.340000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.540000  2.085000 6.780000 2.375000 ;
+      RECT 6.690000  0.085000 7.330000 0.565000 ;
+      RECT 7.010000  1.945000 7.340000 2.635000 ;
+      RECT 7.140000  1.175000 7.490000 1.235000 ;
+      RECT 7.510000  1.745000 7.880000 1.765000 ;
+      RECT 7.510000  1.765000 7.680000 2.375000 ;
+      RECT 7.530000  0.350000 7.880000 0.680000 ;
+      RECT 7.690000  0.680000 7.880000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.220000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.470000  1.495000 8.640000 2.635000 ;
+      RECT 9.305000  0.085000 9.575000 0.595000 ;
+      RECT 9.310000  1.785000 9.575000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.785000 0.775000 1.955000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  0.765000 1.235000 0.935000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.785000 2.615000 1.955000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  0.765000 3.075000 0.935000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  1.785000 5.375000 1.955000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.225000  1.105000 5.395000 1.275000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.755000 0.835000 1.800000 ;
+      RECT 0.545000 1.800000 5.435000 1.940000 ;
+      RECT 0.545000 1.940000 0.835000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_2
+MACRO sky130_fd_sc_hd__dfstp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  1.320000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  8.925000 0.265000  9.170000 0.715000 ;
+        RECT  8.925000 0.715000 10.955000 0.885000 ;
+        RECT  8.925000 1.470000 10.955000 1.640000 ;
+        RECT  8.925000 1.640000  9.170000 2.465000 ;
+        RECT  9.765000 0.265000  9.935000 0.715000 ;
+        RECT  9.765000 1.640000  9.935000 2.465000 ;
+        RECT 10.605000 0.265000 10.955000 0.715000 ;
+        RECT 10.605000 1.640000 10.955000 2.465000 ;
+        RECT 10.725000 0.885000 10.955000 1.470000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.660000 0.735000 7.320000 1.005000 ;
+        RECT 6.660000 1.005000 6.990000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.045000 0.765000 7.215000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.275000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 6.985000 0.735000 7.275000 0.780000 ;
+        RECT 6.985000 0.920000 7.275000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.430000  0.635000  2.125000 0.825000 ;
+      RECT  1.430000  0.825000  1.600000 1.795000 ;
+      RECT  1.430000  1.795000  2.125000 1.965000 ;
+      RECT  1.455000  0.085000  1.785000 0.465000 ;
+      RECT  1.455000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.350000  0.705000  2.570000 1.575000 ;
+      RECT  2.350000  1.575000  2.850000 1.955000 ;
+      RECT  2.360000  2.250000  3.190000 2.420000 ;
+      RECT  2.425000  0.265000  3.440000 0.465000 ;
+      RECT  2.750000  0.645000  3.100000 1.015000 ;
+      RECT  3.020000  1.195000  3.440000 1.235000 ;
+      RECT  3.020000  1.235000  4.370000 1.405000 ;
+      RECT  3.020000  1.405000  3.190000 2.250000 ;
+      RECT  3.270000  0.465000  3.440000 1.195000 ;
+      RECT  3.360000  1.575000  3.610000 1.835000 ;
+      RECT  3.360000  1.835000  4.710000 2.085000 ;
+      RECT  3.430000  2.255000  3.810000 2.635000 ;
+      RECT  3.610000  0.085000  4.020000 0.525000 ;
+      RECT  3.990000  2.085000  4.160000 2.375000 ;
+      RECT  4.120000  1.405000  4.370000 1.565000 ;
+      RECT  4.310000  0.295000  4.560000 0.725000 ;
+      RECT  4.310000  0.725000  4.710000 1.065000 ;
+      RECT  4.330000  2.255000  4.660000 2.635000 ;
+      RECT  4.540000  1.065000  4.710000 1.835000 ;
+      RECT  4.740000  0.085000  5.080000 0.545000 ;
+      RECT  4.880000  0.725000  6.150000 0.895000 ;
+      RECT  4.880000  0.895000  5.050000 1.655000 ;
+      RECT  4.880000  1.655000  5.400000 1.965000 ;
+      RECT  5.110000  2.165000  5.740000 2.415000 ;
+      RECT  5.220000  1.065000  5.400000 1.475000 ;
+      RECT  5.570000  1.235000  7.470000 1.405000 ;
+      RECT  5.570000  1.405000  5.740000 1.915000 ;
+      RECT  5.570000  1.915000  6.780000 2.085000 ;
+      RECT  5.570000  2.085000  5.740000 2.165000 ;
+      RECT  5.640000  0.305000  6.490000 0.475000 ;
+      RECT  5.820000  0.895000  6.150000 1.015000 ;
+      RECT  5.910000  1.575000  7.850000 1.745000 ;
+      RECT  5.920000  2.255000  6.340000 2.635000 ;
+      RECT  6.320000  0.475000  6.490000 1.235000 ;
+      RECT  6.540000  2.085000  6.780000 2.375000 ;
+      RECT  6.670000  0.085000  7.330000 0.565000 ;
+      RECT  7.010000  1.945000  7.340000 2.635000 ;
+      RECT  7.140000  1.175000  7.470000 1.235000 ;
+      RECT  7.510000  0.350000  7.850000 0.680000 ;
+      RECT  7.510000  1.745000  7.850000 1.765000 ;
+      RECT  7.510000  1.765000  7.680000 2.375000 ;
+      RECT  7.640000  0.680000  7.850000 1.575000 ;
+      RECT  7.950000  1.915000  8.280000 2.425000 ;
+      RECT  8.030000  0.345000  8.280000 1.055000 ;
+      RECT  8.030000  1.055000 10.555000 1.275000 ;
+      RECT  8.030000  1.275000  8.280000 1.915000 ;
+      RECT  8.460000  0.085000  8.745000 0.545000 ;
+      RECT  8.460000  1.835000  8.745000 2.635000 ;
+      RECT  9.340000  0.085000  9.595000 0.545000 ;
+      RECT  9.340000  1.810000  9.595000 2.635000 ;
+      RECT 10.105000  0.085000 10.435000 0.545000 ;
+      RECT 10.105000  1.810000 10.435000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.615000  1.785000  0.785000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.225000  1.105000  5.395000 1.275000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.555000 1.755000 0.845000 1.800000 ;
+      RECT 0.555000 1.800000 5.435000 1.940000 ;
+      RECT 0.555000 1.940000 0.845000 1.985000 ;
+      RECT 1.005000 0.735000 1.295000 0.780000 ;
+      RECT 1.005000 0.780000 3.135000 0.920000 ;
+      RECT 1.005000 0.920000 1.295000 0.965000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 5.455000 1.260000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 5.165000 1.075000 5.455000 1.120000 ;
+      RECT 5.165000 1.260000 5.455000 1.305000 ;
+  END
+END sky130_fd_sc_hd__dfstp_4
+MACRO sky130_fd_sc_hd__dfstp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.770000 1.005000 2.180000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.945000 0.265000 9.200000 0.795000 ;
+        RECT 8.945000 1.655000 9.200000 2.325000 ;
+        RECT 9.020000 0.795000 9.200000 1.655000 ;
+    END
+  END Q
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.610000 0.735000 4.020000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.850000 0.765000 4.020000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.680000 0.735000 7.340000 1.005000 ;
+        RECT 6.680000 1.005000 7.010000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.110000 0.765000 7.280000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.790000 0.735000 4.080000 0.780000 ;
+        RECT 3.790000 0.780000 7.340000 0.920000 ;
+        RECT 3.790000 0.920000 4.080000 0.965000 ;
+        RECT 7.050000 0.735000 7.340000 0.780000 ;
+        RECT 7.050000 0.920000 7.340000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.240000 2.465000 ;
+      RECT 1.430000  0.635000 2.125000 0.825000 ;
+      RECT 1.430000  0.825000 1.600000 1.795000 ;
+      RECT 1.430000  1.795000 2.125000 1.965000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.135000 1.785000 2.635000 ;
+      RECT 1.955000  0.305000 2.125000 0.635000 ;
+      RECT 1.955000  1.965000 2.125000 2.465000 ;
+      RECT 2.350000  0.705000 2.570000 1.575000 ;
+      RECT 2.350000  1.575000 2.850000 1.955000 ;
+      RECT 2.360000  2.250000 3.190000 2.420000 ;
+      RECT 2.425000  0.265000 3.440000 0.465000 ;
+      RECT 2.750000  0.645000 3.100000 1.015000 ;
+      RECT 3.020000  1.195000 3.440000 1.235000 ;
+      RECT 3.020000  1.235000 4.370000 1.405000 ;
+      RECT 3.020000  1.405000 3.190000 2.250000 ;
+      RECT 3.270000  0.465000 3.440000 1.195000 ;
+      RECT 3.360000  1.575000 3.610000 1.835000 ;
+      RECT 3.360000  1.835000 4.730000 2.085000 ;
+      RECT 3.430000  2.255000 3.810000 2.635000 ;
+      RECT 3.610000  0.085000 4.020000 0.525000 ;
+      RECT 3.990000  2.085000 4.160000 2.375000 ;
+      RECT 4.120000  1.405000 4.370000 1.565000 ;
+      RECT 4.310000  0.295000 4.560000 0.725000 ;
+      RECT 4.310000  0.725000 4.730000 1.065000 ;
+      RECT 4.330000  2.255000 4.660000 2.635000 ;
+      RECT 4.540000  1.065000 4.730000 1.835000 ;
+      RECT 4.760000  0.085000 5.080000 0.545000 ;
+      RECT 4.900000  0.725000 6.150000 0.895000 ;
+      RECT 4.900000  0.895000 5.070000 1.655000 ;
+      RECT 4.900000  1.655000 5.420000 1.965000 ;
+      RECT 5.130000  2.165000 5.760000 2.415000 ;
+      RECT 5.240000  1.065000 5.420000 1.475000 ;
+      RECT 5.590000  1.235000 7.490000 1.405000 ;
+      RECT 5.590000  1.405000 5.760000 1.915000 ;
+      RECT 5.590000  1.915000 6.800000 2.085000 ;
+      RECT 5.590000  2.085000 5.760000 2.165000 ;
+      RECT 5.640000  0.305000 6.490000 0.475000 ;
+      RECT 5.820000  0.895000 6.150000 1.015000 ;
+      RECT 5.930000  1.575000 7.850000 1.745000 ;
+      RECT 5.940000  2.255000 6.360000 2.635000 ;
+      RECT 6.320000  0.475000 6.490000 1.235000 ;
+      RECT 6.560000  2.085000 6.800000 2.375000 ;
+      RECT 6.690000  0.085000 7.350000 0.565000 ;
+      RECT 7.030000  1.945000 7.360000 2.635000 ;
+      RECT 7.160000  1.175000 7.490000 1.235000 ;
+      RECT 7.530000  0.350000 7.850000 0.680000 ;
+      RECT 7.530000  1.745000 7.850000 1.765000 ;
+      RECT 7.530000  1.765000 7.700000 2.375000 ;
+      RECT 7.660000  0.680000 7.850000 1.575000 ;
+      RECT 7.970000  1.915000 8.300000 2.425000 ;
+      RECT 8.050000  0.345000 8.300000 0.995000 ;
+      RECT 8.050000  0.995000 8.850000 1.325000 ;
+      RECT 8.050000  1.325000 8.300000 1.915000 ;
+      RECT 8.480000  0.085000 8.765000 0.545000 ;
+      RECT 8.480000  1.835000 8.765000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.785000 0.780000 1.955000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  0.765000 3.100000 0.935000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.250000  1.105000 5.420000 1.275000 ;
+      RECT 5.250000  1.785000 5.420000 1.955000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.755000 0.840000 1.800000 ;
+      RECT 0.550000 1.800000 5.480000 1.940000 ;
+      RECT 0.550000 1.940000 0.840000 1.985000 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 3.160000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 0.735000 3.160000 0.780000 ;
+      RECT 2.870000 0.920000 3.160000 0.965000 ;
+      RECT 2.945000 0.965000 3.160000 1.120000 ;
+      RECT 2.945000 1.120000 5.480000 1.260000 ;
+      RECT 5.190000 1.075000 5.480000 1.120000 ;
+      RECT 5.190000 1.260000 5.480000 1.305000 ;
+      RECT 5.190000 1.755000 5.480000 1.800000 ;
+      RECT 5.190000 1.940000 5.480000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfstp_1
+MACRO sky130_fd_sc_hd__o211ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.395000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+        RECT 0.605000 1.325000 0.775000 2.250000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.300000 0.995000 1.795000 1.325000 ;
+        RECT 1.470000 1.325000 1.795000 1.615000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 1.075000 2.300000 1.615000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.418250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.595000 1.275000 1.815000 ;
+        RECT 0.945000 1.815000 2.675000 2.045000 ;
+        RECT 0.945000 2.045000 1.275000 2.445000 ;
+        RECT 1.965000 0.255000 2.675000 0.845000 ;
+        RECT 1.975000 2.045000 2.675000 2.465000 ;
+        RECT 2.470000 0.845000 2.675000 1.815000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.455000 0.825000 ;
+      RECT 0.095000  1.575000 0.425000 2.635000 ;
+      RECT 0.595000  0.085000 0.925000 0.445000 ;
+      RECT 1.125000  0.255000 1.455000 0.615000 ;
+      RECT 1.445000  2.275000 1.775000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_1
+MACRO sky130_fd_sc_hd__o211ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.505000 1.075000 4.455000 1.245000 ;
+        RECT 3.560000 1.245000 4.455000 1.295000 ;
+        RECT 4.115000 0.765000 4.455000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.365000 1.075000 3.335000 1.355000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.905000 1.365000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.375000 1.970000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.022000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 0.670000 0.875000 1.540000 ;
+        RECT 0.545000 1.540000 3.155000 1.710000 ;
+        RECT 0.545000 1.710000 0.805000 2.465000 ;
+        RECT 1.475000 1.710000 1.665000 2.465000 ;
+        RECT 2.825000 1.710000 3.155000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.255000 2.165000 0.445000 ;
+      RECT 0.115000  2.175000 0.375000 2.635000 ;
+      RECT 0.975000  1.915000 1.305000 2.635000 ;
+      RECT 1.045000  0.445000 2.165000 0.465000 ;
+      RECT 1.045000  0.465000 1.235000 0.890000 ;
+      RECT 1.405000  0.635000 3.945000 0.845000 ;
+      RECT 1.835000  1.915000 2.165000 2.635000 ;
+      RECT 2.395000  0.085000 2.725000 0.445000 ;
+      RECT 2.395000  2.100000 2.655000 2.295000 ;
+      RECT 2.395000  2.295000 3.515000 2.465000 ;
+      RECT 3.255000  0.085000 3.585000 0.445000 ;
+      RECT 3.325000  1.525000 4.445000 1.695000 ;
+      RECT 3.325000  1.695000 3.515000 2.295000 ;
+      RECT 3.685000  1.865000 4.015000 2.635000 ;
+      RECT 3.755000  0.515000 3.945000 0.635000 ;
+      RECT 4.115000  0.085000 4.445000 0.445000 ;
+      RECT 4.185000  1.695000 4.445000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o211ai_2
+MACRO sky130_fd_sc_hd__o211ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.400000 1.075000 1.410000 1.330000 ;
+        RECT 0.965000 1.330000 1.410000 1.515000 ;
+        RECT 0.965000 1.515000 3.630000 1.685000 ;
+        RECT 3.350000 0.995000 3.630000 1.515000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 3.180000 1.345000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 0.995000 4.975000 1.410000 ;
+        RECT 4.260000 1.410000 4.975000 1.515000 ;
+        RECT 4.260000 1.515000 7.000000 1.685000 ;
+        RECT 6.830000 0.995000 7.000000 1.515000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.370000 1.075000 6.440000 1.345000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  2.001000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 1.855000 7.680000 2.025000 ;
+        RECT 1.805000 2.025000 3.470000 2.105000 ;
+        RECT 4.045000 2.025000 7.680000 2.105000 ;
+        RECT 5.280000 0.270000 6.735000 0.450000 ;
+        RECT 6.565000 0.450000 6.735000 0.655000 ;
+        RECT 6.565000 0.655000 7.350000 0.825000 ;
+        RECT 7.170000 0.825000 7.350000 1.340000 ;
+        RECT 7.170000 1.340000 7.680000 1.855000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  1.665000 0.385000 2.635000 ;
+      RECT 0.155000  0.535000 0.355000 0.625000 ;
+      RECT 0.155000  0.625000 1.240000 0.695000 ;
+      RECT 0.155000  0.695000 3.835000 0.795000 ;
+      RECT 0.155000  0.795000 3.130000 0.865000 ;
+      RECT 0.155000  0.865000 1.795000 0.905000 ;
+      RECT 0.525000  0.085000 0.855000 0.445000 ;
+      RECT 0.555000  1.860000 0.775000 1.935000 ;
+      RECT 0.555000  1.935000 1.635000 2.105000 ;
+      RECT 0.555000  2.105000 0.775000 2.190000 ;
+      RECT 0.955000  2.275000 1.285000 2.635000 ;
+      RECT 1.025000  0.425000 1.240000 0.625000 ;
+      RECT 1.455000  2.105000 1.635000 2.275000 ;
+      RECT 1.455000  2.275000 3.435000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.525000 ;
+      RECT 1.775000  0.625000 3.835000 0.695000 ;
+      RECT 2.245000  0.085000 2.575000 0.445000 ;
+      RECT 3.105000  0.085000 3.435000 0.445000 ;
+      RECT 3.605000  0.255000 4.920000 0.455000 ;
+      RECT 3.605000  0.455000 3.835000 0.625000 ;
+      RECT 3.615000  2.195000 3.885000 2.635000 ;
+      RECT 4.005000  0.635000 6.170000 0.815000 ;
+      RECT 4.435000  2.275000 4.765000 2.635000 ;
+      RECT 5.280000  2.275000 5.610000 2.635000 ;
+      RECT 6.120000  2.275000 6.455000 2.635000 ;
+      RECT 6.980000  0.310000 7.680000 0.480000 ;
+      RECT 7.355000  2.275000 7.685000 2.635000 ;
+      RECT 7.510000  0.480000 7.680000 0.595000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.425000 1.240000 0.595000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.510000  0.425000 7.680000 0.595000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.395000 1.300000 0.440000 ;
+      RECT 1.010000 0.440000 7.740000 0.580000 ;
+      RECT 1.010000 0.580000 1.300000 0.625000 ;
+      RECT 7.450000 0.395000 7.740000 0.440000 ;
+      RECT 7.450000 0.580000 7.740000 0.625000 ;
+  END
+END sky130_fd_sc_hd__o211ai_4
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.065000 3.125000 1.275000 ;
+        RECT 2.910000 1.275000 3.125000 1.965000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.480000 1.065000 0.920000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.895000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.415000 0.895000 1.665000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.895000 ;
+      RECT 0.085000  1.445000 1.245000 1.655000 ;
+      RECT 0.085000  1.655000 0.405000 2.465000 ;
+      RECT 0.575000  1.825000 0.825000 2.635000 ;
+      RECT 0.995000  1.655000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.125000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.835000  1.445000 2.090000 1.890000 ;
+      RECT 1.835000  1.890000 2.125000 2.295000 ;
+      RECT 1.875000  0.085000 2.045000 0.895000 ;
+      RECT 1.875000  1.075000 2.430000 1.245000 ;
+      RECT 2.215000  0.725000 2.565000 0.895000 ;
+      RECT 2.215000  0.895000 2.430000 1.075000 ;
+      RECT 2.260000  1.245000 2.430000 1.445000 ;
+      RECT 2.260000  1.445000 2.565000 1.615000 ;
+      RECT 2.395000  0.445000 2.565000 0.725000 ;
+      RECT 2.395000  1.615000 2.565000 2.460000 ;
+      RECT 2.775000  0.085000 3.030000 0.845000 ;
+      RECT 2.775000  2.145000 3.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  16.56000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.315000 0.995000 ;
+        RECT 0.085000 0.995000 0.665000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.450000 1.075000 15.650000 1.285000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  4.968000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  2.925000 0.255000  3.255000 0.725000 ;
+        RECT  2.925000 0.725000 16.475000 0.905000 ;
+        RECT  3.765000 0.255000  4.095000 0.725000 ;
+        RECT  4.605000 0.255000  4.935000 0.725000 ;
+        RECT  5.445000 0.255000  5.775000 0.725000 ;
+        RECT  6.285000 0.255000  6.615000 0.725000 ;
+        RECT  7.125000 0.255000  7.455000 0.725000 ;
+        RECT  7.965000 0.255000  8.295000 0.725000 ;
+        RECT  8.805000 0.255000  9.135000 0.725000 ;
+        RECT  9.645000 0.255000  9.975000 0.725000 ;
+        RECT  9.685000 1.455000 16.475000 1.625000 ;
+        RECT  9.685000 1.625000  9.935000 2.125000 ;
+        RECT 10.485000 0.255000 10.815000 0.725000 ;
+        RECT 10.525000 1.625000 10.775000 2.125000 ;
+        RECT 11.325000 0.255000 11.655000 0.725000 ;
+        RECT 11.365000 1.625000 11.615000 2.125000 ;
+        RECT 12.165000 0.255000 12.495000 0.725000 ;
+        RECT 12.205000 1.625000 12.455000 2.125000 ;
+        RECT 13.005000 0.255000 13.335000 0.725000 ;
+        RECT 13.045000 1.625000 13.295000 2.125000 ;
+        RECT 13.845000 0.255000 14.175000 0.725000 ;
+        RECT 13.885000 1.625000 14.135000 2.125000 ;
+        RECT 14.685000 0.255000 15.015000 0.725000 ;
+        RECT 14.725000 1.625000 14.975000 2.125000 ;
+        RECT 15.525000 0.255000 15.855000 0.725000 ;
+        RECT 15.565000 1.625000 15.815000 2.125000 ;
+        RECT 15.820000 0.905000 16.475000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 16.560000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 16.750000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 16.560000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 16.560000 0.085000 ;
+      RECT  0.000000  2.635000 16.560000 2.805000 ;
+      RECT  0.300000  1.495000  0.515000 2.635000 ;
+      RECT  0.485000  0.085000  0.815000 0.825000 ;
+      RECT  0.685000  1.495000  1.015000 2.465000 ;
+      RECT  0.835000  1.065000  2.035000 1.075000 ;
+      RECT  0.835000  1.075000  9.280000 1.285000 ;
+      RECT  0.835000  1.285000  1.015000 1.495000 ;
+      RECT  0.985000  0.255000  1.195000 1.065000 ;
+      RECT  1.185000  1.455000  1.355000 2.635000 ;
+      RECT  1.365000  0.085000  1.615000 0.895000 ;
+      RECT  1.525000  1.285000  1.855000 2.465000 ;
+      RECT  1.785000  0.255000  2.035000 1.065000 ;
+      RECT  2.025000  1.455000  2.270000 2.635000 ;
+      RECT  2.205000  0.085000  2.755000 0.905000 ;
+      RECT  2.475000  1.455000  9.515000 1.665000 ;
+      RECT  2.475000  1.665000  2.795000 2.465000 ;
+      RECT  2.965000  1.835000  3.215000 2.635000 ;
+      RECT  3.385000  1.665000  3.635000 2.465000 ;
+      RECT  3.425000  0.085000  3.595000 0.555000 ;
+      RECT  3.805000  1.835000  4.055000 2.635000 ;
+      RECT  4.225000  1.665000  4.475000 2.465000 ;
+      RECT  4.265000  0.085000  4.435000 0.555000 ;
+      RECT  4.645000  1.835000  4.895000 2.635000 ;
+      RECT  5.065000  1.665000  5.315000 2.465000 ;
+      RECT  5.105000  0.085000  5.275000 0.555000 ;
+      RECT  5.485000  1.835000  5.735000 2.635000 ;
+      RECT  5.905000  1.665000  6.155000 2.465000 ;
+      RECT  5.945000  0.085000  6.115000 0.555000 ;
+      RECT  6.325000  1.835000  6.575000 2.635000 ;
+      RECT  6.745000  1.665000  6.995000 2.465000 ;
+      RECT  6.785000  0.085000  6.955000 0.555000 ;
+      RECT  7.165000  1.835000  7.415000 2.635000 ;
+      RECT  7.585000  1.665000  7.835000 2.465000 ;
+      RECT  7.625000  0.085000  7.795000 0.555000 ;
+      RECT  8.005000  1.835000  8.255000 2.635000 ;
+      RECT  8.425000  1.665000  8.675000 2.465000 ;
+      RECT  8.465000  0.085000  8.635000 0.555000 ;
+      RECT  8.845000  1.835000  9.095000 2.635000 ;
+      RECT  9.265000  1.665000  9.515000 2.295000 ;
+      RECT  9.265000  2.295000 16.235000 2.465000 ;
+      RECT  9.305000  0.085000  9.475000 0.555000 ;
+      RECT 10.105000  1.795000 10.355000 2.295000 ;
+      RECT 10.145000  0.085000 10.315000 0.555000 ;
+      RECT 10.945000  1.795000 11.195000 2.295000 ;
+      RECT 10.985000  0.085000 11.155000 0.555000 ;
+      RECT 11.785000  1.795000 12.035000 2.295000 ;
+      RECT 11.825000  0.085000 11.995000 0.555000 ;
+      RECT 12.625000  1.795000 12.875000 2.295000 ;
+      RECT 12.665000  0.085000 12.835000 0.555000 ;
+      RECT 13.465000  1.795000 13.715000 2.295000 ;
+      RECT 13.505000  0.085000 13.675000 0.555000 ;
+      RECT 14.305000  1.795000 14.555000 2.295000 ;
+      RECT 14.345000  0.085000 14.515000 0.555000 ;
+      RECT 15.145000  1.795000 15.395000 2.295000 ;
+      RECT 15.185000  0.085000 15.355000 0.555000 ;
+      RECT 15.985000  1.795000 16.235000 2.295000 ;
+      RECT 16.025000  0.085000 16.295000 0.555000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+      RECT 14.405000 -0.085000 14.575000 0.085000 ;
+      RECT 14.405000  2.635000 14.575000 2.805000 ;
+      RECT 14.865000 -0.085000 15.035000 0.085000 ;
+      RECT 14.865000  2.635000 15.035000 2.805000 ;
+      RECT 15.325000 -0.085000 15.495000 0.085000 ;
+      RECT 15.325000  2.635000 15.495000 2.805000 ;
+      RECT 15.785000 -0.085000 15.955000 0.085000 ;
+      RECT 15.785000  2.635000 15.955000 2.805000 ;
+      RECT 16.245000 -0.085000 16.415000 0.085000 ;
+      RECT 16.245000  2.635000 16.415000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_16
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.445000 1.075000 4.975000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 1.800000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 3.385000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 0.905000 2.625000 1.445000 ;
+        RECT 2.295000 1.445000 3.305000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.365000 0.905000 ;
+      RECT 0.085000  1.455000 2.125000 1.665000 ;
+      RECT 0.085000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.855000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 2.795000  1.075000 4.275000 1.275000 ;
+      RECT 3.475000  1.575000 3.855000 2.295000 ;
+      RECT 3.555000  0.085000 3.845000 0.905000 ;
+      RECT 4.025000  0.255000 4.355000 0.815000 ;
+      RECT 4.025000  0.815000 4.275000 1.075000 ;
+      RECT 4.025000  1.275000 4.275000 1.575000 ;
+      RECT 4.025000  1.575000 4.355000 2.465000 ;
+      RECT 4.525000  0.085000 4.815000 0.905000 ;
+      RECT 4.525000  1.495000 4.930000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_4
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.725000 0.325000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 1.065000 1.325000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.235000 0.255000 1.565000 0.725000 ;
+        RECT 1.235000 0.725000 2.215000 0.895000 ;
+        RECT 1.655000 1.850000 2.215000 2.465000 ;
+        RECT 2.035000 0.895000 2.215000 1.850000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.330000  0.370000 0.675000 0.545000 ;
+      RECT 0.415000  1.510000 1.705000 1.680000 ;
+      RECT 0.415000  1.680000 0.675000 1.905000 ;
+      RECT 0.495000  0.545000 0.675000 1.510000 ;
+      RECT 0.855000  0.085000 1.065000 0.895000 ;
+      RECT 0.875000  1.855000 1.205000 2.635000 ;
+      RECT 1.535000  1.075000 1.865000 1.245000 ;
+      RECT 1.535000  1.245000 1.705000 1.510000 ;
+      RECT 1.735000  0.085000 2.120000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_1
+MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.265000 1.065000 ;
+        RECT 0.085000 1.065000 0.575000 1.285000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.270000 1.075000 8.010000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.005000 0.255000 2.335000 0.725000 ;
+        RECT 2.005000 0.725000 8.655000 0.905000 ;
+        RECT 2.845000 0.255000 3.175000 0.725000 ;
+        RECT 3.685000 0.255000 4.015000 0.725000 ;
+        RECT 4.525000 0.255000 4.855000 0.725000 ;
+        RECT 5.365000 0.255000 5.695000 0.725000 ;
+        RECT 5.405000 1.445000 8.655000 1.615000 ;
+        RECT 5.405000 1.615000 5.655000 2.125000 ;
+        RECT 6.205000 0.255000 6.535000 0.725000 ;
+        RECT 6.245000 1.615000 6.495000 2.125000 ;
+        RECT 7.045000 0.255000 7.375000 0.725000 ;
+        RECT 7.085000 1.615000 7.335000 2.125000 ;
+        RECT 7.885000 0.255000 8.215000 0.725000 ;
+        RECT 7.925000 1.615000 8.175000 2.125000 ;
+        RECT 8.180000 0.905000 8.655000 1.445000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.195000  1.455000 0.415000 2.635000 ;
+      RECT 0.435000  0.085000 0.655000 0.895000 ;
+      RECT 0.585000  1.455000 0.915000 2.465000 ;
+      RECT 0.745000  1.065000 1.155000 1.075000 ;
+      RECT 0.745000  1.075000 5.000000 1.285000 ;
+      RECT 0.745000  1.285000 0.915000 1.455000 ;
+      RECT 0.825000  0.255000 1.155000 1.065000 ;
+      RECT 1.085000  1.455000 1.330000 2.635000 ;
+      RECT 1.325000  0.085000 1.835000 0.905000 ;
+      RECT 1.555000  1.455000 5.235000 1.665000 ;
+      RECT 1.555000  1.665000 1.875000 2.465000 ;
+      RECT 2.045000  1.835000 2.295000 2.635000 ;
+      RECT 2.465000  1.665000 2.715000 2.465000 ;
+      RECT 2.505000  0.085000 2.675000 0.555000 ;
+      RECT 2.885000  1.835000 3.135000 2.635000 ;
+      RECT 3.305000  1.665000 3.555000 2.465000 ;
+      RECT 3.345000  0.085000 3.515000 0.555000 ;
+      RECT 3.725000  1.835000 3.975000 2.635000 ;
+      RECT 4.145000  1.665000 4.395000 2.465000 ;
+      RECT 4.185000  0.085000 4.355000 0.555000 ;
+      RECT 4.565000  1.835000 4.815000 2.635000 ;
+      RECT 4.985000  1.665000 5.235000 2.295000 ;
+      RECT 4.985000  2.295000 8.595000 2.465000 ;
+      RECT 5.025000  0.085000 5.195000 0.555000 ;
+      RECT 5.825000  1.785000 6.075000 2.295000 ;
+      RECT 5.865000  0.085000 6.035000 0.555000 ;
+      RECT 6.665000  1.785000 6.915000 2.295000 ;
+      RECT 6.705000  0.085000 6.875000 0.555000 ;
+      RECT 7.505000  1.785000 7.755000 2.295000 ;
+      RECT 7.545000  0.085000 7.715000 0.555000 ;
+      RECT 8.345000  1.785000 8.595000 2.295000 ;
+      RECT 8.385000  0.085000 8.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrc_8
+MACRO sky130_fd_sc_hd__o22a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.350000 1.075000 4.680000 1.445000 ;
+        RECT 4.350000 1.445000 5.735000 1.615000 ;
+        RECT 5.565000 1.075000 6.355000 1.275000 ;
+        RECT 5.565000 1.275000 5.735000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.900000 1.075000 5.395000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.420000 1.075000 2.955000 1.445000 ;
+        RECT 2.420000 1.445000 4.180000 1.615000 ;
+        RECT 3.850000 1.075000 4.180000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.125000 1.075000 3.680000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.725000 1.770000 0.905000 ;
+        RECT 0.085000 0.905000 0.370000 1.445000 ;
+        RECT 0.085000 1.445000 1.730000 1.615000 ;
+        RECT 0.600000 0.265000 0.930000 0.725000 ;
+        RECT 0.640000 1.615000 0.890000 2.465000 ;
+        RECT 1.440000 0.255000 1.770000 0.725000 ;
+        RECT 1.480000 1.615000 1.730000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.220000  1.825000 0.470000 2.635000 ;
+      RECT 0.260000  0.085000 0.430000 0.555000 ;
+      RECT 0.540000  1.075000 2.230000 1.275000 ;
+      RECT 1.060000  1.795000 1.310000 2.635000 ;
+      RECT 1.100000  0.085000 1.270000 0.555000 ;
+      RECT 1.900000  1.275000 2.230000 1.785000 ;
+      RECT 1.900000  1.785000 5.270000 1.955000 ;
+      RECT 1.900000  2.125000 2.670000 2.635000 ;
+      RECT 1.940000  0.085000 2.110000 0.555000 ;
+      RECT 1.940000  0.735000 3.970000 0.905000 ;
+      RECT 1.940000  0.905000 2.230000 1.075000 ;
+      RECT 2.380000  0.255000 4.470000 0.475000 ;
+      RECT 2.415000  0.645000 3.970000 0.735000 ;
+      RECT 2.840000  2.125000 3.090000 2.295000 ;
+      RECT 2.840000  2.295000 3.930000 2.465000 ;
+      RECT 3.260000  1.955000 3.510000 2.125000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 4.100000  2.125000 4.430000 2.635000 ;
+      RECT 4.140000  0.475000 4.470000 0.735000 ;
+      RECT 4.140000  0.735000 6.150000 0.905000 ;
+      RECT 4.600000  2.125000 4.850000 2.295000 ;
+      RECT 4.600000  2.295000 5.690000 2.465000 ;
+      RECT 4.640000  0.085000 4.810000 0.555000 ;
+      RECT 4.980000  0.255000 5.310000 0.725000 ;
+      RECT 4.980000  0.725000 6.150000 0.735000 ;
+      RECT 5.020000  1.955000 5.270000 2.125000 ;
+      RECT 5.440000  1.785000 5.690000 2.295000 ;
+      RECT 5.480000  0.085000 5.650000 0.555000 ;
+      RECT 5.820000  0.255000 6.150000 0.725000 ;
+      RECT 5.905000  1.455000 6.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_4
+MACRO sky130_fd_sc_hd__o22a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.670000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.495000 1.325000 ;
+        RECT 2.315000 1.325000 2.495000 1.445000 ;
+        RECT 2.315000 1.445000 2.645000 1.615000 ;
+        RECT 2.445000 1.615000 2.645000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.335000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 1.075000 1.995000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.535000  0.715000 1.785000 0.895000 ;
+      RECT 0.535000  0.895000 0.810000 1.495000 ;
+      RECT 0.535000  1.495000 2.145000 1.705000 ;
+      RECT 0.555000  1.875000 1.340000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 1.035000  0.295000 2.285000 0.475000 ;
+      RECT 1.420000  0.645000 1.785000 0.715000 ;
+      RECT 1.735000  1.705000 2.145000 1.805000 ;
+      RECT 1.735000  1.805000 2.120000 2.465000 ;
+      RECT 1.955000  0.475000 2.285000 0.695000 ;
+      RECT 1.955000  0.695000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.815000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_1
+MACRO sky130_fd_sc_hd__o22a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.095000 1.075000 3.590000 1.275000 ;
+        RECT 3.270000 1.275000 3.590000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 2.925000 1.325000 ;
+        RECT 2.745000 1.325000 2.925000 1.445000 ;
+        RECT 2.745000 1.445000 3.100000 1.615000 ;
+        RECT 2.900000 1.615000 3.100000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 1.075000 1.790000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.425000 1.325000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.365000 0.805000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.085000 0.300000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.115000  1.445000 0.365000 2.635000 ;
+      RECT 0.185000  0.085000 0.355000 0.885000 ;
+      RECT 0.975000  0.715000 2.215000 0.895000 ;
+      RECT 0.975000  0.895000 1.255000 1.495000 ;
+      RECT 0.975000  1.495000 2.575000 1.705000 ;
+      RECT 0.995000  1.875000 1.795000 2.635000 ;
+      RECT 1.025000  0.085000 1.205000 0.545000 ;
+      RECT 1.465000  0.295000 2.730000 0.475000 ;
+      RECT 1.850000  0.645000 2.215000 0.715000 ;
+      RECT 2.190000  1.705000 2.575000 2.465000 ;
+      RECT 2.390000  0.475000 2.730000 0.695000 ;
+      RECT 2.390000  0.695000 3.590000 0.825000 ;
+      RECT 2.560000  0.825000 3.590000 0.865000 ;
+      RECT 2.915000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.280000 3.590000 0.695000 ;
+      RECT 3.270000  1.795000 3.590000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22a_2
+MACRO sky130_fd_sc_hd__nor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 1.075000 1.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.775000 1.665000 ;
+        RECT 0.095000 1.665000 0.425000 2.450000 ;
+        RECT 0.515000 0.255000 0.845000 0.895000 ;
+        RECT 0.605000 0.895000 0.775000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.895000 ;
+      RECT 0.955000  1.495000 1.285000 2.635000 ;
+      RECT 1.015000  0.085000 1.285000 0.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_1
+MACRO sky130_fd_sc_hd__nor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 1.075000 1.800000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.120000 1.075000 3.485000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 4.055000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 2.295000 1.445000 4.055000 1.745000 ;
+        RECT 2.295000 1.745000 2.465000 2.125000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.135000 1.745000 3.305000 2.125000 ;
+        RECT 3.655000 0.905000 4.055000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.465000 ;
+      RECT 1.375000  1.835000 1.625000 2.635000 ;
+      RECT 1.795000  1.665000 2.125000 2.295000 ;
+      RECT 1.795000  2.295000 3.890000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.635000  1.935000 2.965000 2.295000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.475000  1.915000 3.890000 2.295000 ;
+      RECT 3.555000  0.085000 3.840000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_4
+MACRO sky130_fd_sc_hd__nor2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.360000 1.075000 3.530000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.800000 1.075000 6.540000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.484000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 7.275000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 2.215000 0.255000 2.545000 0.725000 ;
+        RECT 3.055000 0.255000 3.385000 0.725000 ;
+        RECT 3.895000 0.255000 4.225000 0.725000 ;
+        RECT 3.935000 1.445000 7.275000 1.615000 ;
+        RECT 3.935000 1.615000 4.185000 2.125000 ;
+        RECT 4.735000 0.255000 5.065000 0.725000 ;
+        RECT 4.775000 1.615000 5.025000 2.125000 ;
+        RECT 5.575000 0.255000 5.905000 0.725000 ;
+        RECT 5.615000 1.615000 5.865000 2.125000 ;
+        RECT 6.415000 0.255000 6.745000 0.725000 ;
+        RECT 6.455000 1.615000 6.705000 2.125000 ;
+        RECT 6.710000 0.905000 7.275000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 3.765000 1.665000 ;
+      RECT 0.090000  1.665000 0.405000 2.465000 ;
+      RECT 0.575000  1.835000 0.825000 2.635000 ;
+      RECT 0.995000  1.665000 1.245000 2.465000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.415000  1.835000 1.665000 2.635000 ;
+      RECT 1.835000  1.665000 2.085000 2.465000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.255000  1.835000 2.505000 2.635000 ;
+      RECT 2.675000  1.665000 2.925000 2.465000 ;
+      RECT 2.715000  0.085000 2.885000 0.555000 ;
+      RECT 3.095000  1.835000 3.345000 2.635000 ;
+      RECT 3.515000  1.665000 3.765000 2.295000 ;
+      RECT 3.515000  2.295000 7.125000 2.465000 ;
+      RECT 3.555000  0.085000 3.725000 0.555000 ;
+      RECT 4.355000  1.785000 4.605000 2.295000 ;
+      RECT 4.395000  0.085000 4.565000 0.555000 ;
+      RECT 5.195000  1.785000 5.445000 2.295000 ;
+      RECT 5.235000  0.085000 5.405000 0.555000 ;
+      RECT 6.035000  1.785000 6.285000 2.295000 ;
+      RECT 6.075000  0.085000 6.245000 0.555000 ;
+      RECT 6.875000  1.785000 7.125000 2.295000 ;
+      RECT 6.915000  0.085000 7.205000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_8
+MACRO sky130_fd_sc_hd__nor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.810000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 1.075000 1.750000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.255000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 1.705000 0.735000 ;
+        RECT 0.535000 0.735000 2.135000 0.905000 ;
+        RECT 1.375000 0.255000 1.705000 0.725000 ;
+        RECT 1.375000 1.445000 2.135000 1.665000 ;
+        RECT 1.375000 1.665000 1.705000 2.125000 ;
+        RECT 1.920000 0.905000 2.135000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.365000 0.905000 ;
+      RECT 0.090000  1.455000 1.205000 1.665000 ;
+      RECT 0.090000  1.665000 0.365000 2.465000 ;
+      RECT 0.535000  1.835000 0.865000 2.635000 ;
+      RECT 1.035000  0.085000 1.205000 0.555000 ;
+      RECT 1.035000  1.665000 1.205000 2.295000 ;
+      RECT 1.035000  2.295000 2.175000 2.465000 ;
+      RECT 1.875000  0.085000 2.165000 0.555000 ;
+      RECT 1.875000  1.835000 2.175000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor2_2
+MACRO sky130_fd_sc_hd__a211o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.485000 0.995000 2.060000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.025000 0.995000 1.305000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.240000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 0.995000 3.125000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.265000 0.425000 1.685000 ;
+        RECT 0.090000 1.685000 0.355000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.525000  1.915000 0.855000 2.635000 ;
+      RECT 0.600000  0.625000 3.085000 0.815000 ;
+      RECT 0.600000  0.815000 0.825000 1.505000 ;
+      RECT 0.600000  1.505000 3.095000 1.685000 ;
+      RECT 0.605000  0.085000 1.350000 0.455000 ;
+      RECT 1.045000  1.865000 2.235000 2.095000 ;
+      RECT 1.045000  2.095000 1.305000 2.455000 ;
+      RECT 1.475000  2.265000 1.805000 2.635000 ;
+      RECT 1.915000  0.265000 2.170000 0.625000 ;
+      RECT 1.975000  2.095000 2.235000 2.455000 ;
+      RECT 2.350000  0.085000 2.680000 0.455000 ;
+      RECT 2.805000  1.685000 3.095000 2.455000 ;
+      RECT 2.860000  0.265000 3.085000 0.625000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_1
+MACRO sky130_fd_sc_hd__a211o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.035000 1.020000 5.380000 1.330000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.495000 1.020000 4.825000 1.510000 ;
+        RECT 4.495000 1.510000 5.845000 1.700000 ;
+        RECT 5.635000 1.020000 6.225000 1.320000 ;
+        RECT 5.635000 1.320000 5.845000 1.510000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.985000 2.805000 1.325000 ;
+        RECT 2.625000 1.325000 2.805000 1.445000 ;
+        RECT 2.625000 1.445000 4.175000 1.700000 ;
+        RECT 3.845000 0.985000 4.175000 1.445000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.975000 0.985000 3.645000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.933750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.635000 2.025000 0.875000 ;
+        RECT 0.085000 0.875000 0.340000 1.495000 ;
+        RECT 0.085000 1.495000 1.640000 1.705000 ;
+        RECT 0.595000 1.705000 0.780000 2.465000 ;
+        RECT 0.985000 0.255000 1.175000 0.615000 ;
+        RECT 0.985000 0.615000 2.025000 0.635000 ;
+        RECT 1.450000 1.705000 1.640000 2.465000 ;
+        RECT 1.845000 0.255000 2.025000 0.615000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  1.875000 0.425000 2.635000 ;
+      RECT 0.485000  0.085000 0.815000 0.465000 ;
+      RECT 0.525000  1.045000 2.370000 1.325000 ;
+      RECT 0.950000  1.875000 1.280000 2.635000 ;
+      RECT 1.345000  0.085000 1.675000 0.445000 ;
+      RECT 1.810000  1.835000 2.060000 2.635000 ;
+      RECT 2.185000  1.325000 2.370000 1.505000 ;
+      RECT 2.185000  1.505000 2.455000 1.675000 ;
+      RECT 2.195000  0.615000 5.490000 0.805000 ;
+      RECT 2.195000  0.805000 2.370000 1.045000 ;
+      RECT 2.220000  0.085000 2.555000 0.445000 ;
+      RECT 2.280000  1.675000 2.455000 1.870000 ;
+      RECT 2.280000  1.870000 3.510000 2.040000 ;
+      RECT 2.320000  2.210000 4.450000 2.465000 ;
+      RECT 2.725000  0.255000 2.970000 0.615000 ;
+      RECT 3.140000  0.085000 3.470000 0.445000 ;
+      RECT 3.640000  0.255000 4.020000 0.615000 ;
+      RECT 4.120000  1.880000 6.345000 2.105000 ;
+      RECT 4.120000  2.105000 4.450000 2.210000 ;
+      RECT 4.190000  0.085000 4.560000 0.445000 ;
+      RECT 4.620000  2.275000 4.950000 2.635000 ;
+      RECT 5.160000  0.275000 5.490000 0.615000 ;
+      RECT 5.160000  2.105000 5.420000 2.465000 ;
+      RECT 5.590000  2.275000 5.920000 2.635000 ;
+      RECT 6.015000  0.085000 6.345000 0.805000 ;
+      RECT 6.015000  1.535000 6.345000 1.880000 ;
+      RECT 6.090000  2.105000 6.345000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_4
+MACRO sky130_fd_sc_hd__a211o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a211o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 1.045000 2.450000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.045000 1.810000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 1.045000 3.070000 1.275000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.045000 3.595000 1.275000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.452000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.555000 0.255000 0.775000 0.635000 ;
+        RECT 0.555000 0.635000 0.785000 2.335000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.085000 0.385000 0.905000 ;
+      RECT 0.090000  1.490000 0.385000 2.635000 ;
+      RECT 0.945000  0.085000 1.795000 0.445000 ;
+      RECT 1.000000  0.695000 3.585000 0.875000 ;
+      RECT 1.000000  0.875000 1.310000 1.490000 ;
+      RECT 1.000000  1.490000 3.585000 1.660000 ;
+      RECT 1.000000  1.830000 1.255000 2.635000 ;
+      RECT 1.455000  1.840000 2.795000 2.020000 ;
+      RECT 1.455000  2.020000 1.785000 2.465000 ;
+      RECT 1.955000  2.190000 2.230000 2.635000 ;
+      RECT 2.275000  0.275000 2.605000 0.695000 ;
+      RECT 2.465000  2.020000 2.795000 2.465000 ;
+      RECT 2.810000  0.085000 3.085000 0.525000 ;
+      RECT 3.255000  0.275000 3.585000 0.695000 ;
+      RECT 3.255000  1.660000 3.585000 2.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a211o_2
+MACRO sky130_fd_sc_hd__xor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 0.875000 1.275000 ;
+        RECT 0.705000 1.275000 0.875000 1.445000 ;
+        RECT 0.705000 1.445000 1.880000 1.615000 ;
+        RECT 1.710000 1.075000 3.230000 1.275000 ;
+        RECT 1.710000 1.275000 1.880000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.075000 1.540000 1.275000 ;
+      LAYER mcon ;
+        RECT 1.065000 1.105000 1.235000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.420000 1.075000 4.090000 1.275000 ;
+      LAYER mcon ;
+        RECT 3.825000 1.105000 3.995000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.005000 1.075000 1.295000 1.120000 ;
+        RECT 1.005000 1.120000 4.055000 1.260000 ;
+        RECT 1.005000 1.260000 1.295000 1.305000 ;
+        RECT 3.765000 1.075000 4.055000 1.120000 ;
+        RECT 3.765000 1.260000 4.055000 1.305000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.656750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.625000 0.645000 3.955000 0.725000 ;
+        RECT 3.625000 0.725000 5.895000 0.905000 ;
+        RECT 4.985000 0.645000 5.315000 0.725000 ;
+        RECT 5.025000 1.415000 5.895000 1.625000 ;
+        RECT 5.025000 1.625000 5.275000 2.125000 ;
+        RECT 5.485000 0.905000 5.895000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.120000  0.725000 1.700000 0.905000 ;
+      RECT 0.120000  0.905000 0.290000 1.785000 ;
+      RECT 0.120000  1.785000 2.220000 1.955000 ;
+      RECT 0.120000  2.135000 0.400000 2.465000 ;
+      RECT 0.145000  2.125000 0.315000 2.135000 ;
+      RECT 0.190000  0.085000 0.360000 0.555000 ;
+      RECT 0.530000  0.255000 0.860000 0.725000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  2.135000 1.240000 2.295000 ;
+      RECT 0.990000  2.295000 2.080000 2.465000 ;
+      RECT 1.030000  0.085000 1.200000 0.555000 ;
+      RECT 1.065000  2.125000 1.235000 2.135000 ;
+      RECT 1.370000  0.255000 1.700000 0.725000 ;
+      RECT 1.410000  1.955000 1.660000 2.125000 ;
+      RECT 1.830000  2.135000 2.080000 2.295000 ;
+      RECT 1.870000  0.085000 2.040000 0.555000 ;
+      RECT 2.050000  1.445000 4.785000 1.615000 ;
+      RECT 2.050000  1.615000 2.220000 1.785000 ;
+      RECT 2.285000  2.125000 2.600000 2.465000 ;
+      RECT 2.310000  0.255000 2.640000 0.725000 ;
+      RECT 2.310000  0.725000 3.400000 0.905000 ;
+      RECT 2.390000  1.785000 4.855000 1.955000 ;
+      RECT 2.390000  1.955000 2.600000 2.125000 ;
+      RECT 2.770000  2.135000 3.020000 2.635000 ;
+      RECT 2.810000  0.085000 2.980000 0.555000 ;
+      RECT 3.150000  0.255000 4.380000 0.475000 ;
+      RECT 3.150000  0.475000 3.400000 0.725000 ;
+      RECT 3.190000  1.955000 3.440000 2.465000 ;
+      RECT 3.610000  2.135000 3.915000 2.635000 ;
+      RECT 4.085000  1.955000 4.855000 2.295000 ;
+      RECT 4.085000  2.295000 5.695000 2.465000 ;
+      RECT 4.615000  1.075000 5.275000 1.245000 ;
+      RECT 4.615000  1.245000 4.785000 1.445000 ;
+      RECT 4.645000  0.085000 4.815000 0.555000 ;
+      RECT 5.445000  1.795000 5.695000 2.295000 ;
+      RECT 5.485000  0.085000 5.655000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 2.095000 0.375000 2.140000 ;
+      RECT 0.085000 2.140000 1.295000 2.280000 ;
+      RECT 0.085000 2.280000 0.375000 2.325000 ;
+      RECT 1.005000 2.095000 1.295000 2.140000 ;
+      RECT 1.005000 2.280000 1.295000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xor2_2
+MACRO sky130_fd_sc_hd__xor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.840000 1.075000 1.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.730000 1.615000 ;
+        RECT 1.560000 1.075000 1.935000 1.245000 ;
+        RECT 1.560000 1.245000 1.730000 1.445000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.800500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.720000 0.315000 2.675000 0.485000 ;
+        RECT 2.505000 0.485000 2.675000 1.365000 ;
+        RECT 2.505000 1.365000 3.135000 1.535000 ;
+        RECT 2.815000 1.535000 3.135000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.655000 2.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 0.465000 2.465000 ;
+      RECT 0.135000  0.085000 0.465000 0.475000 ;
+      RECT 0.635000  0.335000 0.805000 0.655000 ;
+      RECT 0.975000  0.085000 1.305000 0.475000 ;
+      RECT 1.055000  1.785000 1.225000 2.635000 ;
+      RECT 1.395000  1.785000 2.635000 1.955000 ;
+      RECT 1.395000  1.955000 1.725000 2.465000 ;
+      RECT 1.895000  2.125000 2.065000 2.635000 ;
+      RECT 2.105000  0.825000 2.335000 1.325000 ;
+      RECT 2.235000  1.955000 2.635000 2.465000 ;
+      RECT 2.845000  0.085000 3.135000 0.920000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xor2_1
+MACRO sky130_fd_sc_hd__xor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 2.800000 1.275000 ;
+        RECT 2.630000 1.275000 2.800000 1.445000 ;
+        RECT 2.630000 1.445000 6.165000 1.615000 ;
+        RECT 5.995000 1.075000 7.370000 1.275000 ;
+        RECT 5.995000 1.275000 6.165000 1.445000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.075000 5.000000 1.105000 ;
+        RECT 2.970000 1.105000 5.740000 1.275000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  1.524450 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.165000 0.645000 5.580000 0.905000 ;
+        RECT 5.150000 0.905000 5.580000 0.935000 ;
+      LAYER mcon ;
+        RECT 5.205000 0.765000 5.375000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.850000 0.725000  8.630000 0.735000 ;
+        RECT 7.850000 0.735000 10.035000 0.905000 ;
+        RECT 7.850000 0.905000  8.305000 0.935000 ;
+        RECT 7.880000 1.445000 10.035000 1.625000 ;
+        RECT 7.880000 1.625000  9.010000 1.665000 ;
+        RECT 7.880000 1.665000  8.170000 2.125000 ;
+        RECT 8.300000 0.255000  8.630000 0.725000 ;
+        RECT 8.760000 1.665000  9.010000 2.125000 ;
+        RECT 9.140000 0.255000  9.470000 0.735000 ;
+        RECT 9.600000 1.625000 10.035000 2.465000 ;
+        RECT 9.735000 0.905000 10.035000 1.445000 ;
+      LAYER mcon ;
+        RECT 7.965000 0.765000 8.135000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.145000 0.735000 5.435000 0.780000 ;
+        RECT 5.145000 0.780000 8.195000 0.920000 ;
+        RECT 5.145000 0.920000 5.435000 0.965000 ;
+        RECT 7.905000 0.735000 8.195000 0.780000 ;
+        RECT 7.905000 0.920000 8.195000 0.965000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.085000  0.360000 0.565000 ;
+      RECT 0.085000  0.735000  3.380000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.445000 ;
+      RECT 0.085000  1.445000  2.420000 1.615000 ;
+      RECT 0.085000  1.785000  2.080000 2.005000 ;
+      RECT 0.085000  2.005000  0.400000 2.465000 ;
+      RECT 0.530000  0.255000  0.860000 0.725000 ;
+      RECT 0.530000  0.725000  3.380000 0.735000 ;
+      RECT 0.570000  2.175000  0.820000 2.635000 ;
+      RECT 0.990000  2.005000  1.240000 2.465000 ;
+      RECT 1.030000  0.085000  1.200000 0.555000 ;
+      RECT 1.370000  0.255000  1.700000 0.725000 ;
+      RECT 1.410000  2.175000  1.660000 2.635000 ;
+      RECT 1.830000  2.005000  2.080000 2.295000 ;
+      RECT 1.830000  2.295000  3.760000 2.465000 ;
+      RECT 1.870000  0.085000  2.040000 0.555000 ;
+      RECT 2.210000  0.255000  2.540000 0.725000 ;
+      RECT 2.250000  1.615000  2.420000 1.785000 ;
+      RECT 2.250000  1.785000  3.340000 1.955000 ;
+      RECT 2.250000  1.955000  2.500000 2.125000 ;
+      RECT 2.670000  2.125000  2.920000 2.295000 ;
+      RECT 2.710000  0.085000  2.880000 0.555000 ;
+      RECT 3.050000  0.255000  3.380000 0.725000 ;
+      RECT 3.090000  1.955000  3.340000 2.125000 ;
+      RECT 3.510000  1.795000  3.760000 2.295000 ;
+      RECT 3.550000  0.085000  3.820000 0.895000 ;
+      RECT 3.990000  0.255000  6.000000 0.475000 ;
+      RECT 4.030000  1.785000  7.640000 2.005000 ;
+      RECT 4.030000  2.005000  4.280000 2.465000 ;
+      RECT 4.450000  2.175000  4.700000 2.635000 ;
+      RECT 4.870000  2.005000  5.120000 2.465000 ;
+      RECT 5.290000  2.175000  5.540000 2.635000 ;
+      RECT 5.710000  2.005000  5.960000 2.465000 ;
+      RECT 5.750000  0.475000  6.000000 0.725000 ;
+      RECT 5.750000  0.725000  7.680000 0.905000 ;
+      RECT 6.130000  2.175000  6.380000 2.635000 ;
+      RECT 6.170000  0.085000  6.340000 0.555000 ;
+      RECT 6.510000  0.255000  6.840000 0.725000 ;
+      RECT 6.550000  1.455000  6.800000 1.785000 ;
+      RECT 6.550000  2.005000  6.800000 2.465000 ;
+      RECT 6.970000  2.175000  7.220000 2.635000 ;
+      RECT 7.010000  0.085000  7.180000 0.555000 ;
+      RECT 7.260000  1.445000  7.710000 1.615000 ;
+      RECT 7.350000  0.255000  7.680000 0.725000 ;
+      RECT 7.390000  2.005000  7.640000 2.295000 ;
+      RECT 7.390000  2.295000  9.430000 2.465000 ;
+      RECT 7.540000  1.105000  9.565000 1.275000 ;
+      RECT 7.540000  1.275000  7.710000 1.445000 ;
+      RECT 7.960000  0.085000  8.130000 0.555000 ;
+      RECT 8.340000  1.835000  8.590000 2.295000 ;
+      RECT 8.540000  1.075000  9.565000 1.105000 ;
+      RECT 8.800000  0.085000  8.970000 0.555000 ;
+      RECT 9.180000  1.795000  9.430000 2.295000 ;
+      RECT 9.640000  0.085000  9.810000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  1.445000 2.155000 1.615000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  1.445000 7.675000 1.615000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.925000 1.415000 2.215000 1.460000 ;
+      RECT 1.925000 1.460000 7.735000 1.600000 ;
+      RECT 1.925000 1.600000 2.215000 1.645000 ;
+      RECT 7.445000 1.415000 7.735000 1.460000 ;
+      RECT 7.445000 1.600000 7.735000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xor2_4
+MACRO sky130_fd_sc_hd__sdfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.675000  1.785000  0.845000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.145000  1.105000  1.315000 1.275000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.615000 1.755000 0.915000 1.800000 ;
+      RECT 0.615000 1.800000 8.675000 1.940000 ;
+      RECT 0.615000 1.940000 0.915000 1.985000 ;
+      RECT 1.085000 1.075000 1.375000 1.120000 ;
+      RECT 1.085000 1.120000 8.635000 1.260000 ;
+      RECT 1.085000 1.260000 1.375000 1.305000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtn_1
+MACRO sky130_fd_sc_hd__fill_1
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.055000 0.260000 0.055000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_1
+MACRO sky130_fd_sc_hd__fill_8
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.130000 -0.120000 0.350000 0.050000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_8
+MACRO sky130_fd_sc_hd__fill_2
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.050000 0.315000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_2
+MACRO sky130_fd_sc_hd__fill_4
+  CLASS CORE SPACER ;
+  FOREIGN sky130_fd_sc_hd__fill_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.175000 -0.060000 0.285000 0.060000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__fill_4
+MACRO sky130_fd_sc_hd__einvp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.740000 1.020000 4.975000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.637500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.635000 4.975000 0.850000 ;
+        RECT 3.190000 0.850000 3.570000 1.445000 ;
+        RECT 3.190000 1.445000 4.360000 1.615000 ;
+        RECT 3.190000 1.615000 3.520000 2.125000 ;
+        RECT 4.030000 1.615000 4.360000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 3.020000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 3.020000 0.825000 ;
+      RECT 1.075000  1.555000 2.995000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.555000 0.485000 ;
+      RECT 2.295000  1.895000 2.655000 2.635000 ;
+      RECT 2.735000  0.255000 4.975000 0.465000 ;
+      RECT 2.735000  0.465000 3.020000 0.655000 ;
+      RECT 2.825000  1.725000 2.995000 2.295000 ;
+      RECT 2.825000  2.295000 4.975000 2.465000 ;
+      RECT 3.690000  1.785000 3.860000 2.295000 ;
+      RECT 4.530000  1.445000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_4
+MACRO sky130_fd_sc_hd__einvp_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.420000 1.020000 8.195000 1.275000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  1.027500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.635000 8.195000 0.850000 ;
+        RECT 4.870000 0.850000 5.250000 1.445000 ;
+        RECT 4.870000 1.445000 7.720000 1.615000 ;
+        RECT 4.870000 1.615000 5.200000 2.125000 ;
+        RECT 5.710000 1.615000 6.040000 2.125000 ;
+        RECT 6.550000 1.615000 6.880000 2.125000 ;
+        RECT 7.390000 1.615000 7.720000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.695000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.695000 0.995000 ;
+      RECT 0.500000  0.995000 4.700000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.035000  0.255000 1.205000 0.655000 ;
+      RECT 1.035000  0.655000 4.700000 0.825000 ;
+      RECT 1.075000  1.555000 4.700000 1.725000 ;
+      RECT 1.075000  1.725000 1.285000 2.465000 ;
+      RECT 1.375000  0.085000 1.705000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.875000  0.255000 2.045000 0.655000 ;
+      RECT 1.955000  1.725000 2.125000 2.465000 ;
+      RECT 2.215000  0.085000 2.545000 0.485000 ;
+      RECT 2.295000  1.895000 2.625000 2.635000 ;
+      RECT 2.715000  0.255000 2.885000 0.655000 ;
+      RECT 2.795000  1.725000 2.965000 2.465000 ;
+      RECT 3.055000  0.085000 3.385000 0.485000 ;
+      RECT 3.135000  1.895000 3.465000 2.635000 ;
+      RECT 3.555000  0.255000 3.725000 0.655000 ;
+      RECT 3.635000  1.725000 3.805000 2.465000 ;
+      RECT 3.895000  0.085000 4.235000 0.485000 ;
+      RECT 3.975000  1.895000 4.305000 2.635000 ;
+      RECT 4.405000  0.255000 8.195000 0.465000 ;
+      RECT 4.405000  0.465000 4.700000 0.655000 ;
+      RECT 4.475000  1.725000 4.700000 2.295000 ;
+      RECT 4.475000  2.295000 8.195000 2.465000 ;
+      RECT 5.370000  1.785000 5.540000 2.295000 ;
+      RECT 6.210000  1.785000 6.380000 2.295000 ;
+      RECT 7.050000  1.785000 7.220000 2.295000 ;
+      RECT 7.890000  1.445000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_8
+MACRO sky130_fd_sc_hd__einvp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 0.975000 2.215000 1.955000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.223500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.545000 1.725000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.620000 0.255000 2.215000 0.805000 ;
+        RECT 1.620000 0.805000 1.795000 2.125000 ;
+        RECT 1.620000 2.125000 2.215000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 1.450000 0.825000 ;
+      RECT 0.085000  1.895000 1.450000 2.065000 ;
+      RECT 0.085000  2.065000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 1.450000 0.485000 ;
+      RECT 0.515000  2.235000 1.450000 2.635000 ;
+      RECT 0.715000  0.825000 1.450000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_1
+MACRO sky130_fd_sc_hd__einvp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.850000 0.765000 3.135000 1.615000 ;
+    END
+  END A
+  PIN TE
+    ANTENNAGATEAREA  0.354000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.330000 1.615000 ;
+    END
+  END TE
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 0.595000 2.680000 2.125000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.875000 0.825000 ;
+      RECT 0.085000  1.785000 0.875000 1.955000 ;
+      RECT 0.085000  1.955000 0.345000 2.465000 ;
+      RECT 0.500000  0.825000 0.875000 0.995000 ;
+      RECT 0.500000  0.995000 2.180000 1.325000 ;
+      RECT 0.500000  1.325000 0.875000 1.785000 ;
+      RECT 0.515000  0.085000 0.875000 0.485000 ;
+      RECT 0.515000  2.125000 0.875000 2.635000 ;
+      RECT 1.045000  0.255000 1.240000 0.655000 ;
+      RECT 1.045000  0.655000 2.180000 0.825000 ;
+      RECT 1.045000  1.555000 2.155000 1.725000 ;
+      RECT 1.045000  1.725000 1.285000 2.465000 ;
+      RECT 1.410000  0.085000 1.770000 0.485000 ;
+      RECT 1.455000  1.895000 1.785000 2.635000 ;
+      RECT 1.940000  0.255000 3.135000 0.425000 ;
+      RECT 1.940000  0.425000 2.180000 0.655000 ;
+      RECT 1.985000  1.725000 2.155000 2.295000 ;
+      RECT 1.985000  2.295000 3.135000 2.465000 ;
+      RECT 2.850000  0.425000 3.135000 0.595000 ;
+      RECT 2.850000  1.785000 3.135000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvp_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  PIN VPWRIN
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END VPWRIN
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
+MACRO sky130_fd_sc_hd__ebufn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.430000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 0.620000 1.305000 0.995000 ;
+        RECT 0.970000 0.995000 1.430000 1.325000 ;
+        RECT 0.970000 1.325000 1.305000 1.695000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.995000 1.445000 9.575000 1.725000 ;
+        RECT 6.275000 0.615000 9.575000 0.855000 ;
+        RECT 9.325000 0.855000 9.575000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  0.085000 0.445000 0.825000 ;
+      RECT 0.085000  1.785000 0.445000 2.635000 ;
+      RECT 0.600000  0.995000 0.800000 1.615000 ;
+      RECT 0.615000  0.280000 0.800000 0.995000 ;
+      RECT 0.615000  1.615000 0.800000 2.465000 ;
+      RECT 0.970000  0.085000 1.305000 0.445000 ;
+      RECT 0.970000  1.865000 1.305000 2.635000 ;
+      RECT 1.475000  0.255000 1.985000 0.825000 ;
+      RECT 1.475000  1.495000 1.825000 2.465000 ;
+      RECT 1.600000  0.825000 1.985000 1.025000 ;
+      RECT 1.600000  1.025000 5.925000 1.275000 ;
+      RECT 1.600000  1.275000 1.825000 1.495000 ;
+      RECT 1.995000  1.895000 9.575000 2.065000 ;
+      RECT 1.995000  2.065000 2.245000 2.465000 ;
+      RECT 2.155000  0.255000 2.485000 0.655000 ;
+      RECT 2.155000  0.655000 6.105000 0.855000 ;
+      RECT 2.415000  2.235000 2.745000 2.635000 ;
+      RECT 2.655000  0.085000 2.985000 0.485000 ;
+      RECT 2.915000  2.065000 3.085000 2.465000 ;
+      RECT 3.155000  0.275000 3.325000 0.655000 ;
+      RECT 3.255000  2.235000 3.585000 2.635000 ;
+      RECT 3.495000  0.085000 3.825000 0.485000 ;
+      RECT 3.755000  2.065000 3.925000 2.465000 ;
+      RECT 3.995000  0.255000 4.165000 0.655000 ;
+      RECT 4.095000  2.235000 4.425000 2.635000 ;
+      RECT 4.335000  0.085000 4.665000 0.485000 ;
+      RECT 4.595000  2.065000 4.765000 2.465000 ;
+      RECT 4.835000  0.275000 5.005000 0.655000 ;
+      RECT 4.935000  2.235000 5.265000 2.635000 ;
+      RECT 5.175000  0.085000 5.505000 0.485000 ;
+      RECT 5.435000  2.065000 9.575000 2.465000 ;
+      RECT 5.675000  0.255000 9.575000 0.445000 ;
+      RECT 5.675000  0.445000 6.105000 0.655000 ;
+      RECT 6.175000  1.025000 9.155000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  1.105000 0.775000 1.275000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.580000  1.105000 6.750000 1.275000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.545000 1.075000 0.835000 1.120000 ;
+      RECT 0.545000 1.120000 6.810000 1.260000 ;
+      RECT 0.545000 1.260000 0.835000 1.305000 ;
+      RECT 6.520000 1.075000 6.810000 1.120000 ;
+      RECT 6.520000 1.260000 6.810000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_8
+MACRO sky130_fd_sc_hd__ebufn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.275000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.905000 1.445000 4.055000 1.625000 ;
+        RECT 1.905000 1.625000 3.625000 1.765000 ;
+        RECT 3.295000 0.635000 4.055000 0.855000 ;
+        RECT 3.295000 1.765000 3.625000 2.125000 ;
+        RECT 3.825000 0.855000 4.055000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 0.320000 1.845000 ;
+      RECT 0.085000  1.845000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.850000 0.595000 ;
+      RECT 0.515000  1.845000 0.950000 2.635000 ;
+      RECT 1.020000  0.255000 1.730000 0.595000 ;
+      RECT 1.120000  1.445000 1.735000 1.765000 ;
+      RECT 1.120000  1.765000 1.410000 2.465000 ;
+      RECT 1.450000  0.595000 1.730000 1.025000 ;
+      RECT 1.450000  1.025000 2.965000 1.275000 ;
+      RECT 1.450000  1.275000 1.735000 1.445000 ;
+      RECT 1.600000  1.935000 3.125000 2.105000 ;
+      RECT 1.600000  2.105000 1.810000 2.465000 ;
+      RECT 1.900000  0.255000 2.170000 0.655000 ;
+      RECT 1.900000  0.655000 3.125000 0.855000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.340000  0.085000 2.670000 0.485000 ;
+      RECT 2.480000  2.105000 3.125000 2.295000 ;
+      RECT 2.480000  2.295000 4.055000 2.465000 ;
+      RECT 2.840000  0.275000 4.050000 0.465000 ;
+      RECT 2.840000  0.465000 3.125000 0.655000 ;
+      RECT 3.245000  1.025000 3.655000 1.275000 ;
+      RECT 3.795000  1.795000 4.055000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.380000  1.105000 3.550000 1.275000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 3.610000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 3.320000 1.075000 3.610000 1.120000 ;
+      RECT 3.320000 1.260000 3.610000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_2
+MACRO sky130_fd_sc_hd__ebufn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.355000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 1.075000 1.240000 1.630000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.601000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.975000 1.495000 3.595000 2.465000 ;
+        RECT 3.125000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 0.825000 3.595000 1.495000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.185000 0.825000 ;
+      RECT 0.085000  1.785000 0.740000 2.005000 ;
+      RECT 0.085000  2.005000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  2.175000 0.845000 2.635000 ;
+      RECT 0.525000  0.825000 0.740000 1.785000 ;
+      RECT 1.015000  0.255000 2.025000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.615000 ;
+      RECT 1.015000  1.800000 1.805000 2.005000 ;
+      RECT 1.015000  2.005000 1.270000 2.460000 ;
+      RECT 1.355000  0.635000 1.685000 0.885000 ;
+      RECT 1.410000  0.885000 1.685000 1.075000 ;
+      RECT 1.410000  1.075000 2.535000 1.325000 ;
+      RECT 1.410000  1.325000 1.805000 1.800000 ;
+      RECT 1.440000  2.175000 1.805000 2.635000 ;
+      RECT 1.855000  0.465000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 2.955000 0.905000 ;
+      RECT 2.195000  0.085000 2.955000 0.565000 ;
+      RECT 2.705000  0.905000 2.955000 0.995000 ;
+      RECT 2.705000  0.995000 3.085000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__ebufn_1
+MACRO sky130_fd_sc_hd__ebufn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 0.765000 0.780000 1.675000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.765000 1.280000 1.425000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 1.445000 5.895000 1.725000 ;
+        RECT 4.145000 0.615000 5.895000 0.855000 ;
+        RECT 5.675000 0.855000 5.895000 1.445000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.280000 0.345000 0.665000 ;
+      RECT 0.085000  0.665000 0.320000 1.765000 ;
+      RECT 0.085000  1.765000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.930000 0.595000 ;
+      RECT 0.515000  1.845000 0.930000 2.635000 ;
+      RECT 1.100000  0.255000 1.725000 0.595000 ;
+      RECT 1.100000  1.595000 1.725000 1.765000 ;
+      RECT 1.100000  1.765000 1.355000 2.465000 ;
+      RECT 1.450000  0.595000 1.725000 1.025000 ;
+      RECT 1.450000  1.025000 3.810000 1.275000 ;
+      RECT 1.450000  1.275000 1.725000 1.595000 ;
+      RECT 1.565000  1.935000 5.895000 2.105000 ;
+      RECT 1.565000  2.105000 1.810000 2.465000 ;
+      RECT 1.895000  0.255000 2.175000 0.655000 ;
+      RECT 1.895000  0.655000 3.975000 0.855000 ;
+      RECT 1.895000  1.895000 5.895000 1.935000 ;
+      RECT 1.980000  2.275000 2.310000 2.635000 ;
+      RECT 2.345000  0.085000 2.675000 0.485000 ;
+      RECT 2.480000  2.105000 2.650000 2.465000 ;
+      RECT 2.820000  2.275000 3.150000 2.635000 ;
+      RECT 2.845000  0.275000 3.015000 0.655000 ;
+      RECT 3.185000  0.085000 3.515000 0.485000 ;
+      RECT 3.320000  2.105000 5.895000 2.465000 ;
+      RECT 3.685000  0.255000 5.735000 0.445000 ;
+      RECT 3.685000  0.445000 3.975000 0.655000 ;
+      RECT 3.980000  1.025000 5.505000 1.275000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.150000  1.105000 0.320000 1.275000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.105000 4.480000 1.275000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.085000 1.075000 0.380000 1.120000 ;
+      RECT 0.085000 1.120000 4.540000 1.260000 ;
+      RECT 0.085000 1.260000 0.380000 1.305000 ;
+      RECT 4.250000 1.075000 4.540000 1.120000 ;
+      RECT 4.250000 1.260000 4.540000 1.305000 ;
+  END
+END sky130_fd_sc_hd__ebufn_4
+MACRO sky130_fd_sc_hd__dlxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 0.955000 1.685000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.255000 5.490000 0.820000 ;
+        RECT 5.140000 1.670000 5.490000 2.455000 ;
+        RECT 5.320000 0.820000 5.490000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.445000 6.815000 2.465000 ;
+        RECT 6.600000 0.825000 6.815000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.430000  1.495000 2.115000 1.665000 ;
+      RECT 1.430000  1.665000 1.795000 2.415000 ;
+      RECT 1.510000  0.345000 1.705000 0.615000 ;
+      RECT 1.510000  0.615000 2.135000 0.785000 ;
+      RECT 1.855000  0.785000 2.135000 0.875000 ;
+      RECT 1.855000  0.875000 2.335000 1.235000 ;
+      RECT 1.855000  1.235000 2.115000 1.495000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.965000  1.835000 2.245000 2.635000 ;
+      RECT 2.465000  1.355000 2.795000 1.685000 ;
+      RECT 2.580000  0.705000 3.135000 1.065000 ;
+      RECT 2.750000  2.255000 3.610000 2.425000 ;
+      RECT 2.800000  0.365000 3.475000 0.535000 ;
+      RECT 2.965000  1.065000 3.135000 1.575000 ;
+      RECT 2.965000  1.575000 3.290000 1.910000 ;
+      RECT 2.965000  1.910000 3.195000 1.995000 ;
+      RECT 3.305000  0.535000 3.475000 0.995000 ;
+      RECT 3.305000  0.995000 4.175000 1.165000 ;
+      RECT 3.425000  2.035000 3.650000 2.065000 ;
+      RECT 3.425000  2.065000 3.630000 2.090000 ;
+      RECT 3.425000  2.090000 3.610000 2.255000 ;
+      RECT 3.430000  2.020000 3.650000 2.035000 ;
+      RECT 3.435000  2.010000 3.650000 2.020000 ;
+      RECT 3.440000  1.995000 3.650000 2.010000 ;
+      RECT 3.460000  1.165000 4.175000 1.325000 ;
+      RECT 3.460000  1.325000 3.650000 1.995000 ;
+      RECT 3.700000  0.085000 4.045000 0.530000 ;
+      RECT 3.780000  2.175000 3.980000 2.635000 ;
+      RECT 3.820000  1.535000 4.515000 1.865000 ;
+      RECT 4.285000  0.415000 4.550000 0.745000 ;
+      RECT 4.285000  1.865000 4.515000 2.435000 ;
+      RECT 4.345000  0.745000 4.550000 0.995000 ;
+      RECT 4.345000  0.995000 5.150000 1.325000 ;
+      RECT 4.345000  1.325000 4.515000 1.535000 ;
+      RECT 4.685000  1.570000 4.970000 2.635000 ;
+      RECT 4.720000  0.085000 4.970000 0.715000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.430000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.555000  1.445000 2.725000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.965000  1.785000 3.135000 1.955000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 2.785000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 3.195000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.495000 1.415000 2.785000 1.460000 ;
+      RECT 2.495000 1.600000 2.785000 1.645000 ;
+      RECT 2.905000 1.755000 3.195000 1.800000 ;
+      RECT 2.905000 1.940000 3.195000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dlxbp_1
+MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0n_1
+MACRO sky130_fd_sc_hd__or2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_1
+MACRO sky130_fd_sc_hd__or2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.995000 1.240000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 0.265000 1.770000 0.735000 ;
+        RECT 1.440000 0.735000 3.135000 0.905000 ;
+        RECT 1.440000 1.835000 2.610000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 2.280000 0.265000 2.610000 0.735000 ;
+        RECT 2.280000 1.495000 3.135000 1.665000 ;
+        RECT 2.280000 1.665000 2.610000 1.835000 ;
+        RECT 2.280000 2.005000 2.610000 2.465000 ;
+        RECT 2.790000 0.905000 3.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 2.465000 ;
+      RECT 0.515000  0.290000 0.845000 0.825000 ;
+      RECT 0.515000  0.825000 0.695000 1.495000 ;
+      RECT 1.060000  0.085000 1.230000 0.825000 ;
+      RECT 1.060000  1.835000 1.230000 2.635000 ;
+      RECT 1.410000  1.075000 2.620000 1.245000 ;
+      RECT 1.410000  1.245000 1.615000 1.495000 ;
+      RECT 1.940000  0.085000 2.110000 0.565000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+      RECT 2.780000  0.085000 2.950000 0.565000 ;
+      RECT 2.780000  1.835000 2.950000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_4
+MACRO sky130_fd_sc_hd__or2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.335000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.500000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.326800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.525000 2.180000 0.825000 ;
+        RECT 1.645000 2.135000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 2.135000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.825000 ;
+      RECT 0.270000  1.785000 1.695000 1.955000 ;
+      RECT 0.270000  1.955000 0.660000 2.130000 ;
+      RECT 0.670000  0.425000 0.950000 0.825000 ;
+      RECT 0.670000  0.825000 0.840000 1.785000 ;
+      RECT 1.145000  2.125000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.825000 ;
+      RECT 1.525000  0.995000 1.695000 1.785000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_0
+MACRO sky130_fd_sc_hd__or2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.765000 0.345000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.835000 2.215000 2.005000 ;
+        RECT 1.440000 2.005000 1.770000 2.465000 ;
+        RECT 1.520000 0.385000 1.690000 0.655000 ;
+        RECT 1.520000 0.655000 2.215000 0.825000 ;
+        RECT 1.785000 0.825000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.105000  0.085000 0.345000 0.595000 ;
+      RECT 0.155000  1.495000 1.615000 1.665000 ;
+      RECT 0.155000  1.665000 0.515000 1.840000 ;
+      RECT 0.515000  0.255000 0.805000 0.595000 ;
+      RECT 0.515000  0.595000 0.695000 1.495000 ;
+      RECT 1.035000  0.085000 1.350000 0.595000 ;
+      RECT 1.100000  1.835000 1.270000 2.635000 ;
+      RECT 1.445000  0.995000 1.615000 1.495000 ;
+      RECT 1.860000  0.085000 2.190000 0.485000 ;
+      RECT 1.940000  2.175000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or2_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.555000 1.625000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.397600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 0.255000 3.550000 0.640000 ;
+        RECT 3.070000 1.485000 3.550000 2.465000 ;
+        RECT 3.355000 0.640000 3.550000 1.485000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.255000 0.415000 0.720000 ;
+      RECT 0.085000  0.720000 1.060000 0.890000 ;
+      RECT 0.085000  1.795000 1.060000 1.965000 ;
+      RECT 0.085000  1.965000 0.430000 2.465000 ;
+      RECT 0.585000  0.085000 0.915000 0.550000 ;
+      RECT 0.600000  2.135000 0.930000 2.635000 ;
+      RECT 0.890000  0.890000 1.060000 1.075000 ;
+      RECT 0.890000  1.075000 1.320000 1.245000 ;
+      RECT 0.890000  1.245000 1.060000 1.795000 ;
+      RECT 1.230000  1.785000 1.660000 2.465000 ;
+      RECT 1.280000  0.255000 1.660000 0.905000 ;
+      RECT 1.490000  0.905000 1.660000 1.075000 ;
+      RECT 1.490000  1.075000 2.415000 1.485000 ;
+      RECT 1.490000  1.485000 1.660000 1.785000 ;
+      RECT 1.830000  0.255000 2.100000 0.735000 ;
+      RECT 1.830000  0.735000 2.900000 0.905000 ;
+      RECT 1.830000  1.790000 2.900000 1.965000 ;
+      RECT 1.830000  1.965000 2.100000 2.465000 ;
+      RECT 2.550000  0.085000 2.880000 0.565000 ;
+      RECT 2.550000  2.135000 2.880000 2.635000 ;
+      RECT 2.730000  0.905000 2.900000 1.075000 ;
+      RECT 2.730000  1.075000 3.185000 1.245000 ;
+      RECT 2.730000  1.245000 2.900000 1.790000 ;
+      RECT 3.720000  0.085000 4.055000 0.645000 ;
+      RECT 3.720000  1.485000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.560000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.376300 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.285000 3.595000 0.545000 ;
+        RECT 3.210000 1.760000 3.595000 2.465000 ;
+        RECT 3.365000 0.545000 3.595000 1.760000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.215000 0.885000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.910000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 0.730000  0.885000 1.215000 1.495000 ;
+      RECT 1.385000  0.255000 1.760000 0.825000 ;
+      RECT 1.385000  1.835000 1.760000 2.465000 ;
+      RECT 1.590000  0.825000 1.760000 1.055000 ;
+      RECT 1.590000  1.055000 2.685000 1.250000 ;
+      RECT 1.590000  1.250000 1.760000 1.835000 ;
+      RECT 1.930000  0.255000 2.260000 0.715000 ;
+      RECT 1.930000  0.715000 3.195000 0.885000 ;
+      RECT 1.930000  1.420000 3.195000 1.590000 ;
+      RECT 1.930000  1.590000 2.410000 2.465000 ;
+      RECT 2.640000  1.760000 3.040000 2.635000 ;
+      RECT 2.710000  0.085000 3.040000 0.545000 ;
+      RECT 2.855000  0.885000 3.195000 1.420000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s15_1
+MACRO sky130_fd_sc_hd__decap_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 3.595000 0.855000 ;
+      RECT 0.085000  0.855000 1.735000 1.375000 ;
+      RECT 0.085000  1.545000 3.595000 2.635000 ;
+      RECT 1.905000  1.025000 3.595000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_8
+MACRO sky130_fd_sc_hd__decap_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 5.430000 0.855000 ;
+      RECT 0.085000  0.855000 2.665000 1.375000 ;
+      RECT 0.085000  1.545000 5.430000 2.635000 ;
+      RECT 2.835000  1.025000 5.430000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_12
+MACRO sky130_fd_sc_hd__decap_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.085000 1.755000 0.855000 ;
+      RECT 0.085000  0.855000 0.835000 1.375000 ;
+      RECT 0.085000  1.545000 1.755000 2.635000 ;
+      RECT 1.005000  1.025000 1.755000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_4
+MACRO sky130_fd_sc_hd__decap_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 2.675000 0.855000 ;
+      RECT 0.085000  0.855000 1.295000 1.375000 ;
+      RECT 0.085000  1.545000 2.675000 2.635000 ;
+      RECT 1.465000  1.025000 2.675000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_6
+MACRO sky130_fd_sc_hd__decap_3
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__decap_3 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 1.295000 0.835000 ;
+      RECT 0.085000  0.835000 0.605000 1.375000 ;
+      RECT 0.085000  1.545000 1.295000 2.635000 ;
+      RECT 0.775000  1.005000 1.295000 1.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__decap_3
+MACRO sky130_fd_sc_hd__fah_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__fah_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.42000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 1.075000 1.440000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.691500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 2.495000 1.275000 ;
+        RECT 1.990000 1.275000 2.190000 1.410000 ;
+        RECT 2.015000 1.410000 2.190000 1.725000 ;
+      LAYER mcon ;
+        RECT 1.990000 1.105000 2.160000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.675000 0.995000 5.925000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.680000 1.105000 5.850000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 1.930000 1.075000 2.220000 1.120000 ;
+        RECT 1.930000 1.120000 5.910000 1.260000 ;
+        RECT 1.930000 1.260000 2.220000 1.305000 ;
+        RECT 5.620000 1.075000 5.910000 1.120000 ;
+        RECT 5.620000 1.260000 5.910000 1.305000 ;
+    END
+  END B
+  PIN CI
+    ANTENNAGATEAREA  0.246000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.475000 1.075000  9.865000 1.325000 ;
+        RECT 9.690000 0.735000 10.010000 0.935000 ;
+        RECT 9.690000 0.935000  9.865000 1.075000 ;
+    END
+  END CI
+  PIN COUT
+    ANTENNADIFFAREA  0.435500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.870000 0.270000 11.310000 0.825000 ;
+        RECT 10.870000 0.825000 11.040000 1.495000 ;
+        RECT 10.870000 1.495000 11.390000 2.465000 ;
+    END
+  END COUT
+  PIN SUM
+    ANTENNADIFFAREA  0.506000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.980000 0.255000 12.335000 0.825000 ;
+        RECT 11.985000 1.785000 12.335000 2.465000 ;
+        RECT 12.110000 0.825000 12.335000 1.785000 ;
+    END
+  END SUM
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.420000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.610000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.420000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.420000 0.085000 ;
+      RECT  0.000000  2.635000 12.420000 2.805000 ;
+      RECT  0.085000  0.255000  0.425000 0.805000 ;
+      RECT  0.085000  0.805000  0.255000 1.500000 ;
+      RECT  0.085000  1.500000  0.445000 1.895000 ;
+      RECT  0.085000  1.895000  2.805000 2.065000 ;
+      RECT  0.085000  2.065000  0.395000 2.465000 ;
+      RECT  0.425000  0.995000  0.780000 1.325000 ;
+      RECT  0.565000  2.260000  0.930000 2.635000 ;
+      RECT  0.595000  0.085000  0.765000 0.545000 ;
+      RECT  0.595000  0.735000  1.320000 0.905000 ;
+      RECT  0.595000  0.905000  0.780000 0.995000 ;
+      RECT  0.610000  1.325000  0.780000 1.380000 ;
+      RECT  0.610000  1.380000  0.815000 1.445000 ;
+      RECT  0.610000  1.445000  1.315000 1.455000 ;
+      RECT  0.615000  1.455000  1.315000 1.615000 ;
+      RECT  0.985000  1.615000  1.315000 1.715000 ;
+      RECT  0.990000  0.255000  1.320000 0.735000 ;
+      RECT  1.490000  1.445000  1.820000 1.500000 ;
+      RECT  1.490000  1.500000  1.840000 1.725000 ;
+      RECT  1.500000  0.255000  1.840000 0.715000 ;
+      RECT  1.500000  0.715000  2.520000 0.885000 ;
+      RECT  1.500000  0.885000  1.820000 0.905000 ;
+      RECT  1.615000  0.905000  1.820000 1.445000 ;
+      RECT  2.010000  0.085000  2.180000 0.545000 ;
+      RECT  2.065000  2.235000  2.395000 2.635000 ;
+      RECT  2.350000  0.255000  4.840000 0.425000 ;
+      RECT  2.350000  0.425000  2.520000 0.715000 ;
+      RECT  2.360000  1.445000  2.860000 1.715000 ;
+      RECT  2.635000  2.065000  2.805000 2.295000 ;
+      RECT  2.635000  2.295000  4.950000 2.465000 ;
+      RECT  2.690000  0.595000  2.860000 1.445000 ;
+      RECT  3.030000  0.425000  4.840000 0.465000 ;
+      RECT  3.030000  0.465000  3.200000 1.955000 ;
+      RECT  3.030000  1.955000  4.320000 2.125000 ;
+      RECT  3.370000  0.635000  3.900000 0.805000 ;
+      RECT  3.370000  0.805000  3.540000 1.455000 ;
+      RECT  3.370000  1.455000  3.815000 1.785000 ;
+      RECT  3.985000  1.785000  4.320000 1.955000 ;
+      RECT  4.070000  0.645000  4.400000 0.735000 ;
+      RECT  4.070000  0.735000  4.560000 0.755000 ;
+      RECT  4.070000  0.755000  5.170000 0.780000 ;
+      RECT  4.070000  0.780000  5.155000 0.805000 ;
+      RECT  4.070000  0.805000  5.145000 0.905000 ;
+      RECT  4.070000  1.075000  4.400000 1.160000 ;
+      RECT  4.070000  1.160000  4.535000 1.615000 ;
+      RECT  4.480000  0.905000  5.145000 0.925000 ;
+      RECT  4.650000  0.465000  4.840000 0.585000 ;
+      RECT  4.705000  0.925000  4.875000 2.295000 ;
+      RECT  4.925000  0.735000  5.180000 0.740000 ;
+      RECT  4.925000  0.740000  5.170000 0.755000 ;
+      RECT  4.950000  0.715000  5.180000 0.735000 ;
+      RECT  4.980000  0.690000  5.180000 0.715000 ;
+      RECT  5.000000  0.655000  5.180000 0.690000 ;
+      RECT  5.010000  0.255000  6.100000 0.425000 ;
+      RECT  5.010000  0.425000  5.180000 0.655000 ;
+      RECT  5.125000  1.150000  5.505000 1.320000 ;
+      RECT  5.125000  1.320000  5.295000 2.295000 ;
+      RECT  5.125000  2.295000  7.560000 2.465000 ;
+      RECT  5.320000  0.865000  5.520000 0.925000 ;
+      RECT  5.320000  0.925000  5.505000 1.150000 ;
+      RECT  5.335000  0.840000  5.520000 0.865000 ;
+      RECT  5.350000  0.595000  5.520000 0.840000 ;
+      RECT  5.475000  1.700000  5.875000 2.030000 ;
+      RECT  5.750000  0.425000  6.100000 0.565000 ;
+      RECT  6.105000  0.740000  6.435000 1.275000 ;
+      RECT  6.105000  1.445000  6.460000 1.615000 ;
+      RECT  6.270000  0.255000  9.735000 0.425000 ;
+      RECT  6.270000  0.425000  6.600000 0.570000 ;
+      RECT  6.290000  1.615000  6.460000 1.955000 ;
+      RECT  6.290000  1.955000  7.220000 2.125000 ;
+      RECT  6.610000  0.755000  6.940000 0.925000 ;
+      RECT  6.610000  0.925000  6.880000 1.275000 ;
+      RECT  6.710000  1.275000  6.880000 1.785000 ;
+      RECT  6.770000  0.595000  6.940000 0.755000 ;
+      RECT  7.050000  1.060000  7.280000 1.130000 ;
+      RECT  7.050000  1.130000  7.245000 1.175000 ;
+      RECT  7.050000  1.175000  7.220000 1.955000 ;
+      RECT  7.065000  1.045000  7.280000 1.060000 ;
+      RECT  7.090000  1.010000  7.280000 1.045000 ;
+      RECT  7.110000  0.595000  7.445000 0.765000 ;
+      RECT  7.110000  0.765000  7.280000 1.010000 ;
+      RECT  7.390000  1.275000  7.620000 1.375000 ;
+      RECT  7.390000  1.375000  7.595000 1.400000 ;
+      RECT  7.390000  1.400000  7.575000 1.425000 ;
+      RECT  7.390000  1.425000  7.560000 2.295000 ;
+      RECT  7.450000  0.995000  7.620000 1.275000 ;
+      RECT  7.705000  0.425000  7.960000 0.825000 ;
+      RECT  7.730000  1.510000  7.960000 2.295000 ;
+      RECT  7.730000  2.295000  9.655000 2.465000 ;
+      RECT  7.790000  0.825000  7.960000 1.510000 ;
+      RECT  8.145000  1.955000  9.250000 2.125000 ;
+      RECT  8.155000  0.595000  8.405000 0.925000 ;
+      RECT  8.225000  0.925000  8.405000 1.445000 ;
+      RECT  8.225000  1.445000  8.910000 1.785000 ;
+      RECT  8.575000  0.595000  8.745000 1.105000 ;
+      RECT  8.575000  1.105000  9.250000 1.275000 ;
+      RECT  8.920000  0.685000  9.300000 0.935000 ;
+      RECT  9.080000  1.275000  9.250000 1.955000 ;
+      RECT  9.400000  0.425000  9.735000 0.515000 ;
+      RECT  9.420000  1.495000 10.350000 1.705000 ;
+      RECT  9.420000  1.705000  9.655000 2.295000 ;
+      RECT  9.840000  2.275000 10.175000 2.635000 ;
+      RECT  9.905000  0.085000 10.075000 0.565000 ;
+      RECT 10.180000  0.995000 10.350000 1.495000 ;
+      RECT 10.245000  0.285000 10.690000 0.825000 ;
+      RECT 10.345000  1.875000 10.690000 2.465000 ;
+      RECT 10.520000  0.825000 10.690000 1.875000 ;
+      RECT 11.210000  0.995000 11.460000 1.325000 ;
+      RECT 11.480000  0.085000 11.810000 0.825000 ;
+      RECT 11.560000  1.785000 11.815000 2.635000 ;
+      RECT 11.630000  0.995000 11.940000 1.615000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.450000  1.445000  2.620000 1.615000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.370000  0.765000  3.540000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.365000  1.445000  4.535000 1.615000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.570000  1.785000  5.740000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.150000  0.765000  6.320000 0.935000 ;
+      RECT  6.150000  1.445000  6.320000 1.615000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.610000  1.105000  6.780000 1.275000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.460000  1.445000  8.630000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.920000  0.765000  9.090000 0.935000 ;
+      RECT  9.080000  1.785000  9.250000 1.955000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.520000  1.785000 10.690000 1.955000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.220000  1.105000 11.390000 1.275000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 11.680000  1.445000 11.850000 1.615000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+    LAYER met1 ;
+      RECT  2.390000 1.415000  2.680000 1.460000 ;
+      RECT  2.390000 1.460000  6.380000 1.600000 ;
+      RECT  2.390000 1.600000  2.680000 1.645000 ;
+      RECT  3.310000 0.735000  3.600000 0.780000 ;
+      RECT  3.310000 0.780000  9.150000 0.920000 ;
+      RECT  3.310000 0.920000  3.600000 0.965000 ;
+      RECT  3.925000 1.755000  4.215000 1.800000 ;
+      RECT  3.925000 1.800000  5.800000 1.940000 ;
+      RECT  3.925000 1.940000  4.215000 1.985000 ;
+      RECT  4.305000 1.415000  4.595000 1.460000 ;
+      RECT  4.305000 1.600000  4.595000 1.645000 ;
+      RECT  5.510000 1.755000  5.800000 1.800000 ;
+      RECT  5.510000 1.940000  5.800000 1.985000 ;
+      RECT  6.090000 0.735000  6.380000 0.780000 ;
+      RECT  6.090000 0.920000  6.380000 0.965000 ;
+      RECT  6.090000 1.415000  6.380000 1.460000 ;
+      RECT  6.090000 1.600000  6.380000 1.645000 ;
+      RECT  6.550000 1.075000  6.840000 1.120000 ;
+      RECT  6.550000 1.120000 11.450000 1.260000 ;
+      RECT  6.550000 1.260000  6.840000 1.305000 ;
+      RECT  8.400000 1.415000  8.690000 1.460000 ;
+      RECT  8.400000 1.460000 11.910000 1.600000 ;
+      RECT  8.400000 1.600000  8.690000 1.645000 ;
+      RECT  8.860000 0.735000  9.150000 0.780000 ;
+      RECT  8.860000 0.920000  9.150000 0.965000 ;
+      RECT  9.020000 1.755000  9.310000 1.800000 ;
+      RECT  9.020000 1.800000 10.750000 1.940000 ;
+      RECT  9.020000 1.940000  9.310000 1.985000 ;
+      RECT 10.460000 1.755000 10.750000 1.800000 ;
+      RECT 10.460000 1.940000 10.750000 1.985000 ;
+      RECT 11.160000 1.075000 11.450000 1.120000 ;
+      RECT 11.160000 1.260000 11.450000 1.305000 ;
+      RECT 11.620000 1.415000 11.910000 1.460000 ;
+      RECT 11.620000 1.600000 11.910000 1.645000 ;
+  END
+END sky130_fd_sc_hd__fah_1
+MACRO sky130_fd_sc_hd__dfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.810000 1.495000 9.145000 2.465000 ;
+        RECT 8.890000 0.265000 9.145000 0.885000 ;
+        RECT 8.930000 0.885000 9.145000 1.495000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.390000  1.720000 7.565000 2.635000 ;
+      RECT 7.400000  0.085000 7.570000 0.600000 ;
+      RECT 7.905000  0.345000 8.165000 0.615000 ;
+      RECT 7.905000  1.715000 8.235000 2.445000 ;
+      RECT 7.965000  0.615000 8.165000 0.995000 ;
+      RECT 7.965000  0.995000 8.760000 1.325000 ;
+      RECT 7.965000  1.325000 8.235000 1.715000 ;
+      RECT 8.390000  0.085000 8.720000 0.825000 ;
+      RECT 8.425000  1.495000 8.640000 2.635000 ;
+      RECT 9.315000  0.085000 9.565000 0.905000 ;
+      RECT 9.315000  1.495000 9.565000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_2
+MACRO sky130_fd_sc_hd__dfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.370000 0.715000 1.650000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.890000 1.495000 7.300000 1.575000 ;
+        RECT 6.890000 1.575000 7.220000 2.420000 ;
+        RECT 6.900000 0.305000 7.230000 0.740000 ;
+        RECT 6.900000 0.740000 7.300000 0.825000 ;
+        RECT 7.055000 0.825000 7.300000 0.865000 ;
+        RECT 7.065000 1.445000 7.300000 1.495000 ;
+        RECT 7.110000 0.865000 7.300000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.315000 1.480000 8.650000 2.465000 ;
+        RECT 8.395000 0.255000 8.650000 0.910000 ;
+        RECT 8.415000 0.910000 8.650000 1.480000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.840000 0.805000 ;
+      RECT 0.175000  1.795000 0.840000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.200000 2.465000 ;
+      RECT 1.440000  2.175000 1.705000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.820000  0.675000 2.045000 0.805000 ;
+      RECT 1.820000  0.805000 1.990000 1.910000 ;
+      RECT 1.820000  1.910000 2.125000 2.040000 ;
+      RECT 1.875000  0.365000 2.210000 0.535000 ;
+      RECT 1.875000  0.535000 2.045000 0.675000 ;
+      RECT 1.875000  2.040000 2.125000 2.465000 ;
+      RECT 2.160000  1.125000 2.400000 1.720000 ;
+      RECT 2.215000  0.735000 2.740000 0.955000 ;
+      RECT 2.335000  2.190000 3.440000 2.360000 ;
+      RECT 2.405000  0.365000 3.080000 0.535000 ;
+      RECT 2.570000  0.955000 2.740000 1.655000 ;
+      RECT 2.570000  1.655000 3.100000 2.020000 ;
+      RECT 2.910000  0.535000 3.080000 1.315000 ;
+      RECT 2.910000  1.315000 3.780000 1.485000 ;
+      RECT 3.270000  1.485000 3.780000 1.575000 ;
+      RECT 3.270000  1.575000 3.440000 2.190000 ;
+      RECT 3.290000  0.765000 4.120000 1.065000 ;
+      RECT 3.290000  1.065000 3.490000 1.095000 ;
+      RECT 3.400000  0.085000 3.770000 0.585000 ;
+      RECT 3.610000  1.245000 3.780000 1.315000 ;
+      RECT 3.610000  1.835000 3.780000 2.635000 ;
+      RECT 3.950000  0.365000 4.355000 0.535000 ;
+      RECT 3.950000  0.535000 4.120000 0.765000 ;
+      RECT 3.950000  1.065000 4.120000 2.135000 ;
+      RECT 3.950000  2.135000 4.200000 2.465000 ;
+      RECT 4.290000  1.245000 4.480000 1.965000 ;
+      RECT 4.425000  2.165000 5.310000 2.335000 ;
+      RECT 4.505000  0.705000 4.970000 1.035000 ;
+      RECT 4.525000  0.365000 5.310000 0.535000 ;
+      RECT 4.650000  1.035000 4.970000 1.995000 ;
+      RECT 5.140000  0.535000 5.310000 0.995000 ;
+      RECT 5.140000  0.995000 6.020000 1.325000 ;
+      RECT 5.140000  1.325000 5.310000 2.165000 ;
+      RECT 5.480000  1.530000 6.380000 1.905000 ;
+      RECT 5.490000  2.135000 5.805000 2.635000 ;
+      RECT 5.585000  0.085000 5.795000 0.615000 ;
+      RECT 6.040000  1.905000 6.380000 2.465000 ;
+      RECT 6.060000  0.300000 6.390000 0.825000 ;
+      RECT 6.190000  0.825000 6.390000 0.995000 ;
+      RECT 6.190000  0.995000 6.940000 1.325000 ;
+      RECT 6.190000  1.325000 6.380000 1.530000 ;
+      RECT 6.550000  1.625000 6.720000 2.635000 ;
+      RECT 6.560000  0.085000 6.730000 0.695000 ;
+      RECT 7.410000  1.715000 7.740000 2.445000 ;
+      RECT 7.420000  0.345000 7.670000 0.615000 ;
+      RECT 7.470000  0.615000 7.670000 0.995000 ;
+      RECT 7.470000  0.995000 8.245000 1.325000 ;
+      RECT 7.470000  1.325000 7.740000 1.715000 ;
+      RECT 7.905000  0.085000 8.225000 0.545000 ;
+      RECT 7.930000  1.495000 8.145000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.630000  1.785000 0.800000 1.955000 ;
+      RECT 1.025000  1.445000 1.195000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.215000  1.445000 2.385000 1.615000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.730000  1.785000 2.900000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.300000  1.785000 4.470000 1.955000 ;
+      RECT 4.735000  1.445000 4.905000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.570000 1.755000 0.860000 1.800000 ;
+      RECT 0.570000 1.800000 4.530000 1.940000 ;
+      RECT 0.570000 1.940000 0.860000 1.985000 ;
+      RECT 0.965000 1.415000 1.255000 1.460000 ;
+      RECT 0.965000 1.460000 4.965000 1.600000 ;
+      RECT 0.965000 1.600000 1.255000 1.645000 ;
+      RECT 2.155000 1.415000 2.445000 1.460000 ;
+      RECT 2.155000 1.600000 2.445000 1.645000 ;
+      RECT 2.670000 1.755000 2.960000 1.800000 ;
+      RECT 2.670000 1.940000 2.960000 1.985000 ;
+      RECT 4.240000 1.755000 4.530000 1.800000 ;
+      RECT 4.240000 1.940000 4.530000 1.985000 ;
+      RECT 4.675000 1.415000 4.965000 1.460000 ;
+      RECT 4.675000 1.600000 4.965000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfxbp_1
+MACRO sky130_fd_sc_hd__or4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.640000 0.995000 3.295000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.505000 2.125000 3.145000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.950000 0.995000 1.240000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.415000 4.080000 0.760000 ;
+        RECT 3.805000 1.495000 4.080000 2.465000 ;
+        RECT 3.910000 0.760000 4.080000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.450000 0.405000 0.825000 ;
+      RECT 0.085000  0.825000 0.260000 1.865000 ;
+      RECT 0.085000  1.865000 1.940000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.660000  0.085000 0.830000 0.825000 ;
+      RECT 0.995000  1.525000 1.600000 1.695000 ;
+      RECT 1.080000  0.450000 1.250000 0.655000 ;
+      RECT 1.080000  0.655000 1.600000 0.825000 ;
+      RECT 1.410000  0.825000 1.600000 1.075000 ;
+      RECT 1.410000  1.075000 1.855000 1.245000 ;
+      RECT 1.410000  1.245000 1.600000 1.525000 ;
+      RECT 1.495000  0.085000 1.850000 0.485000 ;
+      RECT 1.535000  2.205000 2.280000 2.375000 ;
+      RECT 1.770000  1.415000 2.420000 1.585000 ;
+      RECT 1.770000  1.585000 1.940000 1.865000 ;
+      RECT 2.025000  0.305000 2.195000 0.655000 ;
+      RECT 2.025000  0.655000 3.635000 0.825000 ;
+      RECT 2.110000  1.785000 3.145000 1.955000 ;
+      RECT 2.110000  1.955000 2.280000 2.205000 ;
+      RECT 2.250000  0.995000 2.420000 1.415000 ;
+      RECT 2.395000  0.085000 2.725000 0.485000 ;
+      RECT 2.895000  0.305000 3.065000 0.655000 ;
+      RECT 2.975000  1.495000 3.635000 1.665000 ;
+      RECT 2.975000  1.665000 3.145000 1.785000 ;
+      RECT 3.235000  0.085000 3.615000 0.485000 ;
+      RECT 3.315000  1.835000 3.595000 2.635000 ;
+      RECT 3.465000  0.825000 3.635000 0.995000 ;
+      RECT 3.465000  0.995000 3.740000 1.325000 ;
+      RECT 3.465000  1.325000 3.635000 1.495000 ;
+      RECT 4.250000  0.085000 4.420000 1.025000 ;
+      RECT 4.250000  1.440000 4.420000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_2
+MACRO sky130_fd_sc_hd__or4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.235000 0.995000 3.405000 1.445000 ;
+        RECT 3.235000 1.445000 3.670000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 0.995000 3.005000 1.450000 ;
+        RECT 2.795000 1.450000 3.005000 1.785000 ;
+        RECT 2.795000 1.785000 3.115000 2.375000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.875000 1.455000 5.435000 1.625000 ;
+        RECT 3.875000 1.625000 4.125000 2.465000 ;
+        RECT 3.915000 0.255000 4.165000 0.725000 ;
+        RECT 3.915000 0.725000 5.435000 0.905000 ;
+        RECT 4.675000 0.255000 5.005000 0.725000 ;
+        RECT 4.715000 1.625000 4.965000 2.465000 ;
+        RECT 5.205000 0.905000 5.435000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.295000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.595000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.595000 0.825000 ;
+      RECT 1.125000  2.035000 1.295000 2.295000 ;
+      RECT 1.125000  2.295000 2.445000 2.465000 ;
+      RECT 1.405000  0.825000 1.595000 0.995000 ;
+      RECT 1.405000  0.995000 1.695000 1.325000 ;
+      RECT 1.405000  1.325000 1.595000 1.525000 ;
+      RECT 1.510000  1.955000 2.105000 2.125000 ;
+      RECT 1.515000  0.085000 1.845000 0.480000 ;
+      RECT 1.935000  0.655000 3.745000 0.825000 ;
+      RECT 1.935000  0.825000 2.105000 1.955000 ;
+      RECT 2.095000  0.305000 2.265000 0.655000 ;
+      RECT 2.275000  0.995000 2.445000 2.295000 ;
+      RECT 2.465000  0.085000 2.795000 0.485000 ;
+      RECT 2.965000  0.305000 3.135000 0.655000 ;
+      RECT 3.355000  0.085000 3.735000 0.485000 ;
+      RECT 3.400000  1.795000 3.650000 2.635000 ;
+      RECT 3.575000  0.825000 3.745000 1.075000 ;
+      RECT 3.575000  1.075000 5.035000 1.245000 ;
+      RECT 4.295000  1.795000 4.545000 2.635000 ;
+      RECT 4.335000  0.085000 4.505000 0.555000 ;
+      RECT 5.135000  1.795000 5.385000 2.635000 ;
+      RECT 5.175000  0.085000 5.345000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_4
+MACRO sky130_fd_sc_hd__or4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 0.995000 3.270000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.480000 2.125000 3.120000 2.455000 ;
+    END
+  END B
+  PIN C_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.695000 ;
+    END
+  END C_N
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.995000 1.235000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 0.415000 4.055000 0.760000 ;
+        RECT 3.780000 1.495000 4.055000 2.465000 ;
+        RECT 3.885000 0.760000 4.055000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.450000 0.400000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.865000 ;
+      RECT 0.085000  1.865000 1.915000 2.035000 ;
+      RECT 0.085000  2.035000 0.345000 2.455000 ;
+      RECT 0.515000  2.205000 0.845000 2.635000 ;
+      RECT 0.655000  0.085000 0.825000 0.825000 ;
+      RECT 0.990000  1.525000 1.575000 1.695000 ;
+      RECT 1.075000  0.450000 1.245000 0.655000 ;
+      RECT 1.075000  0.655000 1.575000 0.825000 ;
+      RECT 1.405000  0.825000 1.575000 1.075000 ;
+      RECT 1.405000  1.075000 1.830000 1.245000 ;
+      RECT 1.405000  1.245000 1.575000 1.525000 ;
+      RECT 1.470000  0.085000 1.845000 0.485000 ;
+      RECT 1.510000  2.205000 2.255000 2.375000 ;
+      RECT 1.745000  1.415000 2.395000 1.585000 ;
+      RECT 1.745000  1.585000 1.915000 1.865000 ;
+      RECT 2.015000  0.305000 2.185000 0.655000 ;
+      RECT 2.015000  0.655000 3.610000 0.825000 ;
+      RECT 2.085000  1.785000 3.120000 1.955000 ;
+      RECT 2.085000  1.955000 2.255000 2.205000 ;
+      RECT 2.225000  0.995000 2.395000 1.415000 ;
+      RECT 2.370000  0.085000 2.700000 0.485000 ;
+      RECT 2.870000  0.305000 3.040000 0.655000 ;
+      RECT 2.950000  1.495000 3.610000 1.665000 ;
+      RECT 2.950000  1.665000 3.120000 1.785000 ;
+      RECT 3.210000  0.085000 3.590000 0.485000 ;
+      RECT 3.290000  1.835000 3.570000 2.635000 ;
+      RECT 3.440000  0.825000 3.610000 0.995000 ;
+      RECT 3.440000  0.995000 3.715000 1.325000 ;
+      RECT 3.440000  1.325000 3.610000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4bb_1
+MACRO sky130_fd_sc_hd__a32oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.230000 1.075000 1.595000 1.255000 ;
+        RECT 1.405000 0.345000 1.705000 0.765000 ;
+        RECT 1.405000 0.765000 1.595000 1.075000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.805000 0.995000 2.165000 1.325000 ;
+        RECT 1.965000 0.415000 2.165000 0.995000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.015000 2.750000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.995000 1.025000 1.425000 ;
+        RECT 0.855000 1.425000 1.255000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.575500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 1.165000 0.805000 ;
+        RECT 0.515000 0.805000 0.685000 1.785000 ;
+        RECT 0.515000 1.785000 0.865000 2.085000 ;
+        RECT 0.915000 0.295000 1.165000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.835000 0.345000 2.255000 ;
+      RECT 0.085000  2.255000 1.345000 2.465000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 1.095000  1.785000 2.185000 1.955000 ;
+      RECT 1.095000  1.955000 1.345000 2.255000 ;
+      RECT 1.555000  2.135000 1.805000 2.635000 ;
+      RECT 2.015000  1.745000 2.185000 1.785000 ;
+      RECT 2.015000  1.955000 2.185000 2.465000 ;
+      RECT 2.355000  0.085000 2.695000 0.805000 ;
+      RECT 2.355000  1.495000 2.695000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_1
+MACRO sky130_fd_sc_hd__a32oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.075000 5.465000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.095000 1.075000 7.695000 1.300000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.295000 1.075000 9.985000 1.280000 ;
+        RECT 9.805000 0.755000 9.985000 1.075000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.585000 0.995000 3.555000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.750000 1.305000 ;
+        RECT 0.110000 1.305000 0.330000 1.965000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 3.365000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 1.355000 1.745000 1.685000 2.085000 ;
+        RECT 1.975000 0.990000 2.365000 1.575000 ;
+        RECT 1.975000 1.745000 2.525000 2.085000 ;
+        RECT 2.195000 0.635000 5.565000 0.805000 ;
+        RECT 2.195000 0.805000 2.365000 0.990000 ;
+        RECT 3.035000 1.745000 3.365000 2.085000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.095000  2.255000  3.705000 2.425000 ;
+      RECT 0.175000  0.255000  0.345000 0.635000 ;
+      RECT 0.175000  0.635000  2.025000 0.805000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 1.015000  0.255000  1.185000 0.635000 ;
+      RECT 1.355000  0.085000  1.685000 0.465000 ;
+      RECT 1.855000  0.295000  3.785000 0.465000 ;
+      RECT 1.855000  0.465000  2.025000 0.635000 ;
+      RECT 3.535000  1.575000  9.925000 1.745000 ;
+      RECT 3.535000  1.745000  3.705000 2.255000 ;
+      RECT 3.895000  1.915000  4.225000 2.635000 ;
+      RECT 3.975000  0.295000  7.805000 0.465000 ;
+      RECT 4.395000  1.745000  4.565000 2.465000 ;
+      RECT 4.770000  1.915000  5.440000 2.635000 ;
+      RECT 5.640000  1.745000  5.810000 2.465000 ;
+      RECT 6.215000  0.635000  9.505000 0.805000 ;
+      RECT 6.215000  1.915000  6.545000 2.635000 ;
+      RECT 6.715000  1.745000  6.885000 2.465000 ;
+      RECT 7.055000  1.915000  7.385000 2.635000 ;
+      RECT 7.555000  1.745000  7.725000 2.465000 ;
+      RECT 7.995000  0.085000  8.325000 0.465000 ;
+      RECT 8.415000  1.915000  8.745000 2.635000 ;
+      RECT 8.495000  0.255000  8.665000 0.635000 ;
+      RECT 8.835000  0.085000  9.165000 0.465000 ;
+      RECT 8.915000  1.745000  9.085000 2.465000 ;
+      RECT 9.255000  1.915000  9.585000 2.635000 ;
+      RECT 9.335000  0.255000  9.505000 0.635000 ;
+      RECT 9.685000  0.085000 10.025000 0.465000 ;
+      RECT 9.755000  1.745000  9.925000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_4
+MACRO sky130_fd_sc_hd__a32oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 1.075000 3.220000 1.625000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.075000 4.480000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.715000 1.075000 5.860000 1.625000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.045000 1.080000 1.725000 1.285000 ;
+        RECT 1.175000 1.075000 1.505000 1.080000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 1.075000 0.825000 1.285000 ;
+        RECT 0.145000 1.285000 0.325000 1.625000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.955000 0.845000 2.125000 ;
+        RECT 0.595000 1.455000 2.180000 1.625000 ;
+        RECT 0.595000 1.625000 0.765000 1.955000 ;
+        RECT 1.355000 0.655000 3.100000 0.825000 ;
+        RECT 1.435000 1.625000 1.605000 2.125000 ;
+        RECT 1.965000 0.825000 2.180000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.095000  0.295000 0.425000 0.465000 ;
+      RECT 0.175000  0.465000 0.345000 0.715000 ;
+      RECT 0.175000  0.715000 1.185000 0.885000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.295000 2.115000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.855000  1.795000 2.025000 1.915000 ;
+      RECT 1.855000  1.915000 5.805000 2.085000 ;
+      RECT 1.855000  2.085000 2.025000 2.295000 ;
+      RECT 2.270000  2.255000 2.940000 2.635000 ;
+      RECT 2.350000  0.295000 4.370000 0.465000 ;
+      RECT 3.180000  1.795000 3.350000 1.915000 ;
+      RECT 3.180000  2.085000 3.350000 2.465000 ;
+      RECT 3.550000  2.255000 4.220000 2.635000 ;
+      RECT 3.620000  0.635000 5.390000 0.805000 ;
+      RECT 4.390000  1.795000 4.560000 1.915000 ;
+      RECT 4.390000  2.085000 4.560000 2.465000 ;
+      RECT 4.555000  0.085000 4.890000 0.465000 ;
+      RECT 4.765000  2.255000 5.435000 2.635000 ;
+      RECT 5.060000  0.275000 5.390000 0.635000 ;
+      RECT 5.560000  0.085000 5.885000 0.885000 ;
+      RECT 5.635000  1.795000 5.805000 1.915000 ;
+      RECT 5.635000  2.085000 5.805000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32oi_2
+MACRO sky130_fd_sc_hd__nand4bb_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 1.170000 0.890000 1.340000 ;
+        RECT 0.610000 1.070000 0.890000 1.170000 ;
+        RECT 0.610000 1.340000 0.890000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.070000 0.330000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.720000 1.075000 4.615000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.945000 1.075000 5.875000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 0.655000 2.415000 1.445000 ;
+        RECT 2.085000 1.445000 5.455000 1.665000 ;
+        RECT 2.085000 1.665000 2.335000 2.465000 ;
+        RECT 2.925000 1.665000 3.255000 2.465000 ;
+        RECT 3.245000 1.075000 3.550000 1.445000 ;
+        RECT 4.285000 1.665000 4.615000 2.465000 ;
+        RECT 5.125000 1.665000 5.455000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.730000 ;
+      RECT 0.085000  0.730000 1.230000 0.900000 ;
+      RECT 0.085000  1.785000 1.230000 1.980000 ;
+      RECT 0.085000  1.980000 0.370000 2.440000 ;
+      RECT 0.515000  0.085000 0.765000 0.545000 ;
+      RECT 0.540000  2.195000 0.765000 2.635000 ;
+      RECT 0.935000  0.255000 1.575000 0.560000 ;
+      RECT 0.935000  2.150000 1.575000 2.465000 ;
+      RECT 1.060000  0.900000 1.230000 1.785000 ;
+      RECT 1.400000  0.560000 1.575000 0.715000 ;
+      RECT 1.400000  0.715000 1.580000 1.410000 ;
+      RECT 1.400000  1.410000 1.575000 2.150000 ;
+      RECT 1.745000  0.255000 3.675000 0.485000 ;
+      RECT 1.745000  0.485000 1.915000 0.585000 ;
+      RECT 1.745000  1.495000 1.915000 2.635000 ;
+      RECT 2.505000  1.835000 2.755000 2.635000 ;
+      RECT 2.745000  1.075000 3.075000 1.275000 ;
+      RECT 2.925000  0.655000 4.615000 0.905000 ;
+      RECT 3.425000  1.835000 4.115000 2.635000 ;
+      RECT 3.865000  0.255000 5.035000 0.485000 ;
+      RECT 4.785000  0.485000 5.035000 0.735000 ;
+      RECT 4.785000  0.735000 5.895000 0.905000 ;
+      RECT 4.785000  1.835000 4.955000 2.635000 ;
+      RECT 5.205000  0.085000 5.375000 0.565000 ;
+      RECT 5.545000  0.255000 5.895000 0.735000 ;
+      RECT 5.625000  1.445000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.060000  1.105000 1.230000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.105000 3.075000 1.275000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.000000 1.075000 3.135000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_2
+MACRO sky130_fd_sc_hd__nand4bb_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.995000 0.975000 1.615000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.120000 1.075000 7.910000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.420000 1.075000 10.015000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.540000 0.655000 3.990000 0.905000 ;
+        RECT 2.540000 1.445000 9.590000 1.665000 ;
+        RECT 2.540000 1.665000 2.790000 2.465000 ;
+        RECT 3.380000 1.665000 3.710000 2.465000 ;
+        RECT 3.700000 0.905000 3.990000 1.445000 ;
+        RECT 4.220000 1.665000 4.550000 2.465000 ;
+        RECT 5.060000 1.665000 5.390000 2.465000 ;
+        RECT 6.740000 1.665000 7.070000 2.465000 ;
+        RECT 7.580000 1.665000 7.910000 2.465000 ;
+        RECT 8.420000 1.665000 8.750000 2.465000 ;
+        RECT 9.260000 1.665000 9.590000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  0.345000 0.635000 ;
+      RECT 0.085000  0.635000  1.455000 0.805000 ;
+      RECT 0.085000  1.785000  1.455000 1.980000 ;
+      RECT 0.085000  1.980000  0.370000 2.440000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.540000  2.195000  0.765000 2.635000 ;
+      RECT 0.935000  2.150000  1.795000 2.465000 ;
+      RECT 1.015000  0.255000  1.795000 0.465000 ;
+      RECT 1.145000  0.805000  1.455000 1.785000 ;
+      RECT 1.625000  0.465000  1.795000 1.075000 ;
+      RECT 1.625000  1.075000  2.210000 1.305000 ;
+      RECT 1.625000  1.305000  1.795000 2.150000 ;
+      RECT 2.200000  0.255000  5.810000 0.485000 ;
+      RECT 2.200000  0.485000  2.370000 0.905000 ;
+      RECT 2.200000  1.495000  2.370000 2.635000 ;
+      RECT 2.540000  1.075000  3.285000 1.245000 ;
+      RECT 2.960000  1.835000  3.210000 2.635000 ;
+      RECT 3.880000  1.835000  4.050000 2.635000 ;
+      RECT 4.160000  1.075000  5.390000 1.275000 ;
+      RECT 4.220000  0.655000  5.390000 0.735000 ;
+      RECT 4.220000  0.735000  6.150000 0.905000 ;
+      RECT 4.720000  1.835000  4.890000 2.635000 ;
+      RECT 5.610000  1.835000  6.540000 2.635000 ;
+      RECT 5.980000  0.255000  7.910000 0.485000 ;
+      RECT 5.980000  0.485000  6.150000 0.735000 ;
+      RECT 6.320000  0.655000 10.035000 0.905000 ;
+      RECT 7.240000  1.835000  7.410000 2.635000 ;
+      RECT 8.080000  1.835000  8.250000 2.635000 ;
+      RECT 8.420000  0.085000  8.750000 0.485000 ;
+      RECT 8.920000  1.835000  9.090000 2.635000 ;
+      RECT 9.260000  0.085000  9.590000 0.485000 ;
+      RECT 9.760000  1.445000 10.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.980000  1.105000 2.150000 1.275000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.280000  1.105000 4.450000 1.275000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.920000 1.075000 2.210000 1.120000 ;
+      RECT 1.920000 1.120000 4.510000 1.260000 ;
+      RECT 1.920000 1.260000 2.210000 1.305000 ;
+      RECT 4.220000 1.075000 4.510000 1.120000 ;
+      RECT 4.220000 1.260000 4.510000 1.305000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_4
+MACRO sky130_fd_sc_hd__nand4bb_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.390000 0.725000 3.640000 1.615000 ;
+    END
+  END A_N
+  PIN B_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.655000 ;
+    END
+  END B_N
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.735000 1.720000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.970000 1.075000 1.320000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.909000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.120000 1.495000 2.670000 1.665000 ;
+        RECT 1.120000 1.665000 1.450000 2.465000 ;
+        RECT 2.140000 1.665000 2.470000 2.465000 ;
+        RECT 2.420000 0.255000 2.930000 0.825000 ;
+        RECT 2.420000 0.825000 2.670000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.485000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.270000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 2.065000 ;
+      RECT 0.085000  2.065000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.900000 0.545000 ;
+      RECT 0.595000  1.835000 0.925000 2.635000 ;
+      RECT 1.080000  0.365000 2.250000 0.555000 ;
+      RECT 1.080000  0.555000 1.270000 0.715000 ;
+      RECT 1.640000  1.835000 1.970000 2.635000 ;
+      RECT 1.970000  0.555000 2.250000 1.325000 ;
+      RECT 2.680000  2.175000 3.450000 2.635000 ;
+      RECT 2.840000  0.995000 3.090000 1.835000 ;
+      RECT 2.840000  1.835000 4.055000 2.005000 ;
+      RECT 3.100000  0.085000 3.450000 0.545000 ;
+      RECT 3.620000  0.255000 4.055000 0.545000 ;
+      RECT 3.635000  2.005000 4.055000 2.465000 ;
+      RECT 3.810000  0.545000 4.055000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4bb_1
+MACRO sky130_fd_sc_hd__o21a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.345000 1.075000 2.675000 1.275000 ;
+        RECT 2.445000 1.275000 2.675000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.705000 1.075000 2.035000 1.095000 ;
+        RECT 1.705000 1.095000 2.155000 1.275000 ;
+        RECT 1.940000 1.275000 2.155000 2.390000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.075000 1.535000 1.305000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 1.030000 ;
+        RECT 0.085000 1.030000 0.365000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.535000  1.860000 1.245000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.595000  0.715000 1.305000 0.905000 ;
+      RECT 0.595000  0.905000 0.880000 1.475000 ;
+      RECT 0.595000  1.475000 1.745000 1.690000 ;
+      RECT 1.005000  0.255000 1.365000 0.520000 ;
+      RECT 1.005000  0.520000 1.360000 0.525000 ;
+      RECT 1.005000  0.525000 1.355000 0.535000 ;
+      RECT 1.005000  0.535000 1.350000 0.540000 ;
+      RECT 1.005000  0.540000 1.345000 0.550000 ;
+      RECT 1.005000  0.550000 1.340000 0.555000 ;
+      RECT 1.005000  0.555000 1.330000 0.565000 ;
+      RECT 1.005000  0.565000 1.320000 0.575000 ;
+      RECT 1.005000  0.575000 1.305000 0.715000 ;
+      RECT 1.415000  1.690000 1.745000 2.465000 ;
+      RECT 1.495000  0.635000 1.825000 0.715000 ;
+      RECT 1.495000  0.715000 2.675000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.545000 ;
+      RECT 2.335000  0.255000 2.675000 0.715000 ;
+      RECT 2.335000  1.915000 2.665000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_1
+MACRO sky130_fd_sc_hd__o21a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.125000 1.450000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 1.025000 2.610000 1.400000 ;
+        RECT 2.405000 1.400000 2.610000 1.985000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 1.010000 1.855000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.530000 0.255000 0.775000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  1.635000 0.345000 2.635000 ;
+      RECT 0.105000  0.085000 0.345000 0.885000 ;
+      RECT 0.945000  0.085000 1.275000 0.465000 ;
+      RECT 0.945000  0.635000 1.795000 0.840000 ;
+      RECT 0.945000  0.840000 1.275000 1.330000 ;
+      RECT 0.945000  2.185000 1.795000 2.635000 ;
+      RECT 1.105000  1.330000 1.275000 1.785000 ;
+      RECT 1.105000  1.785000 2.225000 2.005000 ;
+      RECT 1.465000  0.255000 1.795000 0.635000 ;
+      RECT 1.965000  0.465000 2.175000 0.635000 ;
+      RECT 1.965000  0.635000 3.120000 0.825000 ;
+      RECT 1.965000  2.005000 2.225000 2.465000 ;
+      RECT 2.345000  0.085000 2.675000 0.465000 ;
+      RECT 2.795000  1.650000 3.120000 2.635000 ;
+      RECT 2.845000  0.495000 3.120000 0.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_2
+MACRO sky130_fd_sc_hd__o21a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.480000 0.990000 3.785000 1.495000 ;
+        RECT 3.480000 1.495000 5.400000 1.705000 ;
+        RECT 5.030000 0.995000 5.400000 1.495000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.140000 0.995000 4.690000 1.325000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 1.075000 3.155000 1.615000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.635000 1.715000 0.805000 ;
+        RECT 0.090000 0.805000 0.320000 1.530000 ;
+        RECT 0.090000 1.530000 1.955000 1.700000 ;
+        RECT 0.595000 0.615000 1.715000 0.635000 ;
+        RECT 0.915000 1.700000 1.105000 2.465000 ;
+        RECT 1.775000 1.700000 1.955000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.415000  1.870000 0.745000 2.635000 ;
+      RECT 0.490000  0.995000 2.315000 1.335000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 1.275000  1.870000 1.605000 2.635000 ;
+      RECT 1.815000  0.085000 2.145000 0.465000 ;
+      RECT 2.115000  0.655000 3.095000 0.870000 ;
+      RECT 2.115000  0.870000 2.315000 0.995000 ;
+      RECT 2.125000  1.335000 2.315000 1.830000 ;
+      RECT 2.125000  1.830000 2.845000 1.875000 ;
+      RECT 2.125000  1.875000 4.545000 2.085000 ;
+      RECT 2.135000  2.255000 2.485000 2.635000 ;
+      RECT 2.335000  0.255000 3.605000 0.485000 ;
+      RECT 2.655000  2.085000 4.545000 2.105000 ;
+      RECT 2.655000  2.105000 2.845000 2.465000 ;
+      RECT 3.015000  2.275000 3.685000 2.635000 ;
+      RECT 3.275000  0.485000 3.605000 0.615000 ;
+      RECT 3.275000  0.615000 5.405000 0.785000 ;
+      RECT 3.775000  0.085000 4.115000 0.445000 ;
+      RECT 4.215000  2.105000 4.545000 2.445000 ;
+      RECT 4.645000  0.085000 4.975000 0.445000 ;
+      RECT 5.075000  1.935000 5.435000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21a_4
+MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 2.085000 1.735000 2.415000 ;
+    END
+  END A
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.425000 1.325000 ;
+    END
+  END SLEEP_B
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.405000 0.415000 2.675000 0.760000 ;
+        RECT 2.405000 1.495000 2.675000 2.465000 ;
+        RECT 2.505000 0.760000 2.675000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.110000  0.265000 0.420000 0.735000 ;
+      RECT 0.110000  0.735000 0.845000 0.905000 ;
+      RECT 0.590000  0.085000 1.325000 0.565000 ;
+      RECT 0.595000  0.905000 0.845000 0.995000 ;
+      RECT 0.595000  0.995000 1.335000 1.325000 ;
+      RECT 0.595000  1.325000 0.765000 1.885000 ;
+      RECT 0.990000  1.495000 2.235000 1.665000 ;
+      RECT 0.990000  1.665000 1.410000 1.915000 ;
+      RECT 1.495000  0.305000 1.665000 0.655000 ;
+      RECT 1.495000  0.655000 2.235000 0.825000 ;
+      RECT 1.835000  0.085000 2.215000 0.485000 ;
+      RECT 1.915000  1.835000 2.195000 2.635000 ;
+      RECT 2.065000  0.825000 2.235000 0.995000 ;
+      RECT 2.065000  0.995000 2.295000 1.325000 ;
+      RECT 2.065000  1.325000 2.235000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1n_1
+MACRO sky130_fd_sc_hd__and2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.125000 0.995000 0.435000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.995000 0.980000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.924000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.515000 1.720000 0.615000 ;
+        RECT 1.530000 0.615000 3.135000 0.845000 ;
+        RECT 1.530000 1.535000 3.135000 1.760000 ;
+        RECT 1.530000 1.760000 1.720000 2.465000 ;
+        RECT 2.390000 0.255000 2.580000 0.615000 ;
+        RECT 2.390000 1.760000 3.135000 1.765000 ;
+        RECT 2.390000 1.765000 2.580000 2.465000 ;
+        RECT 2.855000 0.845000 3.135000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.255000 0.425000 0.615000 ;
+      RECT 0.095000  0.615000 1.360000 0.805000 ;
+      RECT 0.095000  1.880000 0.425000 2.635000 ;
+      RECT 0.605000  1.580000 1.360000 1.750000 ;
+      RECT 0.605000  1.750000 0.785000 2.465000 ;
+      RECT 0.955000  0.085000 1.285000 0.445000 ;
+      RECT 0.990000  1.935000 1.320000 2.635000 ;
+      RECT 1.150000  0.805000 1.360000 1.020000 ;
+      RECT 1.150000  1.020000 2.685000 1.355000 ;
+      RECT 1.150000  1.355000 1.360000 1.580000 ;
+      RECT 1.890000  0.085000 2.220000 0.445000 ;
+      RECT 1.890000  1.935000 2.220000 2.635000 ;
+      RECT 2.750000  0.085000 3.080000 0.445000 ;
+      RECT 2.750000  1.935000 3.080000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_4
+MACRO sky130_fd_sc_hd__and2_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.185000 0.430000 1.955000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.080000 1.270000 1.615000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.280900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.255000 2.215000 0.525000 ;
+        RECT 1.790000 1.835000 2.215000 2.465000 ;
+        RECT 1.950000 0.525000 2.215000 1.835000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.160000  2.175000 0.430000 2.635000 ;
+      RECT 0.185000  0.280000 0.490000 0.695000 ;
+      RECT 0.185000  0.695000 1.780000 0.910000 ;
+      RECT 0.185000  0.910000 0.770000 0.950000 ;
+      RECT 0.600000  0.950000 0.770000 2.135000 ;
+      RECT 0.600000  2.135000 0.865000 2.465000 ;
+      RECT 0.950000  0.085000 1.390000 0.525000 ;
+      RECT 1.110000  1.835000 1.620000 2.635000 ;
+      RECT 1.450000  0.910000 1.780000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_0
+MACRO sky130_fd_sc_hd__and2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 0.775000 1.325000 ;
+        RECT 0.100000 1.325000 0.365000 1.685000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.995000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.657000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.655000 0.255000 2.215000 0.545000 ;
+        RECT 1.755000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.235000  0.085000 1.485000 0.545000 ;
+      RECT 1.235000  1.915000 1.565000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_1
+MACRO sky130_fd_sc_hd__and2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.775000 1.325000 ;
+        RECT 0.085000 1.325000 0.400000 1.765000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 1.075000 1.335000 1.325000 ;
+    END
+  END B
+  PIN X
+    ANTENNADIFFAREA  0.643500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.665000 0.255000 2.215000 0.545000 ;
+        RECT 1.765000 1.915000 2.215000 2.465000 ;
+        RECT 1.965000 0.545000 2.215000 1.915000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.355000 0.615000 0.715000 ;
+      RECT 0.285000  0.715000 1.675000 0.905000 ;
+      RECT 0.285000  1.965000 0.565000 2.635000 ;
+      RECT 0.735000  1.575000 1.675000 1.745000 ;
+      RECT 0.735000  1.745000 1.035000 2.295000 ;
+      RECT 1.245000  0.085000 1.495000 0.545000 ;
+      RECT 1.245000  1.915000 1.575000 2.635000 ;
+      RECT 1.505000  0.905000 1.675000 0.995000 ;
+      RECT 1.505000  0.995000 1.795000 1.325000 ;
+      RECT 1.505000  1.325000 1.675000 1.575000 ;
+      RECT 2.385000  0.085000 2.675000 0.885000 ;
+      RECT 2.385000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and2_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 1.645000 2.175000 1.955000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.445000 1.615000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.580000 2.655000 2.365000 ;
+        RECT 2.415000 0.255000 2.655000 0.775000 ;
+        RECT 2.480000 0.775000 2.655000 1.580000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.590000 ;
+      RECT 0.175000  1.785000 0.850000 2.015000 ;
+      RECT 0.175000  2.015000 0.345000 2.445000 ;
+      RECT 0.515000  2.185000 0.845000 2.635000 ;
+      RECT 0.595000  0.280000 0.835000 0.655000 ;
+      RECT 0.615000  0.655000 0.835000 0.805000 ;
+      RECT 0.615000  0.805000 1.150000 1.135000 ;
+      RECT 0.615000  1.135000 0.850000 1.785000 ;
+      RECT 1.020000  1.305000 2.305000 1.325000 ;
+      RECT 1.020000  1.325000 1.880000 1.475000 ;
+      RECT 1.020000  1.475000 1.305000 2.420000 ;
+      RECT 1.115000  0.270000 1.285000 0.415000 ;
+      RECT 1.115000  0.415000 1.490000 0.610000 ;
+      RECT 1.320000  0.610000 1.490000 0.945000 ;
+      RECT 1.320000  0.945000 2.305000 1.305000 ;
+      RECT 1.485000  2.165000 2.170000 2.635000 ;
+      RECT 1.850000  0.085000 2.245000 0.580000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso0p_1
+MACRO sky130_fd_sc_hd__sdfrtp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 0.995000 ;
+        RECT 11.190000 0.995000 12.240000 1.325000 ;
+        RECT 11.190000 1.325000 11.400000 1.445000 ;
+        RECT 11.990000 0.265000 12.240000 0.995000 ;
+        RECT 11.990000 1.325000 12.240000 2.325000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 13.070000 2.910000 ;
+        RECT  4.405000 1.305000 13.070000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+      RECT 12.410000  0.085000 12.580000 0.545000 ;
+      RECT 12.410000  1.495000 12.660000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_4
+MACRO sky130_fd_sc_hd__sdfrtp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.50000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.500000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 11.690000 2.910000 ;
+        RECT  4.405000 1.305000 11.690000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.500000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.500000 0.085000 ;
+      RECT  0.000000  2.635000 11.500000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_1
+MACRO sky130_fd_sc_hd__sdfrtp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.144000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.735000 1.355000 3.120000 1.785000 ;
+        RECT 2.865000 1.785000 3.120000 2.465000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.140000 0.265000 11.400000 0.795000 ;
+        RECT 11.140000 1.460000 11.400000 2.325000 ;
+        RECT 11.150000 1.445000 11.400000 1.460000 ;
+        RECT 11.190000 0.795000 11.400000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.505000 0.765000 7.035000 1.045000 ;
+      LAYER mcon ;
+        RECT 6.865000 0.765000 7.035000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.525000 1.065000 10.115000 1.275000 ;
+        RECT 9.825000 0.635000 10.115000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.690000 1.105000  9.860000 1.275000 ;
+        RECT 9.945000 0.765000 10.115000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.445000 0.735000  7.095000 0.780000 ;
+        RECT 6.445000 0.780000 10.175000 0.920000 ;
+        RECT 6.445000 0.920000  7.095000 0.965000 ;
+        RECT 9.630000 0.920000 10.175000 0.965000 ;
+        RECT 9.630000 0.965000  9.920000 1.305000 ;
+        RECT 9.885000 0.735000 10.175000 0.780000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.156600 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.020000 0.285000 4.275000 0.710000 ;
+        RECT 4.020000 0.710000 4.395000 1.700000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.435000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 1.985000 1.730000 2.465000 ;
+        RECT 1.485000 1.070000 1.730000 1.985000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.140000 0.975000 0.490000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.215000 -0.010000 0.235000 0.015000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000  1.970000 1.425000 ;
+        RECT -0.190000 1.425000 12.150000 2.910000 ;
+        RECT  4.405000 1.305000 12.150000 1.425000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.090000  1.795000  0.865000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.095000  0.345000  0.345000 0.635000 ;
+      RECT  0.095000  0.635000  0.835000 0.805000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.530000  2.135000  0.860000 2.635000 ;
+      RECT  0.660000  0.805000  0.835000 0.995000 ;
+      RECT  0.660000  0.995000  0.975000 1.325000 ;
+      RECT  0.660000  1.325000  0.865000 1.795000 ;
+      RECT  1.015000  0.345000  1.315000 0.675000 ;
+      RECT  1.035000  1.730000  1.315000 1.900000 ;
+      RECT  1.035000  1.900000  1.205000 2.465000 ;
+      RECT  1.145000  0.675000  1.315000 1.730000 ;
+      RECT  1.535000  0.395000  1.705000 0.730000 ;
+      RECT  1.535000  0.730000  2.225000 0.900000 ;
+      RECT  1.875000  0.085000  2.205000 0.560000 ;
+      RECT  1.900000  2.055000  2.150000 2.400000 ;
+      RECT  1.980000  1.260000  2.470000 1.455000 ;
+      RECT  1.980000  1.455000  2.150000 2.055000 ;
+      RECT  2.055000  0.900000  2.225000 0.995000 ;
+      RECT  2.055000  0.995000  3.085000 1.185000 ;
+      RECT  2.055000  1.185000  2.470000 1.260000 ;
+      RECT  2.320000  2.040000  2.490000 2.635000 ;
+      RECT  2.395000  0.085000  2.725000 0.825000 ;
+      RECT  2.915000  0.255000  3.850000 0.425000 ;
+      RECT  2.915000  0.425000  3.085000 0.995000 ;
+      RECT  3.255000  0.675000  3.425000 1.015000 ;
+      RECT  3.255000  1.015000  3.460000 1.185000 ;
+      RECT  3.290000  1.185000  3.460000 1.935000 ;
+      RECT  3.290000  1.935000  5.075000 2.105000 ;
+      RECT  3.460000  2.105000  3.630000 2.465000 ;
+      RECT  3.680000  0.425000  3.850000 1.685000 ;
+      RECT  4.300000  2.275000  4.630000 2.635000 ;
+      RECT  4.445000  0.085000  4.775000 0.540000 ;
+      RECT  4.565000  0.715000  5.145000 0.895000 ;
+      RECT  4.565000  0.895000  4.735000 1.935000 ;
+      RECT  4.905000  1.065000  5.075000 1.395000 ;
+      RECT  4.905000  2.105000  5.075000 2.185000 ;
+      RECT  4.905000  2.185000  5.275000 2.435000 ;
+      RECT  4.975000  0.335000  5.315000 0.505000 ;
+      RECT  4.975000  0.505000  5.145000 0.715000 ;
+      RECT  5.245000  1.575000  5.495000 1.955000 ;
+      RECT  5.325000  0.705000  5.975000 1.035000 ;
+      RECT  5.325000  1.035000  5.495000 1.575000 ;
+      RECT  5.470000  2.135000  5.835000 2.465000 ;
+      RECT  5.485000  0.305000  6.335000 0.475000 ;
+      RECT  5.665000  1.215000  7.375000 1.385000 ;
+      RECT  5.665000  1.385000  5.835000 2.135000 ;
+      RECT  6.005000  1.935000  7.165000 2.105000 ;
+      RECT  6.005000  2.105000  6.175000 2.375000 ;
+      RECT  6.165000  0.475000  6.335000 1.215000 ;
+      RECT  6.285000  1.595000  7.715000 1.765000 ;
+      RECT  6.410000  2.355000  6.740000 2.635000 ;
+      RECT  6.915000  0.085000  7.245000 0.545000 ;
+      RECT  6.995000  2.105000  7.165000 2.375000 ;
+      RECT  7.205000  1.005000  7.375000 1.215000 ;
+      RECT  7.375000  2.175000  7.745000 2.635000 ;
+      RECT  7.455000  0.275000  7.785000 0.445000 ;
+      RECT  7.455000  0.445000  7.715000 0.835000 ;
+      RECT  7.455000  1.765000  7.715000 1.835000 ;
+      RECT  7.455000  1.835000  8.140000 2.005000 ;
+      RECT  7.545000  0.835000  7.715000 1.595000 ;
+      RECT  7.885000  0.705000  8.095000 1.495000 ;
+      RECT  7.885000  1.495000  8.520000 1.655000 ;
+      RECT  7.885000  1.655000  8.870000 1.665000 ;
+      RECT  7.970000  2.005000  8.140000 2.465000 ;
+      RECT  8.005000  0.255000  8.915000 0.535000 ;
+      RECT  8.310000  1.665000  8.870000 1.935000 ;
+      RECT  8.310000  1.935000  8.840000 1.955000 ;
+      RECT  8.320000  2.125000  9.190000 2.465000 ;
+      RECT  8.405000  0.920000  8.575000 1.325000 ;
+      RECT  8.745000  0.535000  8.915000 1.315000 ;
+      RECT  8.745000  1.315000  9.210000 1.485000 ;
+      RECT  9.015000  2.035000  9.210000 2.115000 ;
+      RECT  9.015000  2.115000  9.190000 2.125000 ;
+      RECT  9.040000  1.485000  9.210000 1.575000 ;
+      RECT  9.040000  1.575000 10.205000 1.745000 ;
+      RECT  9.040000  1.745000  9.210000 2.035000 ;
+      RECT  9.085000  0.085000  9.255000 0.525000 ;
+      RECT  9.125000  0.695000  9.655000 0.865000 ;
+      RECT  9.125000  0.865000  9.295000 1.145000 ;
+      RECT  9.360000  2.195000  9.610000 2.635000 ;
+      RECT  9.485000  0.295000 10.515000 0.465000 ;
+      RECT  9.485000  0.465000  9.655000 0.695000 ;
+      RECT  9.780000  1.915000 10.545000 2.085000 ;
+      RECT  9.780000  2.085000  9.950000 2.375000 ;
+      RECT 10.120000  2.255000 10.450000 2.635000 ;
+      RECT 10.345000  0.465000 10.515000 0.995000 ;
+      RECT 10.345000  0.995000 11.020000 1.295000 ;
+      RECT 10.375000  1.295000 11.020000 1.325000 ;
+      RECT 10.375000  1.325000 10.545000 1.915000 ;
+      RECT 10.720000  0.085000 10.890000 0.545000 ;
+      RECT 10.720000  1.495000 10.970000 2.635000 ;
+      RECT 11.570000  0.085000 11.740000 0.545000 ;
+      RECT 11.570000  1.495000 11.820000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.805000  1.105000  0.975000 1.275000 ;
+      RECT  1.035000  1.785000  1.205000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.905000  1.105000  5.075000 1.275000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.325000  1.785000  5.495000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.405000  1.105000  8.575000 1.275000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.445000  1.785000  8.615000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.745000 1.075000 1.035000 1.120000 ;
+      RECT 0.745000 1.120000 8.635000 1.260000 ;
+      RECT 0.745000 1.260000 1.035000 1.305000 ;
+      RECT 0.970000 1.755000 1.270000 1.800000 ;
+      RECT 0.970000 1.800000 8.675000 1.940000 ;
+      RECT 0.970000 1.940000 1.270000 1.985000 ;
+      RECT 4.845000 1.075000 5.135000 1.120000 ;
+      RECT 4.845000 1.260000 5.135000 1.305000 ;
+      RECT 5.265000 1.755000 5.555000 1.800000 ;
+      RECT 5.265000 1.940000 5.555000 1.985000 ;
+      RECT 8.345000 1.075000 8.635000 1.120000 ;
+      RECT 8.345000 1.260000 8.635000 1.305000 ;
+      RECT 8.385000 1.755000 8.675000 1.800000 ;
+      RECT 8.385000 1.940000 8.675000 1.985000 ;
+  END
+END sky130_fd_sc_hd__sdfrtp_2
+MACRO sky130_fd_sc_hd__o2bb2ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.625000 1.445000 ;
+        RECT 0.090000 1.445000 1.945000 1.615000 ;
+        RECT 1.615000 1.075000 1.945000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.795000 1.075000 1.400000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.410000 1.075000 3.740000 1.445000 ;
+        RECT 3.410000 1.445000 5.435000 1.615000 ;
+        RECT 4.730000 1.075000 5.435000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.960000 1.075000 4.500000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.745000 0.645000 3.075000 1.075000 ;
+        RECT 2.745000 1.075000 3.215000 1.785000 ;
+        RECT 2.745000 1.785000 4.330000 1.955000 ;
+        RECT 2.745000 1.955000 3.035000 2.465000 ;
+        RECT 4.080000 1.955000 4.330000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.150000  1.795000 0.400000 2.635000 ;
+      RECT 0.195000  0.085000 0.365000 0.895000 ;
+      RECT 0.535000  0.305000 1.705000 0.475000 ;
+      RECT 0.535000  0.475000 0.785000 0.895000 ;
+      RECT 0.575000  1.785000 2.285000 1.965000 ;
+      RECT 0.575000  1.965000 0.825000 2.465000 ;
+      RECT 0.955000  0.645000 1.285000 0.725000 ;
+      RECT 0.955000  0.725000 2.285000 0.905000 ;
+      RECT 0.995000  2.135000 1.245000 2.635000 ;
+      RECT 1.415000  1.965000 1.665000 2.125000 ;
+      RECT 1.835000  2.135000 2.575000 2.635000 ;
+      RECT 1.875000  0.085000 2.045000 0.555000 ;
+      RECT 2.115000  0.905000 2.285000 0.995000 ;
+      RECT 2.115000  0.995000 2.575000 1.325000 ;
+      RECT 2.115000  1.325000 2.285000 1.785000 ;
+      RECT 2.325000  0.255000 3.530000 0.475000 ;
+      RECT 2.325000  0.475000 2.575000 0.555000 ;
+      RECT 3.205000  2.125000 3.490000 2.635000 ;
+      RECT 3.245000  0.475000 3.530000 0.735000 ;
+      RECT 3.245000  0.735000 5.210000 0.905000 ;
+      RECT 3.660000  2.125000 3.910000 2.295000 ;
+      RECT 3.660000  2.295000 4.750000 2.465000 ;
+      RECT 3.700000  0.085000 3.870000 0.555000 ;
+      RECT 4.040000  0.255000 4.370000 0.725000 ;
+      RECT 4.040000  0.725000 5.210000 0.735000 ;
+      RECT 4.500000  1.785000 4.750000 2.295000 ;
+      RECT 4.540000  0.085000 4.710000 0.555000 ;
+      RECT 4.880000  0.255000 5.210000 0.725000 ;
+      RECT 4.965000  1.795000 5.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_2
+MACRO sky130_fd_sc_hd__o2bb2ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.435000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.280000 0.825000 0.995000 ;
+        RECT 0.605000 0.995000 1.000000 1.325000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.030000 1.075000 2.615000 1.325000 ;
+        RECT 2.445000 1.325000 2.615000 2.425000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.560000 0.430000 1.810000 0.790000 ;
+        RECT 1.640000 0.790000 1.810000 1.495000 ;
+        RECT 1.640000 1.495000 2.270000 1.665000 ;
+        RECT 1.940000 1.665000 2.270000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.815000 ;
+      RECT 0.150000  1.455000 0.400000 2.635000 ;
+      RECT 0.570000  1.495000 1.340000 1.665000 ;
+      RECT 0.570000  1.665000 0.820000 2.465000 ;
+      RECT 0.990000  1.835000 1.770000 2.635000 ;
+      RECT 1.000000  0.280000 1.340000 0.825000 ;
+      RECT 1.170000  0.825000 1.340000 0.995000 ;
+      RECT 1.170000  0.995000 1.470000 1.325000 ;
+      RECT 1.170000  1.325000 1.340000 1.495000 ;
+      RECT 1.980000  0.425000 2.270000 0.725000 ;
+      RECT 1.980000  0.725000 3.110000 0.905000 ;
+      RECT 2.440000  0.085000 2.610000 0.555000 ;
+      RECT 2.780000  0.275000 3.110000 0.725000 ;
+      RECT 2.820000  1.455000 3.070000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_1
+MACRO sky130_fd_sc_hd__o2bb2ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.095000 1.075000 3.505000 1.285000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 1.825000 1.285000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.045000 1.075000 10.005000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.075000 7.875000 1.285000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.415000 0.645000 6.155000 0.905000 ;
+        RECT 4.425000 1.455000 7.715000 1.625000 ;
+        RECT 4.425000 1.625000 4.675000 2.465000 ;
+        RECT 5.265000 1.625000 5.515000 2.465000 ;
+        RECT 5.875000 0.905000 6.155000 1.455000 ;
+        RECT 6.625000 1.625000 6.875000 2.125000 ;
+        RECT 7.465000 1.625000 7.715000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.135000 -0.085000 0.305000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.705000 0.905000 ;
+      RECT 0.085000  0.905000  0.255000 1.455000 ;
+      RECT 0.085000  1.455000  3.915000 1.625000 ;
+      RECT 0.100000  0.255000  2.125000 0.475000 ;
+      RECT 0.155000  1.795000  0.405000 2.635000 ;
+      RECT 0.575000  1.625000  0.825000 2.465000 ;
+      RECT 0.995000  1.795000  1.245000 2.635000 ;
+      RECT 1.415000  1.625000  1.665000 2.465000 ;
+      RECT 1.835000  1.795000  2.085000 2.635000 ;
+      RECT 1.875000  0.475000  2.125000 0.725000 ;
+      RECT 1.875000  0.725000  3.805000 0.905000 ;
+      RECT 2.255000  1.625000  2.505000 2.465000 ;
+      RECT 2.295000  0.085000  2.465000 0.555000 ;
+      RECT 2.635000  0.255000  2.965000 0.725000 ;
+      RECT 2.675000  1.795000  2.925000 2.635000 ;
+      RECT 3.095000  1.625000  3.345000 2.465000 ;
+      RECT 3.135000  0.085000  3.305000 0.555000 ;
+      RECT 3.475000  0.255000  3.805000 0.725000 ;
+      RECT 3.515000  1.795000  4.255000 2.635000 ;
+      RECT 3.745000  1.075000  5.705000 1.285000 ;
+      RECT 3.745000  1.285000  3.915000 1.455000 ;
+      RECT 4.060000  0.255000  6.495000 0.475000 ;
+      RECT 4.060000  0.475000  4.245000 0.835000 ;
+      RECT 4.845000  1.795000  5.095000 2.635000 ;
+      RECT 5.685000  1.795000  5.935000 2.635000 ;
+      RECT 6.175000  1.795000  6.455000 2.295000 ;
+      RECT 6.175000  2.295000  8.135000 2.465000 ;
+      RECT 6.325000  0.475000  6.495000 0.735000 ;
+      RECT 6.325000  0.735000  9.855000 0.905000 ;
+      RECT 6.665000  0.085000  6.835000 0.555000 ;
+      RECT 7.005000  0.255000  7.335000 0.725000 ;
+      RECT 7.005000  0.725000  9.855000 0.735000 ;
+      RECT 7.045000  1.795000  7.295000 2.295000 ;
+      RECT 7.505000  0.085000  7.675000 0.555000 ;
+      RECT 7.845000  0.255000  8.175000 0.725000 ;
+      RECT 7.885000  1.455000  9.875000 1.625000 ;
+      RECT 7.885000  1.625000  8.135000 2.295000 ;
+      RECT 8.305000  1.795000  8.555000 2.635000 ;
+      RECT 8.345000  0.085000  8.515000 0.555000 ;
+      RECT 8.685000  0.255000  9.015000 0.725000 ;
+      RECT 8.725000  1.625000  8.975000 2.465000 ;
+      RECT 9.145000  1.795000  9.395000 2.635000 ;
+      RECT 9.185000  0.085000  9.355000 0.555000 ;
+      RECT 9.525000  0.255000  9.855000 0.725000 ;
+      RECT 9.565000  1.625000  9.875000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o2bb2ai_4
+MACRO sky130_fd_sc_hd__inv_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.435000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.855000 0.885000 ;
+        RECT 0.525000 1.485000 0.855000 2.465000 ;
+        RECT 0.605000 0.885000 0.855000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.125000  0.085000 0.355000 0.905000 ;
+      RECT 0.125000  1.495000 0.355000 2.635000 ;
+      RECT 1.025000  0.085000 1.235000 0.905000 ;
+      RECT 1.025000  1.495000 1.235000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_2
+MACRO sky130_fd_sc_hd__inv_12
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_12 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  2.970000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 5.270000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  2.673000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 5.895000 0.905000 ;
+        RECT 0.085000 0.905000 0.510000 1.495000 ;
+        RECT 0.085000 1.495000 5.895000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 4.040000 0.255000 4.370000 0.715000 ;
+        RECT 4.040000 1.665000 4.370000 2.465000 ;
+        RECT 4.880000 0.255000 5.210000 0.715000 ;
+        RECT 4.880000 1.665000 5.210000 2.465000 ;
+        RECT 5.545000 0.905000 5.895000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 3.870000 0.545000 ;
+      RECT 3.700000  1.835000 3.870000 2.635000 ;
+      RECT 4.540000  0.085000 4.710000 0.545000 ;
+      RECT 4.540000  1.835000 4.710000 2.635000 ;
+      RECT 5.555000  0.085000 5.895000 0.545000 ;
+      RECT 5.555000  1.835000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_12
+MACRO sky130_fd_sc_hd__inv_6
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_6 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.485000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 2.615000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.336500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.685000 1.495000 3.135000 1.665000 ;
+        RECT 0.685000 1.665000 1.015000 2.465000 ;
+        RECT 0.765000 0.255000 0.935000 0.725000 ;
+        RECT 0.765000 0.725000 3.135000 0.905000 ;
+        RECT 1.525000 1.665000 1.855000 2.465000 ;
+        RECT 1.605000 0.255000 1.775000 0.725000 ;
+        RECT 2.365000 1.665000 3.135000 1.685000 ;
+        RECT 2.365000 1.685000 2.695000 2.465000 ;
+        RECT 2.445000 0.255000 2.615000 0.725000 ;
+        RECT 2.785000 0.905000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.425000 2.635000 ;
+      RECT 1.185000  0.085000 1.355000 0.545000 ;
+      RECT 1.185000  1.835000 1.355000 2.635000 ;
+      RECT 2.025000  0.085000 2.195000 0.545000 ;
+      RECT 2.025000  1.835000 2.195000 2.635000 ;
+      RECT 2.785000  0.085000 3.035000 0.550000 ;
+      RECT 2.865000  2.175000 3.035000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_6
+MACRO sky130_fd_sc_hd__inv_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.320000 1.075000 0.650000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.720000 0.255000 1.050000 0.885000 ;
+        RECT 0.720000 1.485000 1.050000 2.465000 ;
+        RECT 0.820000 0.885000 1.050000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.320000  0.085000 0.550000 0.905000 ;
+      RECT 0.340000  1.495000 0.550000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_1
+MACRO sky130_fd_sc_hd__inv_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.680000 1.075000 3.535000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 4.055000 0.905000 ;
+        RECT 0.085000 0.905000 0.430000 1.495000 ;
+        RECT 0.085000 1.495000 4.055000 1.665000 ;
+        RECT 0.680000 0.255000 1.010000 0.715000 ;
+        RECT 0.680000 1.665000 1.010000 2.465000 ;
+        RECT 1.520000 0.255000 1.850000 0.715000 ;
+        RECT 1.520000 1.665000 1.850000 2.465000 ;
+        RECT 2.360000 0.255000 2.690000 0.715000 ;
+        RECT 2.360000 1.665000 2.690000 2.465000 ;
+        RECT 3.200000 0.255000 3.530000 0.715000 ;
+        RECT 3.200000 1.665000 3.530000 2.465000 ;
+        RECT 3.735000 0.905000 4.055000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.255000  0.085000 0.510000 0.545000 ;
+      RECT 0.255000  1.835000 0.510000 2.635000 ;
+      RECT 1.180000  0.085000 1.350000 0.545000 ;
+      RECT 1.180000  1.835000 1.350000 2.635000 ;
+      RECT 2.020000  0.085000 2.190000 0.545000 ;
+      RECT 2.020000  1.835000 2.190000 2.635000 ;
+      RECT 2.860000  0.085000 3.030000 0.545000 ;
+      RECT 2.860000  1.835000 3.030000 2.635000 ;
+      RECT 3.700000  0.085000 4.005000 0.545000 ;
+      RECT 3.700000  1.835000 4.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_8
+MACRO sky130_fd_sc_hd__inv_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.735000 1.325000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.565000 0.255000 0.895000 0.725000 ;
+        RECT 0.565000 0.725000 2.170000 0.905000 ;
+        RECT 0.565000 1.495000 2.170000 1.665000 ;
+        RECT 0.565000 1.665000 0.895000 2.465000 ;
+        RECT 1.405000 0.255000 1.735000 0.725000 ;
+        RECT 1.405000 1.665000 2.170000 1.685000 ;
+        RECT 1.405000 1.685000 1.735000 2.465000 ;
+        RECT 1.905000 0.905000 2.170000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.130000  0.085000 0.395000 0.545000 ;
+      RECT 0.130000  1.495000 0.395000 2.635000 ;
+      RECT 1.065000  0.085000 1.235000 0.545000 ;
+      RECT 1.065000  1.835000 1.235000 2.635000 ;
+      RECT 1.905000  0.085000 2.155000 0.550000 ;
+      RECT 1.905000  2.175000 2.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_4
+MACRO sky130_fd_sc_hd__inv_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__inv_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  3.960000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 5.525000 1.315000 ;
+    END
+  END A
+  PIN Y
+    ANTENNADIFFAREA  3.564000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.580000 0.255000 0.910000 0.715000 ;
+        RECT 0.580000 0.715000 6.790000 0.905000 ;
+        RECT 0.580000 1.495000 6.790000 1.665000 ;
+        RECT 0.580000 1.665000 0.910000 2.465000 ;
+        RECT 1.420000 0.255000 1.750000 0.715000 ;
+        RECT 1.420000 1.665000 1.750000 2.465000 ;
+        RECT 2.260000 0.255000 2.590000 0.715000 ;
+        RECT 2.260000 1.665000 2.590000 2.465000 ;
+        RECT 3.100000 0.255000 3.430000 0.715000 ;
+        RECT 3.100000 1.665000 3.430000 2.465000 ;
+        RECT 3.940000 0.255000 4.270000 0.715000 ;
+        RECT 3.940000 1.665000 4.270000 2.465000 ;
+        RECT 4.780000 0.255000 5.110000 0.715000 ;
+        RECT 4.780000 1.665000 5.110000 2.465000 ;
+        RECT 5.620000 0.255000 5.950000 0.715000 ;
+        RECT 5.620000 1.665000 5.950000 2.465000 ;
+        RECT 6.460000 0.255000 6.790000 0.715000 ;
+        RECT 6.460000 0.905000 6.790000 1.495000 ;
+        RECT 6.460000 1.665000 6.790000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.180000  0.085000 0.410000 0.885000 ;
+      RECT 0.200000  1.485000 0.410000 2.635000 ;
+      RECT 1.080000  0.085000 1.250000 0.545000 ;
+      RECT 1.080000  1.835000 1.250000 2.635000 ;
+      RECT 1.920000  0.085000 2.090000 0.545000 ;
+      RECT 1.920000  1.835000 2.090000 2.635000 ;
+      RECT 2.760000  0.085000 2.930000 0.545000 ;
+      RECT 2.760000  1.835000 2.930000 2.635000 ;
+      RECT 3.600000  0.085000 3.770000 0.545000 ;
+      RECT 3.600000  1.835000 3.770000 2.635000 ;
+      RECT 4.440000  0.085000 4.610000 0.545000 ;
+      RECT 4.440000  1.835000 4.610000 2.635000 ;
+      RECT 5.280000  0.085000 5.450000 0.545000 ;
+      RECT 5.280000  1.835000 5.450000 2.635000 ;
+      RECT 6.120000  0.085000 6.290000 0.545000 ;
+      RECT 6.120000  1.835000 6.290000 2.635000 ;
+      RECT 6.960000  0.085000 7.170000 0.885000 ;
+      RECT 6.960000  1.835000 7.170000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__inv_16
+MACRO sky130_fd_sc_hd__lpflow_bleeder_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN SHORT
+    ANTENNAGATEAREA  0.270000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.275000 1.040000 1.975000 1.730000 ;
+    END
+  END SHORT
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.285000  0.085000 0.615000 0.870000 ;
+      RECT 2.145000  0.540000 2.475000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_bleeder_1
+MACRO sky130_fd_sc_hd__dlymetal6s6s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.575000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.080000 0.255000 4.515000 0.825000 ;
+        RECT 4.080000 1.495000 4.515000 2.465000 ;
+        RECT 4.155000 0.825000 4.515000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 1.080000 0.825000 ;
+      RECT 0.085000  1.870000 1.080000 2.040000 ;
+      RECT 0.085000  2.040000 0.525000 2.465000 ;
+      RECT 0.695000  0.085000 1.080000 0.485000 ;
+      RECT 0.695000  2.210000 1.080000 2.635000 ;
+      RECT 0.745000  0.825000 1.080000 0.995000 ;
+      RECT 0.745000  0.995000 1.155000 1.325000 ;
+      RECT 0.745000  1.325000 1.080000 1.870000 ;
+      RECT 1.250000  0.255000 1.520000 0.825000 ;
+      RECT 1.250000  1.495000 1.975000 1.675000 ;
+      RECT 1.250000  1.675000 1.520000 2.465000 ;
+      RECT 1.325000  0.825000 1.520000 0.995000 ;
+      RECT 1.325000  0.995000 1.975000 1.495000 ;
+      RECT 1.690000  0.255000 1.940000 0.655000 ;
+      RECT 1.690000  0.655000 2.495000 0.825000 ;
+      RECT 1.690000  1.845000 2.495000 2.040000 ;
+      RECT 1.690000  2.040000 1.940000 2.465000 ;
+      RECT 2.110000  0.085000 2.495000 0.485000 ;
+      RECT 2.110000  2.210000 2.495000 2.635000 ;
+      RECT 2.145000  0.825000 2.495000 0.995000 ;
+      RECT 2.145000  0.995000 2.570000 1.325000 ;
+      RECT 2.145000  1.325000 2.495000 1.845000 ;
+      RECT 2.665000  0.255000 2.915000 0.825000 ;
+      RECT 2.665000  1.495000 3.390000 1.675000 ;
+      RECT 2.665000  1.675000 2.915000 2.465000 ;
+      RECT 2.740000  0.825000 2.915000 0.995000 ;
+      RECT 2.740000  0.995000 3.390000 1.495000 ;
+      RECT 3.085000  0.255000 3.355000 0.655000 ;
+      RECT 3.085000  0.655000 3.910000 0.825000 ;
+      RECT 3.085000  1.845000 3.910000 2.040000 ;
+      RECT 3.085000  2.040000 3.355000 2.465000 ;
+      RECT 3.525000  0.085000 3.910000 0.485000 ;
+      RECT 3.525000  2.210000 3.910000 2.635000 ;
+      RECT 3.560000  0.825000 3.910000 0.995000 ;
+      RECT 3.560000  0.995000 3.985000 1.325000 ;
+      RECT 3.560000  1.325000 3.910000 1.845000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s6s_1
+MACRO sky130_fd_sc_hd__o41ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.720000 1.075000 5.895000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.780000 1.075000 4.540000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.595000 1.075000 3.580000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 2.325000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 0.885000 ;
+        RECT 0.515000 1.505000 2.205000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 0.610000 0.885000 0.845000 1.445000 ;
+        RECT 0.610000 1.445000 2.205000 1.505000 ;
+        RECT 1.875000 1.665000 2.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.255000 1.265000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.905000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.465000 1.265000 0.735000 ;
+      RECT 1.015000  0.735000 5.705000 0.905000 ;
+      RECT 1.015000  1.835000 1.265000 2.635000 ;
+      RECT 1.455000  0.085000 1.705000 0.545000 ;
+      RECT 1.455000  1.835000 1.705000 2.295000 ;
+      RECT 1.455000  2.295000 2.545000 2.465000 ;
+      RECT 1.875000  0.255000 2.205000 0.735000 ;
+      RECT 2.375000  0.085000 2.545000 0.545000 ;
+      RECT 2.375000  1.445000 3.465000 1.615000 ;
+      RECT 2.375000  1.615000 2.545000 2.295000 ;
+      RECT 2.715000  0.255000 3.045000 0.735000 ;
+      RECT 2.715000  1.835000 3.045000 2.295000 ;
+      RECT 2.715000  2.295000 4.445000 2.465000 ;
+      RECT 3.215000  0.085000 3.450000 0.545000 ;
+      RECT 3.215000  1.615000 3.465000 2.125000 ;
+      RECT 3.695000  0.255000 4.025000 0.735000 ;
+      RECT 3.695000  1.445000 5.705000 1.615000 ;
+      RECT 3.695000  1.615000 3.945000 2.125000 ;
+      RECT 4.115000  1.835000 4.445000 2.295000 ;
+      RECT 4.195000  0.085000 4.365000 0.545000 ;
+      RECT 4.535000  0.255000 4.865000 0.735000 ;
+      RECT 4.615000  1.615000 4.785000 2.465000 ;
+      RECT 4.955000  1.785000 5.285000 2.635000 ;
+      RECT 5.035000  0.085000 5.205000 0.545000 ;
+      RECT 5.375000  0.255000 5.705000 0.735000 ;
+      RECT 5.455000  1.615000 5.705000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_2
+MACRO sky130_fd_sc_hd__o41ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.500000 1.075000 3.080000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.415000 2.330000 2.355000 ;
+        RECT 2.000000 1.075000 2.330000 1.415000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 1.075000 1.830000 1.245000 ;
+        RECT 1.500000 1.245000 1.820000 2.355000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.075000 1.320000 1.245000 ;
+        RECT 1.015000 1.245000 1.320000 2.355000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 0.440000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.425000 0.735000 ;
+        RECT 0.085000 0.735000 0.780000 0.905000 ;
+        RECT 0.515000 1.485000 0.845000 2.465000 ;
+        RECT 0.610000 0.905000 0.780000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.445000 0.345000 2.635000 ;
+      RECT 0.790000  0.255000 1.120000 0.565000 ;
+      RECT 0.950000  0.565000 1.120000 0.735000 ;
+      RECT 0.950000  0.735000 2.960000 0.905000 ;
+      RECT 1.290000  0.085000 1.540000 0.565000 ;
+      RECT 1.710000  0.255000 2.040000 0.735000 ;
+      RECT 2.210000  0.085000 2.460000 0.565000 ;
+      RECT 2.630000  0.255000 2.960000 0.735000 ;
+      RECT 2.630000  1.495000 2.960000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_1
+MACRO sky130_fd_sc_hd__o41ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.155000 1.075000 10.035000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.170000 1.075000 7.940000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 1.075000 5.980000 1.275000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.350000 1.075000 4.020000 1.275000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.700000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 2.160000 0.905000 ;
+        RECT 0.515000 1.445000 3.885000 1.615000 ;
+        RECT 0.515000 1.615000 0.845000 2.465000 ;
+        RECT 1.355000 1.615000 1.685000 2.465000 ;
+        RECT 1.870000 0.905000 2.160000 1.445000 ;
+        RECT 2.715000 1.615000 3.045000 2.125000 ;
+        RECT 3.555000 1.615000 3.885000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.255000  2.625000 0.465000 ;
+      RECT 0.085000  0.465000  0.345000 0.905000 ;
+      RECT 0.085000  1.445000  0.345000 2.635000 ;
+      RECT 1.015000  1.835000  1.185000 2.635000 ;
+      RECT 1.855000  1.835000  2.105000 2.635000 ;
+      RECT 2.295000  1.785000  2.545000 2.295000 ;
+      RECT 2.295000  2.295000  4.225000 2.465000 ;
+      RECT 2.350000  0.465000  2.625000 0.735000 ;
+      RECT 2.350000  0.735000  9.865000 0.905000 ;
+      RECT 2.795000  0.085000  2.965000 0.545000 ;
+      RECT 3.135000  0.255000  3.465000 0.735000 ;
+      RECT 3.215000  1.785000  3.385000 2.295000 ;
+      RECT 3.635000  0.085000  3.805000 0.545000 ;
+      RECT 3.975000  0.255000  4.305000 0.735000 ;
+      RECT 4.055000  1.445000  5.985000 1.615000 ;
+      RECT 4.055000  1.615000  4.225000 2.295000 ;
+      RECT 4.395000  1.785000  4.645000 2.295000 ;
+      RECT 4.395000  2.295000  7.685000 2.465000 ;
+      RECT 4.475000  0.085000  4.645000 0.545000 ;
+      RECT 4.815000  0.255000  5.145000 0.735000 ;
+      RECT 4.815000  1.615000  5.145000 2.125000 ;
+      RECT 5.315000  0.085000  5.485000 0.545000 ;
+      RECT 5.315000  1.785000  5.485000 2.295000 ;
+      RECT 5.655000  0.255000  5.985000 0.735000 ;
+      RECT 5.655000  1.615000  5.985000 2.125000 ;
+      RECT 6.175000  0.260000  6.505000 0.735000 ;
+      RECT 6.175000  1.445000  9.865000 1.615000 ;
+      RECT 6.175000  1.615000  6.505000 2.125000 ;
+      RECT 6.675000  0.085000  6.845000 0.545000 ;
+      RECT 6.675000  1.785000  6.845000 2.295000 ;
+      RECT 7.015000  0.260000  7.345000 0.735000 ;
+      RECT 7.015000  1.615000  7.345000 2.125000 ;
+      RECT 7.515000  0.085000  7.685000 0.545000 ;
+      RECT 7.515000  1.785000  7.685000 2.295000 ;
+      RECT 7.855000  0.260000  8.185000 0.735000 ;
+      RECT 7.855000  1.615000  8.185000 2.465000 ;
+      RECT 8.355000  0.085000  8.525000 0.545000 ;
+      RECT 8.355000  1.835000  8.525000 2.635000 ;
+      RECT 8.695000  0.260000  9.025000 0.735000 ;
+      RECT 8.695000  1.615000  9.025000 2.465000 ;
+      RECT 9.195000  0.085000  9.365000 0.545000 ;
+      RECT 9.195000  1.835000  9.365000 2.635000 ;
+      RECT 9.535000  0.260000  9.865000 0.735000 ;
+      RECT 9.535000  1.615000  9.865000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o41ai_4
+MACRO sky130_fd_sc_hd__clkbuf_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.855000 1.725000 2.030000 ;
+        RECT 1.060000 2.030000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.855000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.215000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.435000 ;
+      RECT 0.525000  1.855000 0.855000 2.635000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.495000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+      RECT 1.415000  2.210000 1.750000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_2
+MACRO sky130_fd_sc_hd__clkbuf_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.095000  1.525000 0.390000 2.635000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.525000 1.250000 2.635000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 1.850000  1.905000 2.110000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.635000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.635000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+      RECT 4.430000  1.905000 4.725000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_8
+MACRO sky130_fd_sc_hd__clkbuf_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.525000  1.875000 0.855000 2.635000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_1
+MACRO sky130_fd_sc_hd__clkbuf_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.045000 1.835000 2.165000 2.005000 ;
+        RECT 1.045000 2.005000 1.305000 2.465000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.835000 ;
+        RECT 1.905000 2.005000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.395000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.565000  1.835000 0.875000 2.635000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 1.475000  2.175000 1.730000 2.635000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+      RECT 2.335000  1.765000 2.620000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_4
+MACRO sky130_fd_sc_hd__clkbuf_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.280000 1.495000 9.025000 1.720000 ;
+        RECT 2.280000 1.720000 7.685000 1.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.095000  1.825000 0.390000 2.635000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 7.690000 1.325000 ;
+      RECT 0.570000  1.325000 0.815000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 0.990000  1.825000 1.250000 2.635000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.430000  1.325000 1.680000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 1.850000  1.835000 2.110000 2.630000 ;
+      RECT 1.850000  2.630000 8.125000 2.635000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 2.710000  1.905000 2.970000 2.630000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 3.570000  1.905000 3.830000 2.630000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 4.430000  1.905000 4.690000 2.630000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 5.290000  1.905000 5.535000 2.630000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 6.150000  1.905000 6.395000 2.630000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.010000  1.905000 7.255000 2.630000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 7.870000  1.905000 8.125000 2.630000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+      RECT 8.755000  1.890000 9.025000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkbuf_16
+MACRO sky130_fd_sc_hd__mux2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.255000 2.265000 1.415000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.615000 0.815000 1.785000 1.615000 ;
+        RECT 1.615000 1.615000 2.625000 1.785000 ;
+        RECT 2.435000 0.255000 2.625000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.105000 1.325000 ;
+        RECT 0.935000 1.325000 1.105000 2.295000 ;
+        RECT 0.935000 2.295000 2.965000 2.465000 ;
+        RECT 2.795000 1.440000 3.545000 1.630000 ;
+        RECT 2.795000 1.630000 2.965000 2.295000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.255000 0.345000 0.825000 ;
+        RECT 0.090000 0.825000 0.260000 1.495000 ;
+        RECT 0.090000 1.495000 0.425000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.420000 -0.085000 0.590000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.655000 1.445000 0.825000 ;
+      RECT 0.515000  0.825000 0.685000 0.995000 ;
+      RECT 0.595000  1.495000 0.765000 2.635000 ;
+      RECT 1.270000  0.255000 1.800000 0.620000 ;
+      RECT 1.270000  0.620000 1.445000 0.655000 ;
+      RECT 1.275000  0.825000 1.445000 1.955000 ;
+      RECT 1.275000  1.955000 2.400000 2.125000 ;
+      RECT 2.805000  0.085000 3.315000 0.620000 ;
+      RECT 2.825000  0.895000 4.055000 1.065000 ;
+      RECT 3.135000  1.875000 3.305000 2.635000 ;
+      RECT 3.535000  0.290000 3.780000 0.895000 ;
+      RECT 3.540000  1.875000 4.055000 2.285000 ;
+      RECT 3.715000  1.065000 4.055000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_1
+MACRO sky130_fd_sc_hd__mux2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.180000 0.645000 6.895000 0.815000 ;
+        RECT 5.180000 0.815000 5.350000 1.325000 ;
+        RECT 5.305000 0.425000 5.890000 0.645000 ;
+        RECT 6.725000 0.815000 6.895000 0.995000 ;
+        RECT 6.725000 0.995000 7.195000 1.165000 ;
+        RECT 7.025000 1.165000 7.195000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.492000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.105000 4.475000 1.275000 ;
+        RECT 4.305000 0.995000 4.475000 1.105000 ;
+        RECT 4.305000 1.275000 4.475000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.290000 1.105000 4.460000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.960000 0.995000 8.245000 1.325000 ;
+      LAYER mcon ;
+        RECT 7.960000 1.105000 8.130000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.230000 1.075000 4.520000 1.120000 ;
+        RECT 4.230000 1.120000 8.190000 1.260000 ;
+        RECT 4.230000 1.260000 4.520000 1.305000 ;
+        RECT 7.900000 1.075000 8.190000 1.120000 ;
+        RECT 7.900000 1.260000 8.190000 1.305000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.739500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.795000 0.995000 3.965000 1.495000 ;
+        RECT 3.795000 1.495000 6.035000 1.665000 ;
+        RECT 5.670000 0.995000 6.035000 1.495000 ;
+      LAYER mcon ;
+        RECT 5.670000 1.445000 5.840000 1.615000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.215000 0.995000 9.510000 1.615000 ;
+      LAYER mcon ;
+        RECT 9.340000 1.445000 9.510000 1.615000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 5.610000 1.415000 5.900000 1.460000 ;
+        RECT 5.610000 1.460000 9.570000 1.600000 ;
+        RECT 5.610000 1.600000 5.900000 1.645000 ;
+        RECT 9.280000 1.415000 9.570000 1.460000 ;
+        RECT 9.280000 1.600000 9.570000 1.645000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 3.285000 0.805000 ;
+        RECT 0.595000 0.805000 0.815000 1.575000 ;
+        RECT 0.595000 1.575000 3.285000 1.745000 ;
+        RECT 0.595000 1.745000 0.765000 2.465000 ;
+        RECT 1.435000 0.295000 1.605000 0.635000 ;
+        RECT 1.435000 1.745000 1.605000 2.465000 ;
+        RECT 2.275000 0.255000 2.445000 0.635000 ;
+        RECT 2.275000 1.745000 2.445000 2.465000 ;
+        RECT 3.115000 0.295000 3.285000 0.635000 ;
+        RECT 3.115000 1.745000 3.285000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.090000  1.915000 0.425000 2.635000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 0.985000  1.075000 3.625000 1.245000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  1.915000 2.945000 2.635000 ;
+      RECT 3.455000  0.085000 3.785000 0.465000 ;
+      RECT 3.455000  0.635000 4.920000 0.805000 ;
+      RECT 3.455000  0.805000 3.625000 1.075000 ;
+      RECT 3.455000  1.245000 3.625000 1.835000 ;
+      RECT 3.455000  1.835000 8.225000 2.005000 ;
+      RECT 3.455000  2.255000 3.785000 2.635000 ;
+      RECT 3.955000  0.295000 5.125000 0.465000 ;
+      RECT 3.955000  2.255000 5.905000 2.425000 ;
+      RECT 4.750000  0.805000 4.920000 0.935000 ;
+      RECT 6.060000  0.085000 6.390000 0.465000 ;
+      RECT 6.075000  2.175000 6.245000 2.635000 ;
+      RECT 6.345000  0.995000 6.515000 1.495000 ;
+      RECT 6.345000  1.495000 8.855000 1.665000 ;
+      RECT 6.480000  2.255000 8.645000 2.425000 ;
+      RECT 6.575000  0.295000 7.865000 0.465000 ;
+      RECT 7.115000  0.635000 7.670000 0.805000 ;
+      RECT 7.500000  0.805000 7.670000 0.935000 ;
+      RECT 8.685000  0.645000 9.485000 0.815000 ;
+      RECT 8.685000  0.815000 8.855000 1.495000 ;
+      RECT 8.685000  1.665000 8.855000 1.915000 ;
+      RECT 8.685000  1.915000 9.485000 2.085000 ;
+      RECT 8.815000  0.085000 9.145000 0.465000 ;
+      RECT 8.815000  2.255000 9.145000 2.635000 ;
+      RECT 9.315000  0.295000 9.485000 0.645000 ;
+      RECT 9.315000  1.795000 9.485000 1.915000 ;
+      RECT 9.315000  2.085000 9.485000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 4.750000  0.765000 4.920000 0.935000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.500000  0.765000 7.670000 0.935000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+    LAYER met1 ;
+      RECT 4.690000 0.735000 4.980000 0.780000 ;
+      RECT 4.690000 0.780000 7.730000 0.920000 ;
+      RECT 4.690000 0.920000 4.980000 0.965000 ;
+      RECT 7.440000 0.735000 7.730000 0.780000 ;
+      RECT 7.440000 0.920000 7.730000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2_8
+MACRO sky130_fd_sc_hd__mux2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 0.765000 2.445000 1.280000 ;
+        RECT 2.275000 1.280000 2.445000 1.315000 ;
+        RECT 2.275000 1.315000 3.090000 1.625000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.625000 0.735000 3.090000 1.025000 ;
+        RECT 2.900000 0.420000 3.090000 0.735000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 0.755000 3.550000 1.625000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.765000 0.750000 ;
+        RECT 0.515000 0.750000 0.685000 1.595000 ;
+        RECT 0.515000 1.595000 0.825000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.855000  0.995000 1.165000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.995000  0.635000 1.605000 0.805000 ;
+      RECT 0.995000  0.805000 1.165000 0.995000 ;
+      RECT 0.995000  1.325000 1.165000 1.835000 ;
+      RECT 0.995000  1.835000 1.655000 2.005000 ;
+      RECT 1.025000  2.175000 1.315000 2.635000 ;
+      RECT 1.335000  0.995000 1.505000 1.495000 ;
+      RECT 1.335000  1.495000 1.995000 1.665000 ;
+      RECT 1.435000  0.295000 2.730000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.635000 ;
+      RECT 1.485000  2.005000 1.655000 2.255000 ;
+      RECT 1.485000  2.255000 2.795000 2.425000 ;
+      RECT 1.825000  1.665000 1.995000 1.835000 ;
+      RECT 1.825000  1.835000 4.050000 2.005000 ;
+      RECT 3.325000  2.175000 3.545000 2.635000 ;
+      RECT 3.350000  0.085000 3.550000 0.585000 ;
+      RECT 3.715000  2.005000 4.050000 2.465000 ;
+      RECT 3.720000  0.255000 4.050000 1.835000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_2
+MACRO sky130_fd_sc_hd__mux2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.995000 1.750000 1.615000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.435000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.740000 1.325000 ;
+        RECT 0.570000 0.635000 2.850000 0.805000 ;
+        RECT 0.570000 0.805000 0.740000 0.995000 ;
+        RECT 2.680000 0.805000 2.850000 0.995000 ;
+        RECT 2.680000 0.995000 3.395000 1.325000 ;
+    END
+  END S
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.915000 0.255000 4.085000 0.635000 ;
+        RECT 3.915000 0.635000 5.430000 0.805000 ;
+        RECT 3.915000 1.575000 5.430000 1.745000 ;
+        RECT 3.915000 1.745000 4.085000 2.465000 ;
+        RECT 4.755000 0.255000 4.925000 0.635000 ;
+        RECT 4.755000 1.745000 4.925000 2.465000 ;
+        RECT 5.200000 0.805000 5.430000 1.575000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.295000 0.345000 0.625000 ;
+      RECT 0.090000  0.625000 0.260000 1.495000 ;
+      RECT 0.090000  1.495000 1.080000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.835000 0.820000 2.635000 ;
+      RECT 0.910000  0.995000 1.080000 1.495000 ;
+      RECT 0.990000  1.935000 1.340000 2.275000 ;
+      RECT 0.990000  2.275000 2.770000 2.445000 ;
+      RECT 1.530000  1.935000 3.245000 2.105000 ;
+      RECT 1.975000  0.295000 3.230000 0.465000 ;
+      RECT 1.980000  1.595000 3.735000 1.765000 ;
+      RECT 3.060000  0.465000 3.230000 0.655000 ;
+      RECT 3.060000  0.655000 3.735000 0.825000 ;
+      RECT 3.075000  2.105000 3.245000 2.465000 ;
+      RECT 3.415000  0.085000 3.745000 0.465000 ;
+      RECT 3.415000  2.255000 3.745000 2.635000 ;
+      RECT 3.565000  0.825000 3.735000 1.075000 ;
+      RECT 3.565000  1.075000 5.030000 1.245000 ;
+      RECT 3.565000  1.245000 3.735000 1.595000 ;
+      RECT 3.565000  1.765000 3.735000 1.785000 ;
+      RECT 4.255000  0.085000 4.585000 0.465000 ;
+      RECT 4.255000  1.915000 4.585000 2.635000 ;
+      RECT 5.095000  0.085000 5.425000 0.465000 ;
+      RECT 5.095000  1.915000 5.425000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2_4
+MACRO sky130_fd_sc_hd__nand4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.925000 0.765000 2.185000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.505000 0.765000 1.755000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  0.887500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 3.135000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 1.665000 2.415000 2.465000 ;
+        RECT 2.695000 0.255000 3.135000 0.825000 ;
+        RECT 2.925000 0.825000 3.135000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.445000 0.475000 0.655000 ;
+      RECT 0.085000  0.655000 1.335000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.655000  0.085000 0.985000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.155000  0.425000 2.525000 0.595000 ;
+      RECT 1.155000  0.595000 1.335000 0.655000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.355000  0.595000 2.525000 0.995000 ;
+      RECT 2.355000  0.995000 2.755000 1.325000 ;
+      RECT 2.705000  1.835000 2.920000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_1
+MACRO sky130_fd_sc_hd__nand4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.615000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 3.100000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.360000 1.075000 4.450000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.620000 1.075000 5.430000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  1.255500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 1.785000 0.825000 ;
+        RECT 1.455000 1.445000 4.865000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 1.550000 0.825000 1.785000 1.445000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 3.605000 1.665000 3.935000 2.465000 ;
+        RECT 4.535000 1.665000 4.865000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.670000 0.805000 ;
+      RECT 0.090000  1.915000 0.670000 2.085000 ;
+      RECT 0.090000  2.085000 0.345000 2.465000 ;
+      RECT 0.500000  0.805000 0.670000 1.075000 ;
+      RECT 0.500000  1.075000 1.380000 1.245000 ;
+      RECT 0.500000  1.245000 0.670000 1.915000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.255000 1.285000 2.635000 ;
+      RECT 1.035000  0.255000 2.125000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.035000  1.445000 1.285000 2.255000 ;
+      RECT 1.955000  0.465000 2.125000 0.635000 ;
+      RECT 1.955000  0.635000 3.045000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.295000  0.255000 3.985000 0.465000 ;
+      RECT 2.795000  1.835000 3.435000 2.635000 ;
+      RECT 3.235000  0.635000 4.455000 0.715000 ;
+      RECT 3.235000  0.715000 5.340000 0.905000 ;
+      RECT 4.105000  1.835000 4.365000 2.635000 ;
+      RECT 4.155000  0.255000 4.415000 0.615000 ;
+      RECT 4.155000  0.615000 4.455000 0.635000 ;
+      RECT 4.665000  0.085000 4.835000 0.545000 ;
+      RECT 5.005000  0.255000 5.340000 0.715000 ;
+      RECT 5.035000  1.495000 5.430000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_2
+MACRO sky130_fd_sc_hd__nand4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.930000 1.075000 4.590000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.510000 1.275000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.015000 1.075000 8.655000 1.275000 ;
+    END
+  END D
+  PIN Y
+    ANTENNADIFFAREA  2.511000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 8.185000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.625000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.135000 1.665000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+        RECT 7.015000 1.665000 7.345000 2.465000 ;
+        RECT 7.855000 1.665000 8.185000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 0.805000 0.905000 ;
+      RECT 0.090000  1.495000 0.805000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.805000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.805000 1.495000 ;
+      RECT 0.995000  1.495000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  1.835000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.255000 6.925000 0.465000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.675000  0.465000 6.925000 0.735000 ;
+      RECT 6.675000  0.735000 8.610000 0.905000 ;
+      RECT 6.675000  1.835000 6.845000 2.635000 ;
+      RECT 7.095000  0.085000 7.265000 0.545000 ;
+      RECT 7.435000  0.255000 7.765000 0.735000 ;
+      RECT 7.515000  1.835000 7.685000 2.635000 ;
+      RECT 7.935000  0.085000 8.105000 0.545000 ;
+      RECT 8.275000  0.255000 8.610000 0.735000 ;
+      RECT 8.355000  1.445000 8.610000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand4b_4
+MACRO sky130_fd_sc_hd__einvn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.785000 1.075000 3.135000 1.275000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.441000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.325000 1.385000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.694800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 1.445000 3.135000 1.695000 ;
+        RECT 2.365000 0.595000 2.695000 0.845000 ;
+        RECT 2.365000 0.845000 2.615000 1.445000 ;
+        RECT 2.785000 1.695000 3.135000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.840000 0.825000 ;
+      RECT 0.085000  1.555000 0.895000 1.725000 ;
+      RECT 0.085000  1.725000 0.345000 2.465000 ;
+      RECT 0.495000  0.825000 0.840000 0.995000 ;
+      RECT 0.495000  0.995000 2.035000 1.275000 ;
+      RECT 0.495000  1.275000 0.895000 1.555000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  1.895000 0.895000 2.635000 ;
+      RECT 1.015000  0.255000 1.280000 0.655000 ;
+      RECT 1.015000  0.655000 2.195000 0.825000 ;
+      RECT 1.070000  1.445000 1.775000 1.865000 ;
+      RECT 1.070000  1.865000 2.615000 2.085000 ;
+      RECT 1.070000  2.085000 1.240000 2.465000 ;
+      RECT 1.410000  2.255000 2.275000 2.635000 ;
+      RECT 1.450000  0.085000 1.780000 0.485000 ;
+      RECT 1.950000  0.255000 3.135000 0.425000 ;
+      RECT 1.950000  0.425000 2.195000 0.655000 ;
+      RECT 2.445000  2.085000 2.615000 2.465000 ;
+      RECT 2.865000  0.425000 3.135000 0.775000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_2
+MACRO sky130_fd_sc_hd__einvn_0
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_0 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.765000 1.755000 1.955000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.222000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.650000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.275600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.160000 0.255000 1.755000 0.595000 ;
+        RECT 1.160000 0.595000 1.330000 2.125000 ;
+        RECT 1.160000 2.125000 1.755000 2.465000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.360000 0.655000 ;
+      RECT 0.085000  0.655000 0.990000 0.825000 ;
+      RECT 0.085000  1.895000 0.990000 2.065000 ;
+      RECT 0.085000  2.065000 0.400000 2.465000 ;
+      RECT 0.530000  0.085000 0.990000 0.485000 ;
+      RECT 0.570000  2.235000 0.990000 2.635000 ;
+      RECT 0.820000  0.825000 0.990000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_0
+MACRO sky130_fd_sc_hd__einvn_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.530000 0.620000 4.975000 1.325000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.811500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.190000 0.620000 4.360000 1.480000 ;
+        RECT 3.190000 1.480000 3.520000 2.075000 ;
+        RECT 4.030000 1.480000 4.360000 2.075000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.655000 ;
+      RECT 0.085000  0.655000 0.845000 0.825000 ;
+      RECT 0.085000  1.495000 0.845000 1.665000 ;
+      RECT 0.085000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 3.020000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 2.995000 0.825000 ;
+      RECT 1.015000  1.495000 3.020000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.640000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.810000  1.665000 3.020000 2.295000 ;
+      RECT 2.810000  2.295000 4.975000 2.465000 ;
+      RECT 2.825000  0.255000 4.975000 0.450000 ;
+      RECT 2.825000  0.450000 2.995000 0.655000 ;
+      RECT 3.690000  1.650000 3.860000 2.295000 ;
+      RECT 4.530000  1.650000 4.975000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_4
+MACRO sky130_fd_sc_hd__einvn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.765000 2.215000 1.615000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  0.309000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.510000 1.725000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 1.785000 2.215000 2.465000 ;
+        RECT 1.620000 0.255000 2.215000 0.595000 ;
+        RECT 1.620000 0.595000 1.800000 1.785000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.370000 0.615000 ;
+      RECT 0.085000  0.615000 1.450000 0.785000 ;
+      RECT 0.085000  1.895000 0.870000 2.065000 ;
+      RECT 0.085000  2.065000 0.370000 2.465000 ;
+      RECT 0.540000  0.085000 1.440000 0.445000 ;
+      RECT 0.540000  2.235000 0.870000 2.635000 ;
+      RECT 0.685000  0.785000 1.450000 1.615000 ;
+      RECT 0.685000  1.615000 0.870000 1.895000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_1
+MACRO sky130_fd_sc_hd__einvn_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__einvn_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 0.995000 7.800000 1.285000 ;
+    END
+  END A
+  PIN TE_B
+    ANTENNAGATEAREA  1.375500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.345000 1.325000 ;
+    END
+  END TE_B
+  PIN Z
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.870000 0.620000 8.195000 0.825000 ;
+        RECT 4.870000 1.455000 8.195000 1.625000 ;
+        RECT 4.870000 1.625000 5.200000 2.125000 ;
+        RECT 5.710000 1.625000 6.040000 2.125000 ;
+        RECT 6.550000 1.625000 6.880000 2.125000 ;
+        RECT 7.390000 1.625000 7.720000 2.125000 ;
+        RECT 7.970000 0.825000 8.195000 1.455000 ;
+    END
+  END Z
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.090000  0.255000 0.345000 0.655000 ;
+      RECT 0.090000  0.655000 0.845000 0.825000 ;
+      RECT 0.090000  1.495000 0.845000 1.665000 ;
+      RECT 0.090000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.485000 ;
+      RECT 0.515000  0.825000 0.845000 0.995000 ;
+      RECT 0.515000  0.995000 4.475000 1.325000 ;
+      RECT 0.515000  1.325000 0.845000 1.495000 ;
+      RECT 0.515000  1.835000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.285000 0.655000 ;
+      RECT 1.015000  0.655000 4.700000 0.825000 ;
+      RECT 1.015000  1.495000 4.700000 1.665000 ;
+      RECT 1.015000  1.665000 1.240000 2.465000 ;
+      RECT 1.410000  1.835000 1.740000 2.635000 ;
+      RECT 1.455000  0.085000 1.785000 0.485000 ;
+      RECT 1.910000  1.665000 2.080000 2.465000 ;
+      RECT 1.955000  0.255000 2.125000 0.655000 ;
+      RECT 2.250000  1.835000 2.580000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.485000 ;
+      RECT 2.750000  1.665000 2.920000 2.465000 ;
+      RECT 2.795000  0.255000 2.965000 0.655000 ;
+      RECT 3.090000  1.835000 3.420000 2.635000 ;
+      RECT 3.135000  0.085000 3.465000 0.485000 ;
+      RECT 3.590000  1.665000 3.760000 2.465000 ;
+      RECT 3.635000  0.255000 3.805000 0.655000 ;
+      RECT 3.930000  1.835000 4.280000 2.635000 ;
+      RECT 3.975000  0.085000 4.315000 0.485000 ;
+      RECT 4.450000  1.665000 4.700000 2.295000 ;
+      RECT 4.450000  2.295000 8.195000 2.465000 ;
+      RECT 4.485000  0.255000 8.195000 0.450000 ;
+      RECT 4.485000  0.450000 4.700000 0.655000 ;
+      RECT 5.370000  1.795000 5.540000 2.295000 ;
+      RECT 6.210000  1.795000 6.380000 2.295000 ;
+      RECT 7.050000  1.795000 7.220000 2.295000 ;
+      RECT 7.890000  1.795000 8.195000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__einvn_8
+MACRO sky130_fd_sc_hd__dfrbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.58000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.449000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.600000 1.455000 9.005000 2.465000 ;
+        RECT 8.675000 0.275000 9.005000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.180000 0.265000 10.435000 0.795000 ;
+        RECT 10.180000 1.445000 10.435000 2.325000 ;
+        RECT 10.225000 0.795000 10.435000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.580000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.770000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.580000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.580000 0.085000 ;
+      RECT 0.000000  2.635000 10.580000 2.805000 ;
+      RECT 0.090000  0.345000  0.345000 0.635000 ;
+      RECT 0.090000  0.635000  0.840000 0.805000 ;
+      RECT 0.090000  1.795000  0.840000 1.965000 ;
+      RECT 0.090000  1.965000  0.345000 2.465000 ;
+      RECT 0.515000  0.085000  0.845000 0.465000 ;
+      RECT 0.515000  2.135000  0.845000 2.635000 ;
+      RECT 0.610000  0.805000  0.840000 1.795000 ;
+      RECT 1.015000  0.345000  1.185000 2.465000 ;
+      RECT 1.545000  0.085000  1.875000 0.445000 ;
+      RECT 1.850000  2.175000  2.100000 2.635000 ;
+      RECT 2.045000  0.305000  2.540000 0.475000 ;
+      RECT 2.045000  0.475000  2.215000 1.835000 ;
+      RECT 2.045000  1.835000  2.440000 2.005000 ;
+      RECT 2.270000  2.005000  2.440000 2.135000 ;
+      RECT 2.270000  2.135000  2.520000 2.465000 ;
+      RECT 2.385000  0.765000  2.735000 1.385000 ;
+      RECT 2.610000  1.575000  3.075000 1.965000 ;
+      RECT 2.735000  2.135000  3.415000 2.465000 ;
+      RECT 2.745000  0.305000  3.600000 0.475000 ;
+      RECT 2.905000  0.765000  3.260000 0.985000 ;
+      RECT 2.905000  0.985000  3.075000 1.575000 ;
+      RECT 3.245000  1.185000  4.935000 1.355000 ;
+      RECT 3.245000  1.355000  3.415000 2.135000 ;
+      RECT 3.430000  0.475000  3.600000 1.185000 ;
+      RECT 3.585000  1.865000  4.660000 2.035000 ;
+      RECT 3.585000  2.035000  3.755000 2.375000 ;
+      RECT 3.775000  1.525000  5.275000 1.695000 ;
+      RECT 3.990000  2.205000  4.320000 2.635000 ;
+      RECT 4.475000  0.085000  4.805000 0.545000 ;
+      RECT 4.490000  2.035000  4.660000 2.375000 ;
+      RECT 4.765000  1.005000  4.935000 1.185000 ;
+      RECT 4.955000  2.175000  5.325000 2.635000 ;
+      RECT 5.015000  0.275000  5.365000 0.445000 ;
+      RECT 5.015000  0.445000  5.275000 0.835000 ;
+      RECT 5.105000  0.835000  5.275000 1.525000 ;
+      RECT 5.105000  1.695000  5.275000 1.835000 ;
+      RECT 5.105000  1.835000  5.665000 2.005000 ;
+      RECT 5.465000  0.705000  5.675000 1.495000 ;
+      RECT 5.465000  1.495000  6.140000 1.655000 ;
+      RECT 5.465000  1.655000  6.430000 1.665000 ;
+      RECT 5.495000  2.005000  5.665000 2.465000 ;
+      RECT 5.585000  0.255000  6.535000 0.535000 ;
+      RECT 5.845000  0.705000  6.195000 1.325000 ;
+      RECT 5.900000  2.125000  6.770000 2.465000 ;
+      RECT 5.970000  1.665000  6.430000 1.955000 ;
+      RECT 6.365000  0.535000  6.535000 1.315000 ;
+      RECT 6.365000  1.315000  6.770000 1.485000 ;
+      RECT 6.600000  1.485000  6.770000 1.575000 ;
+      RECT 6.600000  1.575000  7.820000 1.745000 ;
+      RECT 6.600000  1.745000  6.770000 2.125000 ;
+      RECT 6.705000  0.085000  6.895000 0.525000 ;
+      RECT 6.705000  0.695000  7.235000 0.865000 ;
+      RECT 6.705000  0.865000  6.925000 1.145000 ;
+      RECT 6.940000  2.175000  7.190000 2.635000 ;
+      RECT 7.065000  0.295000  8.135000 0.465000 ;
+      RECT 7.065000  0.465000  7.235000 0.695000 ;
+      RECT 7.360000  1.915000  8.160000 2.085000 ;
+      RECT 7.360000  2.085000  7.530000 2.375000 ;
+      RECT 7.710000  2.255000  8.430000 2.635000 ;
+      RECT 7.815000  0.465000  8.135000 0.820000 ;
+      RECT 7.815000  0.820000  8.140000 0.995000 ;
+      RECT 7.815000  0.995000  8.435000 1.295000 ;
+      RECT 7.990000  1.295000  8.435000 1.325000 ;
+      RECT 7.990000  1.325000  8.160000 1.915000 ;
+      RECT 8.335000  0.085000  8.505000 0.770000 ;
+      RECT 9.195000  0.345000  9.445000 0.995000 ;
+      RECT 9.195000  0.995000 10.055000 1.325000 ;
+      RECT 9.195000  1.325000  9.525000 2.425000 ;
+      RECT 9.760000  0.085000  9.930000 0.680000 ;
+      RECT 9.760000  1.495000  9.930000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_1
+MACRO sky130_fd_sc_hd__dfrbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.511500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.160000 0.265000 9.495000 1.695000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.030000 1.535000 10.420000 2.080000 ;
+        RECT 10.040000 0.310000 10.420000 0.825000 ;
+        RECT 10.120000 2.080000 10.420000 2.465000 ;
+        RECT 10.250000 0.825000 10.420000 1.535000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.090000  0.345000  0.345000 0.635000 ;
+      RECT  0.090000  0.635000  0.840000 0.805000 ;
+      RECT  0.090000  1.795000  0.840000 1.965000 ;
+      RECT  0.090000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.545000  0.085000  1.875000 0.445000 ;
+      RECT  1.850000  2.175000  2.100000 2.635000 ;
+      RECT  2.045000  0.305000  2.540000 0.475000 ;
+      RECT  2.045000  0.475000  2.215000 1.835000 ;
+      RECT  2.045000  1.835000  2.440000 2.005000 ;
+      RECT  2.270000  2.005000  2.440000 2.135000 ;
+      RECT  2.270000  2.135000  2.520000 2.465000 ;
+      RECT  2.385000  0.765000  2.735000 1.385000 ;
+      RECT  2.610000  1.575000  3.075000 1.965000 ;
+      RECT  2.735000  2.135000  3.415000 2.465000 ;
+      RECT  2.745000  0.305000  3.600000 0.475000 ;
+      RECT  2.905000  0.765000  3.260000 0.985000 ;
+      RECT  2.905000  0.985000  3.075000 1.575000 ;
+      RECT  3.245000  1.185000  4.935000 1.355000 ;
+      RECT  3.245000  1.355000  3.415000 2.135000 ;
+      RECT  3.430000  0.475000  3.600000 1.185000 ;
+      RECT  3.585000  1.865000  4.660000 2.035000 ;
+      RECT  3.585000  2.035000  3.755000 2.375000 ;
+      RECT  3.775000  1.525000  5.275000 1.695000 ;
+      RECT  3.990000  2.205000  4.320000 2.635000 ;
+      RECT  4.475000  0.085000  4.805000 0.545000 ;
+      RECT  4.490000  2.035000  4.660000 2.375000 ;
+      RECT  4.765000  1.005000  4.935000 1.185000 ;
+      RECT  4.955000  2.175000  5.325000 2.635000 ;
+      RECT  5.015000  0.275000  5.365000 0.445000 ;
+      RECT  5.015000  0.445000  5.275000 0.835000 ;
+      RECT  5.105000  0.835000  5.275000 1.525000 ;
+      RECT  5.105000  1.695000  5.275000 1.835000 ;
+      RECT  5.105000  1.835000  5.665000 2.005000 ;
+      RECT  5.465000  0.705000  5.675000 1.495000 ;
+      RECT  5.465000  1.495000  6.140000 1.655000 ;
+      RECT  5.465000  1.655000  6.430000 1.665000 ;
+      RECT  5.495000  2.005000  5.665000 2.465000 ;
+      RECT  5.585000  0.255000  6.535000 0.535000 ;
+      RECT  5.845000  0.705000  6.195000 1.325000 ;
+      RECT  5.900000  2.125000  6.770000 2.465000 ;
+      RECT  5.970000  1.665000  6.430000 1.955000 ;
+      RECT  6.365000  0.535000  6.535000 1.315000 ;
+      RECT  6.365000  1.315000  6.770000 1.485000 ;
+      RECT  6.600000  1.485000  6.770000 1.575000 ;
+      RECT  6.600000  1.575000  7.820000 1.745000 ;
+      RECT  6.600000  1.745000  6.770000 2.125000 ;
+      RECT  6.705000  0.085000  6.895000 0.525000 ;
+      RECT  6.705000  0.695000  7.235000 0.865000 ;
+      RECT  6.705000  0.865000  6.925000 1.145000 ;
+      RECT  6.940000  2.175000  7.190000 2.635000 ;
+      RECT  7.065000  0.295000  7.985000 0.465000 ;
+      RECT  7.065000  0.465000  7.235000 0.695000 ;
+      RECT  7.360000  1.915000  8.160000 2.085000 ;
+      RECT  7.360000  2.085000  7.530000 2.375000 ;
+      RECT  7.710000  2.255000  8.055000 2.635000 ;
+      RECT  7.815000  0.465000  7.985000 0.995000 ;
+      RECT  7.815000  0.995000  8.160000 1.075000 ;
+      RECT  7.815000  1.075000  8.650000 1.295000 ;
+      RECT  7.990000  1.295000  8.650000 1.325000 ;
+      RECT  7.990000  1.325000  8.160000 1.915000 ;
+      RECT  8.335000  0.345000  8.585000 0.715000 ;
+      RECT  8.335000  0.715000  8.990000 0.885000 ;
+      RECT  8.335000  1.795000  8.990000 1.865000 ;
+      RECT  8.335000  1.865000  9.835000 2.035000 ;
+      RECT  8.335000  2.035000  8.560000 2.465000 ;
+      RECT  8.730000  2.205000  9.070000 2.635000 ;
+      RECT  8.755000  0.085000  8.990000 0.545000 ;
+      RECT  8.820000  0.885000  8.990000 1.795000 ;
+      RECT  9.620000  2.255000  9.950000 2.635000 ;
+      RECT  9.665000  0.995000 10.080000 1.325000 ;
+      RECT  9.665000  1.325000  9.835000 1.865000 ;
+      RECT  9.700000  0.085000  9.870000 0.825000 ;
+      RECT 10.590000  0.085000 10.760000 0.930000 ;
+      RECT 10.590000  1.445000 10.760000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  1.105000  0.780000 1.275000 ;
+      RECT  1.015000  1.785000  1.185000 1.955000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.105000  2.615000 1.275000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.785000  3.075000 1.955000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.025000  1.105000  6.195000 1.275000 ;
+      RECT  6.025000  1.785000  6.195000 1.955000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.075000 0.840000 1.120000 ;
+      RECT 0.550000 1.120000 6.255000 1.260000 ;
+      RECT 0.550000 1.260000 0.840000 1.305000 ;
+      RECT 0.955000 1.755000 1.245000 1.800000 ;
+      RECT 0.955000 1.800000 6.255000 1.940000 ;
+      RECT 0.955000 1.940000 1.245000 1.985000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrbp_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 7.045000 4.595000 7.215000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 7.290000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.930000 3.455000 7.220000 3.500000 ;
+        RECT 6.930000 3.640000 7.220000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+      RECT 6.985000  2.985000 7.275000 3.955000 ;
+      RECT 6.985000  4.630000 7.275000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 6.990000  3.485000 7.160000 3.655000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.610500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.370000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 6.125000 4.595000 6.295000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.300000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 6.010000 3.455000 6.300000 3.500000 ;
+        RECT 6.010000 3.640000 6.300000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.900000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.065000  2.985000 6.355000 3.955000 ;
+      RECT 6.065000  4.630000 6.355000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.070000  3.485000 6.240000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.402500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.290000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 2.370000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 6.170000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 6.440000 5.680000 ;
+      LAYER pwell ;
+        RECT 0.145000 4.595000 0.315000 5.120000 ;
+        RECT 5.925000 4.595000 6.095000 5.120000 ;
+    END
+  END VGND
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 3.500000 6.170000 3.640000 ;
+        RECT 0.080000 3.455000 0.370000 3.500000 ;
+        RECT 0.080000 3.640000 0.370000 3.685000 ;
+        RECT 5.870000 3.455000 6.160000 3.500000 ;
+        RECT 5.870000 3.640000 6.160000 3.685000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 4.135000 ;
+        RECT  4.250000 1.305000 6.630000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 6.440000 5.525000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  2.985000 0.375000 3.970000 ;
+      RECT 0.085000  4.630000 0.375000 5.355000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.290000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.290000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 6.440000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.865000  0.085000 6.155000 0.810000 ;
+      RECT 5.865000  2.985000 6.155000 3.955000 ;
+      RECT 5.865000  4.630000 6.155000 5.355000 ;
+    LAYER mcon ;
+      RECT 0.140000  3.485000 0.310000 3.655000 ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 5.930000  3.485000 6.100000 3.655000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    LAYER pwell ;
+      RECT 0.145000 0.320000 0.315000 0.845000 ;
+      RECT 5.925000 0.320000 6.095000 0.845000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.495000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.497000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.770000 0.285000 3.095000 0.615000 ;
+        RECT 2.770000 1.625000 3.095000 2.460000 ;
+        RECT 2.865000 0.615000 3.095000 0.765000 ;
+        RECT 2.865000 0.765000 3.595000 1.275000 ;
+        RECT 2.865000 1.275000 3.095000 1.625000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.095000  0.305000 0.345000 0.640000 ;
+      RECT 0.095000  0.640000 0.840000 0.810000 ;
+      RECT 0.095000  1.785000 0.835000 1.955000 ;
+      RECT 0.095000  1.955000 0.345000 2.465000 ;
+      RECT 0.575000  0.085000 0.905000 0.470000 ;
+      RECT 0.575000  2.125000 0.905000 2.635000 ;
+      RECT 0.665000  0.810000 0.840000 0.995000 ;
+      RECT 0.665000  0.995000 1.035000 1.325000 ;
+      RECT 0.665000  1.325000 1.005000 1.750000 ;
+      RECT 0.665000  1.750000 0.835000 1.785000 ;
+      RECT 1.095000  0.255000 1.425000 0.780000 ;
+      RECT 1.175000  1.425000 1.440000 2.465000 ;
+      RECT 1.205000  0.780000 1.425000 0.995000 ;
+      RECT 1.205000  0.995000 2.165000 1.325000 ;
+      RECT 1.205000  1.325000 1.440000 1.425000 ;
+      RECT 1.615000  0.255000 1.945000 0.635000 ;
+      RECT 1.615000  0.635000 2.595000 0.805000 ;
+      RECT 1.695000  1.500000 2.595000 1.745000 ;
+      RECT 1.695000  1.745000 1.945000 2.465000 ;
+      RECT 2.135000  0.085000 2.465000 0.465000 ;
+      RECT 2.135000  1.915000 2.465000 2.635000 ;
+      RECT 2.335000  0.805000 2.595000 1.500000 ;
+      RECT 3.265000  0.085000 3.595000 0.550000 ;
+      RECT 3.265000  1.635000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_2
+MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.485000 1.320000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.702900 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.015000 0.255000 3.595000 0.640000 ;
+        RECT 3.035000 1.565000 3.595000 2.465000 ;
+        RECT 3.230000 0.640000 3.595000 1.565000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 0.410000 0.735000 ;
+      RECT 0.085000  0.735000 1.005000 0.905000 ;
+      RECT 0.085000  1.490000 1.005000 1.660000 ;
+      RECT 0.085000  1.660000 0.430000 2.465000 ;
+      RECT 0.580000  0.085000 0.910000 0.565000 ;
+      RECT 0.600000  1.830000 0.925000 2.635000 ;
+      RECT 0.655000  0.905000 1.005000 1.025000 ;
+      RECT 0.655000  1.025000 1.105000 1.295000 ;
+      RECT 0.655000  1.295000 1.005000 1.490000 ;
+      RECT 1.175000  0.255000 1.645000 0.855000 ;
+      RECT 1.195000  1.790000 1.645000 2.465000 ;
+      RECT 1.470000  0.855000 1.645000 1.075000 ;
+      RECT 1.470000  1.075000 2.420000 1.250000 ;
+      RECT 1.470000  1.250000 1.645000 1.790000 ;
+      RECT 1.815000  0.255000 2.065000 0.735000 ;
+      RECT 1.815000  0.735000 2.765000 0.905000 ;
+      RECT 1.815000  1.495000 2.765000 1.665000 ;
+      RECT 1.815000  1.665000 2.065000 2.465000 ;
+      RECT 2.235000  1.835000 2.845000 2.635000 ;
+      RECT 2.240000  0.085000 2.845000 0.565000 ;
+      RECT 2.595000  0.905000 2.765000 0.990000 ;
+      RECT 2.595000  0.990000 3.050000 1.325000 ;
+      RECT 2.595000  1.325000 2.765000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__clkdlybuf4s25_1
+MACRO sky130_fd_sc_hd__tap_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.375000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.375000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_1
+MACRO sky130_fd_sc_hd__tap_2
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tap_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.265000 0.835000 0.810000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.775000 0.845000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.470000 0.835000 2.455000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tap_2
+MACRO sky130_fd_sc_hd__dfrtn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.665000 1.680000 2.450000 ;
+        RECT 1.415000 0.615000 1.875000 1.665000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.855000 0.265000 9.110000 0.795000 ;
+        RECT 8.855000 1.445000 9.110000 2.325000 ;
+        RECT 8.900000 0.795000 9.110000 1.445000 ;
+    END
+  END Q
+  PIN RESET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.805000 0.765000 4.595000 1.015000 ;
+      LAYER mcon ;
+        RECT 4.165000 0.765000 4.335000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.105000 1.035000 7.645000 1.405000 ;
+        RECT 7.405000 0.635000 7.645000 1.035000 ;
+      LAYER mcon ;
+        RECT 7.105000 1.080000 7.275000 1.250000 ;
+        RECT 7.405000 0.765000 7.575000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.745000 0.735000 4.395000 0.780000 ;
+        RECT 3.745000 0.780000 7.635000 0.920000 ;
+        RECT 3.745000 0.920000 4.395000 0.965000 ;
+        RECT 7.045000 0.920000 7.635000 0.965000 ;
+        RECT 7.045000 0.965000 7.335000 1.280000 ;
+        RECT 7.345000 0.735000 7.635000 0.780000 ;
+    END
+  END RESET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.090000  0.345000 0.345000 0.635000 ;
+      RECT 0.090000  0.635000 0.840000 0.805000 ;
+      RECT 0.090000  1.795000 0.840000 1.965000 ;
+      RECT 0.090000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.840000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 2.465000 ;
+      RECT 1.545000  0.085000 1.875000 0.445000 ;
+      RECT 1.850000  2.175000 2.100000 2.635000 ;
+      RECT 2.045000  0.305000 2.540000 0.475000 ;
+      RECT 2.045000  0.475000 2.215000 1.835000 ;
+      RECT 2.045000  1.835000 2.440000 2.005000 ;
+      RECT 2.270000  2.005000 2.440000 2.135000 ;
+      RECT 2.270000  2.135000 2.520000 2.465000 ;
+      RECT 2.385000  0.765000 2.735000 1.385000 ;
+      RECT 2.610000  1.575000 3.075000 1.965000 ;
+      RECT 2.735000  2.135000 3.415000 2.465000 ;
+      RECT 2.745000  0.305000 3.600000 0.475000 ;
+      RECT 2.905000  0.765000 3.260000 0.985000 ;
+      RECT 2.905000  0.985000 3.075000 1.575000 ;
+      RECT 3.245000  1.185000 4.935000 1.355000 ;
+      RECT 3.245000  1.355000 3.415000 2.135000 ;
+      RECT 3.430000  0.475000 3.600000 1.185000 ;
+      RECT 3.585000  1.865000 4.660000 2.035000 ;
+      RECT 3.585000  2.035000 3.755000 2.375000 ;
+      RECT 3.775000  1.525000 5.275000 1.695000 ;
+      RECT 3.990000  2.205000 4.320000 2.635000 ;
+      RECT 4.475000  0.085000 4.805000 0.545000 ;
+      RECT 4.490000  2.035000 4.660000 2.375000 ;
+      RECT 4.765000  1.005000 4.935000 1.185000 ;
+      RECT 4.955000  2.175000 5.325000 2.635000 ;
+      RECT 5.015000  0.275000 5.365000 0.445000 ;
+      RECT 5.015000  0.445000 5.275000 0.835000 ;
+      RECT 5.105000  0.835000 5.275000 1.525000 ;
+      RECT 5.105000  1.695000 5.275000 1.835000 ;
+      RECT 5.105000  1.835000 5.665000 2.005000 ;
+      RECT 5.465000  0.705000 5.675000 1.495000 ;
+      RECT 5.465000  1.495000 6.140000 1.655000 ;
+      RECT 5.465000  1.655000 6.430000 1.665000 ;
+      RECT 5.495000  2.005000 5.665000 2.465000 ;
+      RECT 5.585000  0.255000 6.535000 0.535000 ;
+      RECT 5.845000  0.705000 6.195000 1.325000 ;
+      RECT 5.900000  2.125000 6.770000 2.465000 ;
+      RECT 5.970000  1.665000 6.430000 1.955000 ;
+      RECT 6.365000  0.535000 6.535000 1.315000 ;
+      RECT 6.365000  1.315000 6.770000 1.485000 ;
+      RECT 6.600000  1.485000 6.770000 1.575000 ;
+      RECT 6.600000  1.575000 7.820000 1.745000 ;
+      RECT 6.600000  1.745000 6.770000 2.125000 ;
+      RECT 6.705000  0.085000 6.895000 0.525000 ;
+      RECT 6.705000  0.695000 7.235000 0.865000 ;
+      RECT 6.705000  0.865000 6.925000 1.145000 ;
+      RECT 6.940000  2.175000 7.190000 2.635000 ;
+      RECT 7.065000  0.295000 8.135000 0.465000 ;
+      RECT 7.065000  0.465000 7.235000 0.695000 ;
+      RECT 7.360000  1.915000 8.160000 2.085000 ;
+      RECT 7.360000  2.085000 7.530000 2.375000 ;
+      RECT 7.710000  2.255000 8.040000 2.635000 ;
+      RECT 7.815000  0.465000 8.135000 0.820000 ;
+      RECT 7.815000  0.820000 8.140000 0.995000 ;
+      RECT 7.815000  0.995000 8.730000 1.295000 ;
+      RECT 7.990000  1.295000 8.730000 1.325000 ;
+      RECT 7.990000  1.325000 8.160000 1.915000 ;
+      RECT 8.380000  0.085000 8.685000 0.545000 ;
+      RECT 8.380000  1.495000 8.685000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.655000  1.785000 0.825000 1.955000 ;
+      RECT 1.015000  1.105000 1.185000 1.275000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  1.105000 2.615000 1.275000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  1.785000 3.075000 1.955000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.025000  1.105000 6.195000 1.275000 ;
+      RECT 6.025000  1.785000 6.195000 1.955000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.595000 1.755000 0.885000 1.800000 ;
+      RECT 0.595000 1.800000 6.255000 1.940000 ;
+      RECT 0.595000 1.940000 0.885000 1.985000 ;
+      RECT 0.955000 1.075000 1.245000 1.120000 ;
+      RECT 0.955000 1.120000 6.255000 1.260000 ;
+      RECT 0.955000 1.260000 1.245000 1.305000 ;
+      RECT 2.385000 1.075000 2.675000 1.120000 ;
+      RECT 2.385000 1.260000 2.675000 1.305000 ;
+      RECT 2.845000 1.755000 3.135000 1.800000 ;
+      RECT 2.845000 1.940000 3.135000 1.985000 ;
+      RECT 5.965000 1.075000 6.255000 1.120000 ;
+      RECT 5.965000 1.260000 6.255000 1.305000 ;
+      RECT 5.965000 1.755000 6.255000 1.800000 ;
+      RECT 5.965000 1.940000 6.255000 1.985000 ;
+  END
+END sky130_fd_sc_hd__dfrtn_1
+MACRO sky130_fd_sc_hd__o22ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 1.415000 1.275000 ;
+        RECT 1.150000 1.275000 1.415000 1.445000 ;
+        RECT 1.150000 1.445000 3.575000 1.615000 ;
+        RECT 3.275000 1.075000 3.605000 1.245000 ;
+        RECT 3.275000 1.245000 3.575000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.685000 1.075000 3.095000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.295000 0.995000 4.940000 1.445000 ;
+        RECT 4.295000 1.445000 6.935000 1.615000 ;
+        RECT 6.715000 0.995000 6.935000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.110000 1.075000 6.460000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.782000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 1.785000 3.915000 1.955000 ;
+        RECT 1.845000 1.955000 2.095000 2.125000 ;
+        RECT 2.685000 1.955000 2.935000 2.125000 ;
+        RECT 3.745000 1.445000 4.125000 1.615000 ;
+        RECT 3.745000 1.615000 3.915000 1.785000 ;
+        RECT 3.955000 0.645000 7.275000 0.820000 ;
+        RECT 3.955000 0.820000 4.125000 1.445000 ;
+        RECT 5.255000 1.785000 7.275000 1.955000 ;
+        RECT 5.255000 1.955000 5.505000 2.125000 ;
+        RECT 6.095000 1.955000 6.345000 2.125000 ;
+        RECT 7.105000 0.820000 7.275000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.125000  0.255000 0.455000 0.725000 ;
+      RECT 0.125000  0.725000 1.295000 0.735000 ;
+      RECT 0.125000  0.735000 3.785000 0.905000 ;
+      RECT 0.165000  1.445000 0.415000 2.635000 ;
+      RECT 0.585000  1.445000 0.835000 1.785000 ;
+      RECT 0.585000  1.785000 1.675000 1.955000 ;
+      RECT 0.585000  1.955000 0.835000 2.465000 ;
+      RECT 0.625000  0.085000 0.795000 0.555000 ;
+      RECT 0.965000  0.255000 1.295000 0.725000 ;
+      RECT 1.005000  2.125000 1.255000 2.635000 ;
+      RECT 1.425000  1.955000 1.675000 2.295000 ;
+      RECT 1.425000  2.295000 3.395000 2.465000 ;
+      RECT 1.465000  0.085000 1.635000 0.555000 ;
+      RECT 1.805000  0.255000 2.135000 0.725000 ;
+      RECT 1.805000  0.725000 2.975000 0.735000 ;
+      RECT 2.265000  2.125000 2.515000 2.295000 ;
+      RECT 2.305000  0.085000 2.475000 0.555000 ;
+      RECT 2.645000  0.255000 2.975000 0.725000 ;
+      RECT 3.105000  2.125000 3.395000 2.295000 ;
+      RECT 3.145000  0.085000 3.315000 0.555000 ;
+      RECT 3.485000  0.255000 7.245000 0.475000 ;
+      RECT 3.485000  0.475000 3.785000 0.735000 ;
+      RECT 3.565000  2.125000 3.785000 2.635000 ;
+      RECT 3.955000  2.125000 4.255000 2.465000 ;
+      RECT 4.085000  1.785000 5.085000 1.955000 ;
+      RECT 4.085000  1.955000 4.255000 2.125000 ;
+      RECT 4.425000  2.125000 4.665000 2.635000 ;
+      RECT 4.835000  1.955000 5.085000 2.295000 ;
+      RECT 4.835000  2.295000 6.765000 2.465000 ;
+      RECT 5.675000  2.125000 5.925000 2.295000 ;
+      RECT 6.515000  2.135000 6.765000 2.295000 ;
+      RECT 6.935000  2.125000 7.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_4
+MACRO sky130_fd_sc_hd__o22ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.075000 4.165000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.555000 1.075000 3.225000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.200000 1.075000 0.985000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.155000 1.075000 1.925000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 0.865000 0.725000 ;
+        RECT 0.535000 0.725000 2.340000 0.905000 ;
+        RECT 1.375000 0.645000 1.705000 0.725000 ;
+        RECT 1.415000 1.445000 3.065000 1.625000 ;
+        RECT 1.415000 1.625000 1.665000 2.125000 ;
+        RECT 2.095000 0.905000 2.340000 1.445000 ;
+        RECT 2.815000 1.625000 3.065000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.305000 2.680000 0.475000 ;
+      RECT 0.090000  0.475000 0.365000 0.905000 ;
+      RECT 0.150000  1.455000 1.245000 1.625000 ;
+      RECT 0.150000  1.625000 0.405000 2.465000 ;
+      RECT 0.575000  1.795000 0.825000 2.635000 ;
+      RECT 0.995000  1.625000 1.245000 2.295000 ;
+      RECT 0.995000  2.295000 2.085000 2.465000 ;
+      RECT 1.835000  1.795000 2.085000 2.295000 ;
+      RECT 2.395000  1.795000 2.645000 2.295000 ;
+      RECT 2.395000  2.295000 3.485000 2.465000 ;
+      RECT 2.510000  0.475000 2.680000 0.725000 ;
+      RECT 2.510000  0.725000 4.365000 0.905000 ;
+      RECT 2.855000  0.085000 3.025000 0.555000 ;
+      RECT 3.195000  0.255000 3.525000 0.725000 ;
+      RECT 3.235000  1.455000 4.330000 1.625000 ;
+      RECT 3.235000  1.625000 3.485000 2.295000 ;
+      RECT 3.655000  1.795000 3.905000 2.635000 ;
+      RECT 3.695000  0.085000 3.865000 0.555000 ;
+      RECT 4.035000  0.255000 4.365000 0.725000 ;
+      RECT 4.075000  1.625000 4.330000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_2
+MACRO sky130_fd_sc_hd__o22ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.215000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.220000 1.075000 1.585000 1.245000 ;
+        RECT 1.405000 1.245000 1.585000 1.445000 ;
+        RECT 1.405000 1.445000 1.725000 1.615000 ;
+        RECT 1.525000 1.615000 1.725000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.665000 0.325000 1.990000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.835000 0.995000 1.005000 1.415000 ;
+        RECT 0.835000 1.415000 1.235000 1.665000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.650250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.495000 0.645000 0.845000 0.825000 ;
+        RECT 0.495000 0.825000 0.665000 1.835000 ;
+        RECT 0.495000 1.835000 1.335000 2.045000 ;
+        RECT 0.835000 2.045000 1.335000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.295000 1.345000 0.475000 ;
+      RECT 0.135000  2.175000 0.345000 2.635000 ;
+      RECT 1.015000  0.475000 1.345000 0.695000 ;
+      RECT 1.015000  0.695000 2.215000 0.825000 ;
+      RECT 1.185000  0.825000 2.215000 0.865000 ;
+      RECT 1.535000  0.085000 1.705000 0.525000 ;
+      RECT 1.875000  0.280000 2.215000 0.695000 ;
+      RECT 1.895000  1.455000 2.215000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o22ai_1
+MACRO sky130_fd_sc_hd__a32o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 0.665000 2.280000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.665000 1.800000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.995000 1.320000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.450000 0.660000 2.870000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.180000 0.995000 3.530000 1.325000 ;
+        RECT 3.325000 1.325000 3.530000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.544500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.300000 0.425000 0.560000 ;
+        RECT 0.090000 0.560000 0.345000 1.915000 ;
+        RECT 0.090000 1.915000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.570000  0.995000 0.875000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.675000  1.835000 1.005000 2.635000 ;
+      RECT 0.705000  0.655000 1.265000 0.825000 ;
+      RECT 0.705000  0.825000 0.875000 0.995000 ;
+      RECT 0.705000  1.325000 0.875000 1.495000 ;
+      RECT 0.705000  1.495000 3.075000 1.665000 ;
+      RECT 1.095000  0.315000 2.710000 0.485000 ;
+      RECT 1.095000  0.485000 1.265000 0.655000 ;
+      RECT 1.250000  1.875000 2.675000 2.045000 ;
+      RECT 1.250000  2.045000 1.535000 2.465000 ;
+      RECT 1.790000  2.215000 2.120000 2.635000 ;
+      RECT 2.345000  2.045000 2.675000 2.295000 ;
+      RECT 2.345000  2.295000 3.505000 2.465000 ;
+      RECT 2.905000  1.665000 3.075000 2.125000 ;
+      RECT 3.255000  0.085000 3.585000 0.805000 ;
+      RECT 3.335000  1.795000 3.505000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_1
+MACRO sky130_fd_sc_hd__a32o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.685000 0.955000 2.985000 1.325000 ;
+        RECT 2.755000 0.415000 3.105000 0.610000 ;
+        RECT 2.755000 0.610000 2.985000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.165000 0.995000 3.545000 1.325000 ;
+        RECT 3.305000 0.425000 3.545000 0.995000 ;
+        RECT 3.305000 1.325000 3.545000 1.625000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.995000 4.055000 1.630000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.085000 1.075000 2.515000 1.245000 ;
+        RECT 2.345000 1.245000 2.515000 1.445000 ;
+        RECT 2.345000 1.445000 2.550000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.745000 1.530000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.695500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.655000 0.845000 0.825000 ;
+        RECT 0.135000 0.825000 0.345000 1.785000 ;
+        RECT 0.135000 1.785000 1.185000 1.955000 ;
+        RECT 0.135000 1.955000 0.345000 2.465000 ;
+        RECT 1.015000 1.955000 1.185000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.425000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 0.535000  0.995000 0.705000 1.445000 ;
+      RECT 0.535000  1.445000 2.125000 1.615000 ;
+      RECT 0.935000  0.085000 1.640000 0.445000 ;
+      RECT 1.535000  1.785000 1.705000 2.295000 ;
+      RECT 1.535000  2.295000 2.545000 2.465000 ;
+      RECT 1.700000  0.615000 2.585000 0.785000 ;
+      RECT 1.700000  0.785000 1.890000 1.445000 ;
+      RECT 1.875000  1.615000 2.125000 1.945000 ;
+      RECT 1.875000  1.945000 2.205000 2.115000 ;
+      RECT 2.255000  0.275000 2.585000 0.615000 ;
+      RECT 2.375000  1.795000 3.545000 1.965000 ;
+      RECT 2.375000  1.965000 2.545000 2.295000 ;
+      RECT 2.715000  2.140000 3.045000 2.635000 ;
+      RECT 3.375000  1.965000 3.545000 2.465000 ;
+      RECT 3.715000  0.085000 4.050000 0.805000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_2
+MACRO sky130_fd_sc_hd__a32o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a32o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.280000 1.075000 5.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.335000 1.075000 4.030000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.210000 1.075000 3.105000 1.295000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.630000 1.075000 6.780000 1.625000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.030000 1.075000 7.710000 1.295000 ;
+        RECT 7.030000 1.295000 7.225000 1.635000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.635000 1.605000 0.805000 ;
+        RECT 0.120000 0.805000 0.340000 1.495000 ;
+        RECT 0.120000 1.495000 1.605000 1.665000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 1.665000 0.765000 2.465000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.435000 1.665000 1.605000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.095000  1.915000 0.425000 2.635000 ;
+      RECT 0.570000  0.995000 1.970000 1.325000 ;
+      RECT 0.935000  0.085000 1.265000 0.465000 ;
+      RECT 0.935000  1.915000 1.265000 2.635000 ;
+      RECT 1.775000  0.085000 2.105000 0.465000 ;
+      RECT 1.775000  1.915000 2.105000 2.635000 ;
+      RECT 1.800000  1.325000 1.970000 1.495000 ;
+      RECT 1.800000  1.495000 5.450000 1.665000 ;
+      RECT 2.275000  0.255000 2.445000 0.655000 ;
+      RECT 2.275000  0.655000 3.885000 0.825000 ;
+      RECT 2.275000  1.915000 5.065000 2.085000 ;
+      RECT 2.275000  2.085000 2.445000 2.465000 ;
+      RECT 2.615000  0.085000 2.945000 0.465000 ;
+      RECT 2.615000  2.255000 2.945000 2.635000 ;
+      RECT 3.135000  0.295000 5.145000 0.465000 ;
+      RECT 3.215000  2.085000 3.385000 2.465000 ;
+      RECT 3.555000  2.255000 3.885000 2.635000 ;
+      RECT 4.055000  2.085000 4.225000 2.465000 ;
+      RECT 4.395000  0.635000 6.425000 0.805000 ;
+      RECT 4.395000  2.255000 4.725000 2.635000 ;
+      RECT 4.895000  2.085000 5.065000 2.255000 ;
+      RECT 4.895000  2.255000 7.725000 2.425000 ;
+      RECT 5.280000  0.805000 5.450000 1.495000 ;
+      RECT 5.280000  1.665000 5.450000 1.905000 ;
+      RECT 5.280000  1.905000 6.200000 1.915000 ;
+      RECT 5.280000  1.915000 7.305000 2.075000 ;
+      RECT 5.670000  0.295000 6.805000 0.465000 ;
+      RECT 6.135000  2.075000 7.305000 2.085000 ;
+      RECT 6.635000  0.255000 6.805000 0.295000 ;
+      RECT 6.635000  0.465000 6.805000 0.645000 ;
+      RECT 6.635000  0.645000 7.645000 0.815000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.475000  0.255000 7.645000 0.645000 ;
+      RECT 7.475000  1.755000 7.725000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a32o_4
+MACRO sky130_fd_sc_hd__mux2i_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.560000 0.995000 1.070000 1.105000 ;
+        RECT 0.560000 1.105000 1.240000 1.325000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 3.550000 1.325000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  1.237500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.845000 1.075000 5.930000 1.290000 ;
+        RECT 5.760000 1.290000 5.930000 1.425000 ;
+        RECT 5.760000 1.425000 7.850000 1.595000 ;
+        RECT 7.680000 0.995000 7.850000 1.425000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  2.194500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.315000 3.785000 0.485000 ;
+        RECT 0.095000 0.485000 0.320000 2.255000 ;
+        RECT 0.095000 2.255000 3.785000 2.425000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.515000  0.655000 1.700000 0.825000 ;
+      RECT 0.515000  1.575000 5.580000 1.745000 ;
+      RECT 1.355000  0.825000 1.700000 0.935000 ;
+      RECT 2.195000  0.655000 5.485000 0.825000 ;
+      RECT 2.195000  1.915000 7.165000 2.085000 ;
+      RECT 3.975000  0.085000 4.305000 0.465000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.475000  0.255000 4.645000 0.655000 ;
+      RECT 4.815000  0.085000 5.145000 0.465000 ;
+      RECT 4.815000  2.255000 5.145000 2.635000 ;
+      RECT 5.315000  0.255000 5.485000 0.655000 ;
+      RECT 5.655000  0.085000 5.980000 0.590000 ;
+      RECT 5.655000  2.255000 5.985000 2.635000 ;
+      RECT 6.150000  0.255000 6.325000 0.715000 ;
+      RECT 6.150000  0.715000 7.165000 0.905000 ;
+      RECT 6.150000  0.905000 6.450000 0.935000 ;
+      RECT 6.155000  1.795000 6.325000 1.915000 ;
+      RECT 6.155000  2.085000 6.325000 2.465000 ;
+      RECT 6.495000  2.255000 6.825000 2.635000 ;
+      RECT 6.545000  0.085000 6.795000 0.545000 ;
+      RECT 6.730000  1.075000 7.510000 1.245000 ;
+      RECT 6.995000  0.510000 7.165000 0.715000 ;
+      RECT 6.995000  1.795000 7.165000 1.915000 ;
+      RECT 6.995000  2.085000 7.165000 2.465000 ;
+      RECT 7.340000  0.655000 8.195000 0.825000 ;
+      RECT 7.340000  0.825000 7.510000 1.075000 ;
+      RECT 7.435000  0.085000 7.765000 0.465000 ;
+      RECT 7.435000  2.255000 7.765000 2.635000 ;
+      RECT 7.935000  0.255000 8.195000 0.655000 ;
+      RECT 7.935000  1.795000 8.195000 2.465000 ;
+      RECT 8.020000  0.825000 8.195000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  0.765000 1.700000 0.935000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.150000  0.765000 6.320000 0.935000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 0.735000 1.760000 0.780000 ;
+      RECT 1.470000 0.780000 6.380000 0.920000 ;
+      RECT 1.470000 0.920000 1.760000 0.965000 ;
+      RECT 6.090000 0.735000 6.380000 0.780000 ;
+      RECT 6.090000 0.920000 6.380000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_4
+MACRO sky130_fd_sc_hd__mux2i_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.060000 0.420000 1.285000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 0.995000 1.125000 1.155000 ;
+        RECT 0.955000 1.155000 1.205000 1.325000 ;
+        RECT 1.035000 1.325000 1.205000 1.445000 ;
+        RECT 1.035000 1.445000 1.235000 2.110000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.760000 3.595000 1.620000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  0.480500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.590000 0.595000 0.780000 1.455000 ;
+        RECT 0.590000 1.455000 0.840000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.255000 1.805000 0.425000 ;
+      RECT 0.085000  0.425000 0.440000 0.465000 ;
+      RECT 0.085000  0.465000 0.345000 0.885000 ;
+      RECT 0.120000  1.455000 0.420000 2.295000 ;
+      RECT 0.120000  2.295000 1.575000 2.465000 ;
+      RECT 0.955000  0.655000 1.520000 0.715000 ;
+      RECT 0.955000  0.715000 2.620000 0.825000 ;
+      RECT 0.965000  0.425000 1.805000 0.465000 ;
+      RECT 1.295000  0.825000 2.620000 0.885000 ;
+      RECT 1.385000  1.075000 3.085000 1.310000 ;
+      RECT 1.405000  1.480000 2.615000 1.650000 ;
+      RECT 1.405000  1.650000 1.575000 2.295000 ;
+      RECT 1.745000  1.835000 1.975000 2.635000 ;
+      RECT 1.975000  0.085000 2.145000 0.545000 ;
+      RECT 2.285000  1.650000 2.615000 2.465000 ;
+      RECT 2.385000  0.255000 2.620000 0.715000 ;
+      RECT 2.800000  0.255000 3.165000 0.485000 ;
+      RECT 2.800000  0.485000 3.085000 1.075000 ;
+      RECT 2.860000  1.310000 3.085000 2.465000 ;
+      RECT 3.295000  1.835000 3.590000 2.635000 ;
+      RECT 3.335000  0.085000 3.555000 0.545000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__mux2i_1
+MACRO sky130_fd_sc_hd__mux2i_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A0
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.560000 1.275000 ;
+    END
+  END A0
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.310000 0.995000 4.635000 1.615000 ;
+    END
+  END A1
+  PIN S
+    ANTENNAGATEAREA  0.742500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 0.995000 0.780000 1.325000 ;
+        RECT 0.580000 0.725000 0.780000 0.995000 ;
+    END
+  END S
+  PIN Y
+    ANTENNADIFFAREA  1.691250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.715000 0.295000 4.975000 0.465000 ;
+        RECT 2.715000 2.255000 4.975000 2.425000 ;
+        RECT 4.750000 1.785000 4.975000 2.255000 ;
+        RECT 4.805000 0.465000 4.975000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.675000 ;
+      RECT 0.085000  0.675000 0.260000 1.495000 ;
+      RECT 0.085000  1.495000 1.395000 1.665000 ;
+      RECT 0.085000  1.665000 0.260000 2.135000 ;
+      RECT 0.085000  2.135000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.835000 0.545000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.935000  1.835000 1.735000 2.005000 ;
+      RECT 1.015000  0.575000 1.255000 0.935000 ;
+      RECT 1.225000  1.155000 1.985000 1.325000 ;
+      RECT 1.225000  1.325000 1.395000 1.495000 ;
+      RECT 1.355000  2.255000 1.685000 2.635000 ;
+      RECT 1.435000  0.085000 1.685000 0.885000 ;
+      RECT 1.565000  1.495000 3.465000 1.665000 ;
+      RECT 1.565000  1.665000 1.735000 1.835000 ;
+      RECT 1.655000  1.075000 1.985000 1.155000 ;
+      RECT 1.855000  0.295000 2.025000 0.735000 ;
+      RECT 1.855000  0.735000 3.465000 0.905000 ;
+      RECT 1.855000  2.135000 2.080000 2.465000 ;
+      RECT 1.910000  1.835000 2.885000 1.915000 ;
+      RECT 1.910000  1.915000 4.350000 2.005000 ;
+      RECT 1.910000  2.005000 2.080000 2.135000 ;
+      RECT 2.275000  0.085000 2.445000 0.545000 ;
+      RECT 2.275000  2.175000 2.525000 2.635000 ;
+      RECT 2.715000  2.005000 4.350000 2.085000 ;
+      RECT 3.135000  0.655000 3.465000 0.735000 ;
+      RECT 3.135000  1.665000 3.465000 1.715000 ;
+      RECT 3.850000  0.655000 4.345000 0.825000 ;
+      RECT 3.850000  0.825000 4.105000 0.935000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  0.765000 1.240000 0.935000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.010000 0.735000 1.300000 0.780000 ;
+      RECT 1.010000 0.780000 4.080000 0.920000 ;
+      RECT 1.010000 0.920000 1.300000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+  END
+END sky130_fd_sc_hd__mux2i_2
+MACRO sky130_fd_sc_hd__nand2b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 0.440000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.155000 1.075000 4.940000 1.275000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.640000 0.905000 ;
+        RECT 1.455000 1.445000 4.320000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 2.640000 2.465000 ;
+        RECT 2.375000 0.905000 2.640000 1.445000 ;
+        RECT 3.150000 1.665000 3.480000 2.465000 ;
+        RECT 3.990000 1.665000 4.320000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 0.780000 0.905000 ;
+      RECT 0.090000  1.445000 0.780000 1.665000 ;
+      RECT 0.090000  1.665000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.790000 0.545000 ;
+      RECT 0.595000  1.835000 1.285000 2.635000 ;
+      RECT 0.610000  0.905000 0.780000 1.075000 ;
+      RECT 0.610000  1.075000 2.205000 1.275000 ;
+      RECT 0.610000  1.275000 0.780000 1.445000 ;
+      RECT 0.970000  1.445000 1.285000 1.835000 ;
+      RECT 1.035000  0.255000 3.060000 0.465000 ;
+      RECT 1.035000  0.465000 1.285000 0.905000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.810000  0.465000 3.060000 0.715000 ;
+      RECT 2.810000  0.715000 4.850000 0.905000 ;
+      RECT 2.810000  1.835000 2.980000 2.635000 ;
+      RECT 3.230000  0.085000 3.400000 0.545000 ;
+      RECT 3.570000  0.255000 3.900000 0.715000 ;
+      RECT 3.650000  1.835000 3.820000 2.635000 ;
+      RECT 4.070000  0.085000 4.310000 0.545000 ;
+      RECT 4.520000  0.255000 4.850000 0.715000 ;
+      RECT 4.520000  1.495000 4.850000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_4
+MACRO sky130_fd_sc_hd__nand2b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.455000 0.995000 0.800000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.135000 1.275000 ;
+        RECT 1.990000 1.275000 2.180000 1.655000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.775500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.035000 1.835000 2.635000 2.005000 ;
+        RECT 1.035000 2.005000 1.365000 2.465000 ;
+        RECT 1.525000 0.635000 1.855000 0.805000 ;
+        RECT 1.530000 0.805000 1.855000 0.905000 ;
+        RECT 1.530000 0.905000 1.810000 1.835000 ;
+        RECT 2.280000 2.005000 2.635000 2.465000 ;
+        RECT 2.360000 1.495000 2.635000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.110000  0.510000 0.345000 0.840000 ;
+      RECT 0.110000  0.840000 0.280000 1.495000 ;
+      RECT 0.110000  1.495000 1.360000 1.665000 ;
+      RECT 0.110000  1.665000 0.410000 1.860000 ;
+      RECT 0.515000  0.085000 0.845000 0.825000 ;
+      RECT 0.580000  1.835000 0.835000 2.635000 ;
+      RECT 1.030000  1.075000 1.360000 1.495000 ;
+      RECT 1.080000  0.255000 2.275000 0.465000 ;
+      RECT 1.080000  0.465000 1.355000 0.905000 ;
+      RECT 1.535000  2.175000 2.110000 2.635000 ;
+      RECT 2.025000  0.465000 2.275000 0.695000 ;
+      RECT 2.025000  0.695000 3.135000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.525000 ;
+      RECT 2.785000  0.255000 3.135000 0.695000 ;
+      RECT 2.805000  1.495000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_2
+MACRO sky130_fd_sc_hd__nand2b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.315000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.085000 1.315000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.000000 1.835000 2.170000 2.005000 ;
+        RECT 1.000000 2.005000 1.330000 2.465000 ;
+        RECT 1.420000 0.255000 2.170000 0.545000 ;
+        RECT 1.800000 0.545000 2.170000 1.835000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.525000 0.360000 0.735000 ;
+      RECT 0.090000  0.735000 1.425000 0.905000 ;
+      RECT 0.090000  1.495000 1.425000 1.665000 ;
+      RECT 0.090000  1.665000 0.370000 1.825000 ;
+      RECT 0.580000  0.085000 0.910000 0.545000 ;
+      RECT 0.580000  1.835000 0.830000 2.635000 ;
+      RECT 1.255000  0.905000 1.425000 1.075000 ;
+      RECT 1.255000  1.075000 1.630000 1.325000 ;
+      RECT 1.255000  1.325000 1.425000 1.495000 ;
+      RECT 1.500000  2.175000 1.715000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2b_1
+MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
+  CLASS CORE WELLTAP ;
+  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.460000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.460000 0.240000 ;
+      LAYER pwell ;
+        RECT 0.145000 0.320000 0.315000 0.845000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.460000 2.960000 ;
+      LAYER nwell ;
+        RECT -0.190000 1.305000 0.650000 2.910000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.460000 0.085000 ;
+      RECT 0.000000  2.635000 0.460000 2.805000 ;
+      RECT 0.085000  0.085000 0.375000 0.810000 ;
+      RECT 0.085000  1.470000 0.375000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+  END
+END sky130_fd_sc_hd__tapvpwrvgnd_1
+MACRO sky130_fd_sc_hd__a221o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+      RECT 3.745000  0.085000 3.915000 0.980000 ;
+      RECT 3.745000  1.445000 3.915000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_2
+MACRO sky130_fd_sc_hd__a221o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.970000 0.675000 2.255000 1.075000 ;
+        RECT 1.970000 1.075000 2.300000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 2.835000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.275000 ;
+        RECT 1.420000 0.675000 1.700000 1.075000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.255000 3.575000 0.585000 ;
+        RECT 3.320000 1.795000 3.575000 2.465000 ;
+        RECT 3.390000 0.585000 3.575000 0.665000 ;
+        RECT 3.405000 0.665000 3.575000 1.795000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.735000 ;
+      RECT 0.175000  0.735000 1.240000 0.905000 ;
+      RECT 0.175000  1.455000 3.235000 1.625000 ;
+      RECT 0.175000  1.625000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.565000 ;
+      RECT 0.515000  1.795000 0.845000 2.295000 ;
+      RECT 0.515000  2.295000 1.685000 2.465000 ;
+      RECT 1.015000  1.795000 2.650000 2.035000 ;
+      RECT 1.015000  2.035000 1.245000 2.125000 ;
+      RECT 1.070000  0.255000 2.605000 0.505000 ;
+      RECT 1.070000  0.505000 1.240000 0.735000 ;
+      RECT 1.355000  2.255000 1.685000 2.295000 ;
+      RECT 1.875000  2.215000 2.230000 2.635000 ;
+      RECT 2.400000  2.035000 2.650000 2.465000 ;
+      RECT 2.435000  0.505000 2.605000 0.735000 ;
+      RECT 2.435000  0.735000 3.235000 0.905000 ;
+      RECT 2.775000  0.085000 3.105000 0.565000 ;
+      RECT 2.820000  1.875000 3.150000 2.635000 ;
+      RECT 3.065000  0.905000 3.235000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a221o_1
+MACRO sky130_fd_sc_hd__a221o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a221o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.855000 1.075000 3.190000 1.105000 ;
+        RECT 2.855000 1.105000 4.060000 1.285000 ;
+        RECT 3.710000 1.075000 4.060000 1.105000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 1.075000 2.680000 1.285000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 1.075000 6.035000 1.285000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.270000 1.075000 7.280000 1.285000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.230000 1.075000 4.725000 1.285000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.735000 1.685000 0.905000 ;
+        RECT 0.095000 0.905000 0.325000 1.455000 ;
+        RECT 0.095000 1.455000 1.645000 1.625000 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 1.685000 0.735000 ;
+        RECT 0.555000 1.625000 0.805000 2.465000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 1.395000 1.625000 1.645000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.155000 -0.085000 0.325000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.155000  1.795000 0.385000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.555000 ;
+      RECT 0.495000  1.075000 1.845000 1.115000 ;
+      RECT 0.495000  1.115000 1.985000 1.285000 ;
+      RECT 0.975000  1.795000 1.225000 2.635000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.815000  1.285000 1.985000 1.455000 ;
+      RECT 1.815000  1.455000 5.065000 1.625000 ;
+      RECT 1.815000  1.795000 2.065000 2.635000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  0.735000 2.525000 0.905000 ;
+      RECT 1.945000  0.905000 2.165000 0.935000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  1.795000 4.230000 1.875000 ;
+      RECT 2.235000  1.875000 5.575000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.465000 ;
+      RECT 2.655000  2.135000 2.905000 2.635000 ;
+      RECT 2.695000  0.085000 2.865000 0.895000 ;
+      RECT 3.075000  1.965000 3.330000 2.465000 ;
+      RECT 3.080000  0.305000 4.305000 0.475000 ;
+      RECT 3.190000  0.735000 3.885000 0.905000 ;
+      RECT 3.315000  0.905000 3.610000 0.935000 ;
+      RECT 3.500000  2.135000 3.750000 2.635000 ;
+      RECT 3.550000  0.645000 3.885000 0.735000 ;
+      RECT 3.940000  2.215000 6.385000 2.295000 ;
+      RECT 3.940000  2.295000 7.225000 2.465000 ;
+      RECT 4.055000  0.475000 4.305000 0.725000 ;
+      RECT 4.055000  0.725000 5.065000 0.905000 ;
+      RECT 4.060000  1.965000 5.575000 2.045000 ;
+      RECT 4.405000  1.625000 4.735000 1.705000 ;
+      RECT 4.475000  0.085000 4.645000 0.555000 ;
+      RECT 4.815000  0.255000 5.985000 0.475000 ;
+      RECT 4.815000  0.475000 5.065000 0.725000 ;
+      RECT 4.895000  0.905000 5.065000 1.455000 ;
+      RECT 5.235000  0.645000 6.505000 0.725000 ;
+      RECT 5.235000  0.725000 7.345000 0.905000 ;
+      RECT 5.245000  1.455000 6.805000 1.625000 ;
+      RECT 5.245000  1.625000 5.575000 1.875000 ;
+      RECT 5.745000  1.795000 6.385000 2.215000 ;
+      RECT 6.555000  1.625000 6.805000 2.125000 ;
+      RECT 6.675000  0.085000 6.845000 0.555000 ;
+      RECT 6.975000  1.785000 7.225000 2.295000 ;
+      RECT 7.015000  0.255000 7.345000 0.725000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.995000  0.765000 2.165000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.400000  0.765000 3.570000 0.935000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.935000 0.735000 2.225000 0.780000 ;
+      RECT 1.935000 0.780000 3.630000 0.920000 ;
+      RECT 1.935000 0.920000 2.225000 0.965000 ;
+      RECT 3.340000 0.735000 3.630000 0.780000 ;
+      RECT 3.340000 0.920000 3.630000 0.965000 ;
+  END
+END sky130_fd_sc_hd__a221o_4
+MACRO sky130_fd_sc_hd__a2bb2o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.910000 0.995000 1.240000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 0.995000 1.700000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.280000 0.765000 3.540000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.600000 1.355000 3.080000 1.655000 ;
+        RECT 2.820000 0.765000 3.080000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.810000 ;
+        RECT 0.085000 0.810000 0.260000 1.525000 ;
+        RECT 0.085000 1.525000 0.345000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.430000  0.995000 0.685000 1.325000 ;
+      RECT 0.515000  0.085000 0.945000 0.530000 ;
+      RECT 0.515000  1.325000 0.685000 1.805000 ;
+      RECT 0.515000  1.805000 1.275000 1.975000 ;
+      RECT 0.515000  2.235000 0.845000 2.635000 ;
+      RECT 1.105000  1.975000 1.275000 2.200000 ;
+      RECT 1.105000  2.200000 2.245000 2.370000 ;
+      RECT 1.180000  0.255000 1.350000 0.655000 ;
+      RECT 1.180000  0.655000 2.060000 0.825000 ;
+      RECT 1.520000  0.085000 2.240000 0.485000 ;
+      RECT 1.540000  1.545000 2.060000 1.715000 ;
+      RECT 1.540000  1.715000 1.710000 1.905000 ;
+      RECT 1.890000  0.825000 2.060000 1.545000 ;
+      RECT 1.990000  1.895000 2.400000 2.065000 ;
+      RECT 1.990000  2.065000 2.245000 2.200000 ;
+      RECT 1.990000  2.370000 2.245000 2.465000 ;
+      RECT 2.230000  0.700000 2.580000 0.870000 ;
+      RECT 2.230000  0.870000 2.400000 1.895000 ;
+      RECT 2.410000  0.255000 2.580000 0.700000 ;
+      RECT 2.415000  2.255000 2.745000 2.425000 ;
+      RECT 2.575000  1.835000 3.515000 2.005000 ;
+      RECT 2.575000  2.005000 2.745000 2.255000 ;
+      RECT 2.915000  2.175000 3.165000 2.635000 ;
+      RECT 3.155000  0.085000 3.555000 0.595000 ;
+      RECT 3.335000  2.005000 3.515000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_1
+MACRO sky130_fd_sc_hd__a2bb2o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.315000 1.075000 3.645000 1.325000 ;
+        RECT 3.475000 1.325000 3.645000 1.445000 ;
+        RECT 3.475000 1.445000 4.965000 1.615000 ;
+        RECT 4.605000 1.075000 4.965000 1.445000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 1.075000 4.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.575000 1.445000 ;
+        RECT 0.085000 1.445000 1.685000 1.615000 ;
+        RECT 1.515000 1.075000 1.895000 1.245000 ;
+        RECT 1.515000 1.245000 1.685000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.805000 1.075000 1.345000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.235000 0.275000 5.565000 0.725000 ;
+        RECT 5.235000 0.725000 6.920000 0.905000 ;
+        RECT 5.275000 1.785000 6.365000 1.955000 ;
+        RECT 5.275000 1.955000 5.525000 2.465000 ;
+        RECT 6.075000 0.275000 6.405000 0.725000 ;
+        RECT 6.115000 1.415000 6.920000 1.655000 ;
+        RECT 6.115000 1.655000 6.365000 1.785000 ;
+        RECT 6.115000 1.955000 6.365000 2.465000 ;
+        RECT 6.610000 0.905000 6.920000 1.415000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.135000  1.785000 2.065000 1.955000 ;
+      RECT 0.135000  1.955000 0.385000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 1.685000 0.475000 ;
+      RECT 0.515000  0.475000 0.765000 0.905000 ;
+      RECT 0.555000  2.125000 0.805000 2.635000 ;
+      RECT 0.935000  0.645000 1.270000 0.735000 ;
+      RECT 0.935000  0.735000 2.525000 0.905000 ;
+      RECT 0.975000  1.955000 1.225000 2.465000 ;
+      RECT 1.395000  2.125000 1.645000 2.635000 ;
+      RECT 1.815000  1.955000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 2.905000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 1.855000  1.455000 2.065000 1.785000 ;
+      RECT 2.195000  0.255000 2.525000 0.735000 ;
+      RECT 2.235000  0.905000 2.445000 1.415000 ;
+      RECT 2.235000  1.415000 2.620000 1.965000 ;
+      RECT 2.235000  1.965000 2.485000 2.125000 ;
+      RECT 2.615000  1.075000 3.145000 1.245000 ;
+      RECT 2.655000  2.135000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 3.385000 0.555000 ;
+      RECT 2.955000  0.725000 4.725000 0.905000 ;
+      RECT 2.955000  0.905000 3.145000 1.075000 ;
+      RECT 2.955000  1.245000 3.145000 1.495000 ;
+      RECT 2.955000  1.495000 3.305000 1.665000 ;
+      RECT 3.135000  1.665000 3.305000 1.785000 ;
+      RECT 3.135000  1.785000 4.265000 1.965000 ;
+      RECT 3.175000  2.135000 3.425000 2.635000 ;
+      RECT 3.555000  0.255000 3.885000 0.725000 ;
+      RECT 3.595000  2.135000 3.845000 2.295000 ;
+      RECT 3.595000  2.295000 4.685000 2.465000 ;
+      RECT 4.015000  1.965000 4.265000 2.125000 ;
+      RECT 4.055000  0.085000 4.225000 0.555000 ;
+      RECT 4.395000  0.255000 4.725000 0.725000 ;
+      RECT 4.435000  1.785000 4.685000 2.295000 ;
+      RECT 4.855000  1.795000 5.105000 2.635000 ;
+      RECT 4.895000  0.085000 5.065000 0.895000 ;
+      RECT 5.135000  1.075000 6.440000 1.245000 ;
+      RECT 5.135000  1.245000 5.460000 1.615000 ;
+      RECT 5.695000  2.165000 5.945000 2.635000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.825000 6.785000 2.635000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.450000  1.445000 2.620000 1.615000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.230000  1.445000 5.400000 1.615000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.390000 1.415000 2.680000 1.460000 ;
+      RECT 2.390000 1.460000 5.460000 1.600000 ;
+      RECT 2.390000 1.600000 2.680000 1.645000 ;
+      RECT 5.170000 1.415000 5.460000 1.460000 ;
+      RECT 5.170000 1.600000 5.460000 1.645000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_4
+MACRO sky130_fd_sc_hd__a2bb2o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.345000 0.995000 1.675000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.845000 0.995000 2.135000 1.375000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.730000 0.765000 3.990000 1.655000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.050000 1.355000 3.530000 1.655000 ;
+        RECT 3.270000 0.765000 3.530000 1.355000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 0.255000 0.780000 0.810000 ;
+        RECT 0.525000 0.810000 0.695000 1.525000 ;
+        RECT 0.525000 1.525000 0.780000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.125000 -0.085000 0.295000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.185000  0.085000 0.355000 0.930000 ;
+      RECT 0.185000  1.445000 0.355000 2.635000 ;
+      RECT 0.865000  0.995000 1.120000 1.325000 ;
+      RECT 0.950000  0.085000 1.380000 0.530000 ;
+      RECT 0.950000  1.325000 1.120000 1.805000 ;
+      RECT 0.950000  1.805000 1.710000 1.975000 ;
+      RECT 0.950000  2.235000 1.280000 2.635000 ;
+      RECT 1.540000  1.975000 1.710000 2.200000 ;
+      RECT 1.540000  2.200000 2.670000 2.370000 ;
+      RECT 1.615000  0.255000 1.785000 0.655000 ;
+      RECT 1.615000  0.655000 2.510000 0.825000 ;
+      RECT 1.955000  0.085000 2.690000 0.485000 ;
+      RECT 1.975000  1.545000 2.510000 1.715000 ;
+      RECT 1.975000  1.715000 2.145000 1.905000 ;
+      RECT 2.340000  0.825000 2.510000 1.545000 ;
+      RECT 2.440000  1.895000 2.850000 2.065000 ;
+      RECT 2.440000  2.065000 2.670000 2.200000 ;
+      RECT 2.500000  2.370000 2.670000 2.465000 ;
+      RECT 2.680000  0.700000 3.030000 0.870000 ;
+      RECT 2.680000  0.870000 2.850000 1.895000 ;
+      RECT 2.860000  0.255000 3.030000 0.700000 ;
+      RECT 2.875000  2.255000 3.205000 2.425000 ;
+      RECT 3.035000  1.835000 3.965000 2.005000 ;
+      RECT 3.035000  2.005000 3.205000 2.255000 ;
+      RECT 3.375000  2.175000 3.625000 2.635000 ;
+      RECT 3.605000  0.085000 4.005000 0.595000 ;
+      RECT 3.795000  2.005000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2o_2
+MACRO sky130_fd_sc_hd__o32a_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.780000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 1.700000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.010000 1.075000 2.625000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.870000 1.075000 4.230000 1.275000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 5.260000 1.275000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.305000 0.255000 6.635000 0.715000 ;
+        RECT 6.305000 0.715000 8.135000 0.905000 ;
+        RECT 6.305000 1.495000 8.135000 1.665000 ;
+        RECT 6.305000 1.665000 6.635000 2.465000 ;
+        RECT 7.145000 0.255000 7.475000 0.715000 ;
+        RECT 7.145000 1.665000 7.475000 2.465000 ;
+        RECT 7.645000 0.905000 8.135000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 2.965000 0.885000 ;
+      RECT 0.085000  1.445000 1.265000 1.665000 ;
+      RECT 0.085000  1.665000 0.425000 2.465000 ;
+      RECT 0.515000  0.085000 2.545000 0.465000 ;
+      RECT 0.595000  1.835000 0.765000 2.635000 ;
+      RECT 0.935000  1.665000 1.265000 2.295000 ;
+      RECT 0.935000  2.295000 2.105000 2.465000 ;
+      RECT 1.435000  1.445000 2.625000 1.690000 ;
+      RECT 1.435000  1.690000 1.605000 2.045000 ;
+      RECT 1.775000  1.860000 2.105000 2.295000 ;
+      RECT 2.295000  1.690000 2.625000 2.295000 ;
+      RECT 2.295000  2.295000 3.465000 2.465000 ;
+      RECT 2.715000  0.255000 5.695000 0.465000 ;
+      RECT 2.715000  0.465000 2.965000 0.635000 ;
+      RECT 2.795000  1.105000 3.645000 1.275000 ;
+      RECT 2.795000  1.275000 2.965000 2.045000 ;
+      RECT 3.135000  1.445000 3.465000 2.295000 ;
+      RECT 3.455000  0.635000 5.775000 0.805000 ;
+      RECT 3.455000  0.805000 3.645000 1.105000 ;
+      RECT 3.655000  1.445000 3.985000 1.785000 ;
+      RECT 3.655000  1.785000 4.825000 1.955000 ;
+      RECT 3.655000  1.955000 3.985000 2.465000 ;
+      RECT 4.155000  2.125000 4.325000 2.635000 ;
+      RECT 4.400000  0.805000 4.620000 1.445000 ;
+      RECT 4.400000  1.445000 5.195000 1.615000 ;
+      RECT 4.495000  1.955000 4.825000 2.285000 ;
+      RECT 4.495000  2.285000 5.695000 2.465000 ;
+      RECT 5.025000  1.615000 5.195000 2.115000 ;
+      RECT 5.365000  1.445000 5.695000 2.285000 ;
+      RECT 5.520000  0.805000 5.775000 1.075000 ;
+      RECT 5.520000  1.075000 7.475000 1.245000 ;
+      RECT 5.520000  1.245000 6.135000 1.265000 ;
+      RECT 5.965000  0.085000 6.135000 0.885000 ;
+      RECT 5.965000  1.835000 6.135000 2.635000 ;
+      RECT 6.805000  0.085000 6.975000 0.545000 ;
+      RECT 6.805000  1.835000 6.975000 2.635000 ;
+      RECT 7.645000  0.085000 7.900000 0.545000 ;
+      RECT 7.645000  1.835000 7.900000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_4
+MACRO sky130_fd_sc_hd__o32a_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.175000 1.075000 ;
+        RECT 1.005000 1.075000 1.255000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.465000 0.995000 1.810000 1.325000 ;
+        RECT 1.485000 1.325000 1.810000 2.125000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.980000 0.995000 2.255000 1.660000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.995000 3.595000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.995000 2.795000 1.660000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.504000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.595000 0.825000 ;
+        RECT 0.085000 0.825000 0.260000 1.495000 ;
+        RECT 0.085000 1.495000 0.470000 2.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.445000  0.995000 0.635000 1.075000 ;
+      RECT 0.445000  1.075000 0.810000 1.325000 ;
+      RECT 0.640000  1.325000 0.810000 1.495000 ;
+      RECT 0.640000  1.495000 1.315000 1.665000 ;
+      RECT 0.685000  1.835000 0.975000 2.635000 ;
+      RECT 0.765000  0.085000 0.935000 0.645000 ;
+      RECT 1.140000  0.255000 1.470000 0.655000 ;
+      RECT 1.140000  0.655000 2.540000 0.825000 ;
+      RECT 1.145000  1.665000 1.315000 2.295000 ;
+      RECT 1.145000  2.295000 2.510000 2.465000 ;
+      RECT 1.645000  0.085000 1.975000 0.485000 ;
+      RECT 2.180000  1.835000 3.135000 2.085000 ;
+      RECT 2.180000  2.085000 2.510000 2.295000 ;
+      RECT 2.210000  0.255000 3.595000 0.465000 ;
+      RECT 2.210000  0.465000 2.540000 0.655000 ;
+      RECT 2.710000  0.635000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.835000 ;
+      RECT 3.305000  0.465000 3.595000 0.735000 ;
+      RECT 3.305000  1.495000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_1
+MACRO sky130_fd_sc_hd__o32a_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o32a_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.715000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 0.995000 2.160000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.995000 2.635000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 1.075000 4.055000 1.245000 ;
+        RECT 3.725000 1.245000 4.055000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.910000 0.995000 3.155000 1.615000 ;
+    END
+  END B2
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.995000 1.325000 1.785000 ;
+      RECT 1.015000  1.785000 3.525000 1.955000 ;
+      RECT 1.015000  2.125000 1.525000 2.635000 ;
+      RECT 1.095000  0.085000 1.425000 0.825000 ;
+      RECT 1.695000  0.255000 2.025000 0.655000 ;
+      RECT 1.695000  0.655000 3.025000 0.825000 ;
+      RECT 2.195000  0.085000 2.525000 0.485000 ;
+      RECT 2.695000  0.255000 4.055000 0.425000 ;
+      RECT 2.695000  0.425000 3.025000 0.655000 ;
+      RECT 2.695000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  0.595000 3.525000 0.825000 ;
+      RECT 3.325000  0.825000 3.525000 1.785000 ;
+      RECT 3.695000  0.425000 4.055000 0.905000 ;
+      RECT 3.695000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o32a_2
+MACRO sky130_fd_sc_hd__and4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.440000 0.765000 0.790000 1.635000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.815000 0.735000 4.145000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.345000 0.755000 3.555000 1.325000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.865000 0.995000 3.085000 1.325000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.980000 0.650000 2.080000 0.820000 ;
+        RECT 0.980000 0.820000 1.260000 1.545000 ;
+        RECT 0.980000 1.545000 2.160000 1.715000 ;
+        RECT 1.070000 0.255000 1.240000 0.650000 ;
+        RECT 1.910000 0.255000 2.080000 0.650000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.260000 1.915000 ;
+      RECT 0.085000  1.915000 4.900000 2.085000 ;
+      RECT 0.085000  2.085000 0.345000 2.465000 ;
+      RECT 0.515000  2.255000 0.845000 2.635000 ;
+      RECT 0.570000  0.085000 0.900000 0.470000 ;
+      RECT 1.410000  0.085000 1.740000 0.470000 ;
+      RECT 1.410000  2.255000 1.740000 2.635000 ;
+      RECT 1.440000  1.075000 2.550000 1.245000 ;
+      RECT 2.250000  2.255000 2.580000 2.635000 ;
+      RECT 2.285000  0.085000 2.615000 0.445000 ;
+      RECT 2.380000  0.615000 2.965000 0.785000 ;
+      RECT 2.380000  0.785000 2.550000 1.075000 ;
+      RECT 2.380000  1.245000 2.550000 1.545000 ;
+      RECT 2.380000  1.545000 4.545000 1.715000 ;
+      RECT 2.795000  0.300000 4.965000 0.470000 ;
+      RECT 2.795000  0.470000 2.965000 0.615000 ;
+      RECT 3.475000  2.255000 3.805000 2.635000 ;
+      RECT 4.390000  0.470000 4.965000 0.810000 ;
+      RECT 4.635000  2.255000 4.965000 2.635000 ;
+      RECT 4.730000  0.995000 4.900000 1.915000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_4
+MACRO sky130_fd_sc_hd__and4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.740000 0.335000 1.630000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.420000 1.745000 1.745000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.420000 2.275000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.645000 2.775000 1.615000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.503250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 0.255000 3.545000 0.640000 ;
+        RECT 3.260000 0.640000 4.055000 0.825000 ;
+        RECT 3.340000 1.535000 4.055000 1.745000 ;
+        RECT 3.340000 1.745000 3.545000 2.465000 ;
+        RECT 3.425000 0.825000 4.055000 1.535000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.465000 ;
+      RECT 0.175000  1.830000 0.805000 2.000000 ;
+      RECT 0.175000  2.000000 0.345000 2.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.595000  0.255000 0.805000 0.585000 ;
+      RECT 0.635000  0.585000 0.805000 0.995000 ;
+      RECT 0.635000  0.995000 0.975000 1.325000 ;
+      RECT 0.635000  1.325000 0.805000 1.830000 ;
+      RECT 1.015000  1.660000 1.315000 1.915000 ;
+      RECT 1.015000  1.915000 3.165000 1.965000 ;
+      RECT 1.015000  1.965000 2.610000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.095000  0.255000 1.315000 0.585000 ;
+      RECT 1.145000  0.585000 1.315000 1.660000 ;
+      RECT 1.555000  2.255000 2.225000 2.635000 ;
+      RECT 2.440000  1.795000 3.165000 1.915000 ;
+      RECT 2.440000  2.085000 2.610000 2.465000 ;
+      RECT 2.760000  0.085000 3.090000 0.465000 ;
+      RECT 2.840000  2.195000 3.170000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.795000 ;
+      RECT 3.715000  0.085000 4.050000 0.465000 ;
+      RECT 3.715000  1.915000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_2
+MACRO sky130_fd_sc_hd__and4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__and4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.450000 1.675000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.420000 1.800000 1.695000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.025000 0.420000 2.295000 1.695000 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.485000 0.665000 2.825000 1.695000 ;
+    END
+  END D
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.295000 3.590000 0.340000 ;
+        RECT 3.255000 0.340000 3.595000 0.805000 ;
+        RECT 3.335000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.805000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.170000  0.255000 0.345000 0.655000 ;
+      RECT 0.170000  0.655000 0.800000 0.825000 ;
+      RECT 0.170000  1.845000 0.800000 2.015000 ;
+      RECT 0.170000  2.015000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.195000 0.845000 2.635000 ;
+      RECT 0.630000  0.825000 0.800000 0.995000 ;
+      RECT 0.630000  0.995000 0.980000 1.325000 ;
+      RECT 0.630000  1.325000 0.800000 1.845000 ;
+      RECT 1.090000  0.255000 1.320000 0.585000 ;
+      RECT 1.150000  0.585000 1.320000 1.875000 ;
+      RECT 1.150000  1.875000 3.165000 2.045000 ;
+      RECT 1.150000  2.045000 1.320000 2.465000 ;
+      RECT 1.555000  2.225000 2.225000 2.635000 ;
+      RECT 2.440000  2.045000 2.610000 2.465000 ;
+      RECT 2.755000  0.085000 3.085000 0.465000 ;
+      RECT 2.810000  2.225000 3.140000 2.635000 ;
+      RECT 2.995000  0.995000 3.255000 1.325000 ;
+      RECT 2.995000  1.325000 3.165000 1.875000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__and4b_1
+MACRO sky130_fd_sc_hd__a311o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.765000 2.155000 0.995000 ;
+        RECT 1.965000 0.995000 2.310000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.510000 0.750000 1.705000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.905000 0.995000 1.240000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.620000 0.995000 3.095000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.350000 0.995000 3.535000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.454000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.395000 0.670000 ;
+        RECT 0.085000 0.670000 0.255000 1.785000 ;
+        RECT 0.085000 1.785000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.425000  0.995000 0.735000 1.325000 ;
+      RECT 0.565000  0.655000 1.260000 0.825000 ;
+      RECT 0.565000  0.825000 0.735000 0.995000 ;
+      RECT 0.565000  1.325000 0.735000 1.495000 ;
+      RECT 0.565000  1.495000 3.505000 1.665000 ;
+      RECT 0.590000  0.085000 0.920000 0.465000 ;
+      RECT 0.595000  2.175000 0.840000 2.635000 ;
+      RECT 1.015000  1.835000 2.575000 2.005000 ;
+      RECT 1.015000  2.005000 1.265000 2.465000 ;
+      RECT 1.090000  0.255000 2.495000 0.425000 ;
+      RECT 1.090000  0.425000 1.260000 0.655000 ;
+      RECT 1.455000  2.255000 2.125000 2.635000 ;
+      RECT 2.325000  0.425000 2.495000 0.655000 ;
+      RECT 2.325000  0.655000 3.505000 0.825000 ;
+      RECT 2.325000  2.005000 2.575000 2.465000 ;
+      RECT 2.765000  0.085000 3.095000 0.485000 ;
+      RECT 3.335000  0.255000 3.505000 0.655000 ;
+      RECT 3.335000  1.665000 3.505000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_1
+MACRO sky130_fd_sc_hd__a311o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 0.605000 2.620000 0.995000 ;
+        RECT 2.440000 0.995000 2.675000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.605000 2.165000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.495000 0.995000 1.710000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.995000 3.235000 1.325000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.695000 0.995000 4.005000 1.325000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.295000 0.845000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.885000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  0.085000 1.345000 0.465000 ;
+      RECT 1.015000  0.655000 1.695000 0.825000 ;
+      RECT 1.015000  0.825000 1.185000 1.495000 ;
+      RECT 1.015000  1.495000 3.965000 1.665000 ;
+      RECT 1.160000  1.835000 1.380000 2.635000 ;
+      RECT 1.525000  0.255000 2.960000 0.425000 ;
+      RECT 1.525000  0.425000 1.695000 0.655000 ;
+      RECT 1.590000  1.835000 3.025000 2.005000 ;
+      RECT 1.590000  2.005000 1.840000 2.465000 ;
+      RECT 2.125000  2.255000 2.455000 2.635000 ;
+      RECT 2.715000  2.005000 3.025000 2.465000 ;
+      RECT 2.790000  0.425000 2.960000 0.655000 ;
+      RECT 2.790000  0.655000 3.965000 0.825000 ;
+      RECT 3.220000  0.085000 3.550000 0.485000 ;
+      RECT 3.795000  0.255000 3.965000 0.655000 ;
+      RECT 3.795000  1.665000 3.965000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_2
+MACRO sky130_fd_sc_hd__a311o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a311o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.945000 1.075000 7.275000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.255000 1.075000 6.040000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.515000 1.075000 4.945000 1.285000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.505000 1.285000 ;
+        RECT 1.060000 1.285000 1.255000 1.625000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.745000 0.350000 1.625000 ;
+    END
+  END C1
+  PIN X
+    ANTENNADIFFAREA  0.904000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.295000 2.545000 0.465000 ;
+        RECT 2.295000 0.465000 2.465000 0.715000 ;
+        RECT 2.295000 0.715000 3.305000 0.885000 ;
+        RECT 2.715000 1.545000 3.885000 1.715000 ;
+        RECT 2.910000 0.885000 3.105000 1.545000 ;
+        RECT 3.055000 0.295000 3.385000 0.465000 ;
+        RECT 3.135000 0.465000 3.305000 0.715000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.095000  0.085000 0.345000 0.565000 ;
+      RECT 0.175000  1.795000 0.345000 2.295000 ;
+      RECT 0.175000  2.295000 2.025000 2.465000 ;
+      RECT 0.515000  0.295000 0.845000 0.465000 ;
+      RECT 0.515000  1.955000 0.845000 2.125000 ;
+      RECT 0.595000  0.465000 0.765000 0.715000 ;
+      RECT 0.595000  0.715000 2.025000 0.885000 ;
+      RECT 0.595000  0.885000 0.765000 1.955000 ;
+      RECT 1.015000  0.085000 1.185000 0.545000 ;
+      RECT 1.015000  1.795000 1.185000 2.295000 ;
+      RECT 1.355000  0.295000 1.685000 0.465000 ;
+      RECT 1.435000  0.465000 1.605000 0.715000 ;
+      RECT 1.435000  1.455000 2.385000 1.625000 ;
+      RECT 1.435000  1.625000 1.605000 2.125000 ;
+      RECT 1.855000  0.085000 2.025000 0.545000 ;
+      RECT 1.855000  0.885000 2.025000 1.075000 ;
+      RECT 1.855000  1.075000 2.705000 1.245000 ;
+      RECT 1.855000  1.795000 2.025000 2.295000 ;
+      RECT 2.195000  1.625000 2.385000 1.915000 ;
+      RECT 2.195000  1.915000 6.765000 2.085000 ;
+      RECT 2.295000  2.255000 2.625000 2.635000 ;
+      RECT 2.715000  0.085000 2.885000 0.545000 ;
+      RECT 3.135000  2.255000 3.465000 2.635000 ;
+      RECT 3.275000  1.075000 4.320000 1.245000 ;
+      RECT 3.555000  0.085000 4.065000 0.545000 ;
+      RECT 3.975000  2.255000 4.305000 2.635000 ;
+      RECT 4.150000  1.245000 4.320000 1.455000 ;
+      RECT 4.150000  1.455000 6.685000 1.625000 ;
+      RECT 4.275000  0.295000 4.605000 0.465000 ;
+      RECT 4.355000  0.465000 4.525000 0.715000 ;
+      RECT 4.355000  0.715000 6.005000 0.885000 ;
+      RECT 4.475000  1.795000 4.645000 1.915000 ;
+      RECT 4.475000  2.085000 4.645000 2.465000 ;
+      RECT 4.775000  0.085000 4.945000 0.545000 ;
+      RECT 4.815000  2.255000 5.175000 2.635000 ;
+      RECT 5.255000  0.255000 7.270000 0.425000 ;
+      RECT 5.255000  0.425000 6.345000 0.465000 ;
+      RECT 5.375000  1.795000 5.545000 1.915000 ;
+      RECT 5.375000  2.085000 5.545000 2.465000 ;
+      RECT 5.675000  0.645000 6.005000 0.715000 ;
+      RECT 5.715000  2.255000 6.045000 2.635000 ;
+      RECT 6.175000  0.465000 6.345000 0.885000 ;
+      RECT 6.515000  0.645000 6.845000 0.825000 ;
+      RECT 6.515000  0.825000 6.685000 1.455000 ;
+      RECT 6.595000  1.795000 6.765000 1.915000 ;
+      RECT 6.595000  2.085000 6.765000 2.465000 ;
+      RECT 6.935000  0.425000 7.270000 0.500000 ;
+      RECT 6.935000  1.795000 7.270000 2.635000 ;
+      RECT 7.015000  0.500000 7.270000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a311o_4
+MACRO sky130_fd_sc_hd__dlxbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.480000 0.955000 1.810000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.215000 0.415000 5.465000 0.660000 ;
+        RECT 5.215000 0.660000 5.500000 0.825000 ;
+        RECT 5.215000 1.495000 5.500000 1.710000 ;
+        RECT 5.215000 1.710000 5.465000 2.455000 ;
+        RECT 5.330000 0.825000 5.500000 0.995000 ;
+        RECT 5.330000 0.995000 5.905000 1.325000 ;
+        RECT 5.330000 1.325000 5.500000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.050000 0.255000 7.305000 0.825000 ;
+        RECT 7.050000 1.445000 7.305000 2.465000 ;
+        RECT 7.095000 0.825000 7.305000 1.055000 ;
+        RECT 7.095000 1.055000 7.735000 1.325000 ;
+        RECT 7.095000 1.325000 7.305000 1.445000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.475000  1.495000 2.160000 1.665000 ;
+      RECT 1.475000  1.665000 1.805000 2.415000 ;
+      RECT 1.555000  0.345000 1.725000 0.615000 ;
+      RECT 1.555000  0.615000 2.160000 0.765000 ;
+      RECT 1.555000  0.765000 2.360000 0.785000 ;
+      RECT 1.895000  0.085000 2.225000 0.445000 ;
+      RECT 1.975000  1.835000 2.290000 2.635000 ;
+      RECT 1.990000  0.785000 2.360000 1.095000 ;
+      RECT 1.990000  1.095000 2.160000 1.495000 ;
+      RECT 2.490000  1.355000 2.775000 2.005000 ;
+      RECT 2.735000  0.705000 3.115000 1.035000 ;
+      RECT 2.860000  0.365000 3.520000 0.535000 ;
+      RECT 2.920000  2.255000 3.670000 2.425000 ;
+      RECT 2.945000  1.035000 3.115000 1.415000 ;
+      RECT 2.945000  1.415000 3.285000 1.995000 ;
+      RECT 3.350000  0.535000 3.520000 0.995000 ;
+      RECT 3.350000  0.995000 4.220000 1.165000 ;
+      RECT 3.500000  1.165000 4.220000 1.325000 ;
+      RECT 3.500000  1.325000 3.670000 2.255000 ;
+      RECT 3.760000  0.085000 4.090000 0.825000 ;
+      RECT 3.840000  2.135000 4.140000 2.635000 ;
+      RECT 3.860000  1.535000 4.580000 1.865000 ;
+      RECT 4.360000  0.415000 4.580000 0.825000 ;
+      RECT 4.360000  1.865000 4.580000 2.435000 ;
+      RECT 4.410000  0.825000 4.580000 0.995000 ;
+      RECT 4.410000  0.995000 5.160000 1.325000 ;
+      RECT 4.410000  1.325000 4.580000 1.535000 ;
+      RECT 4.760000  0.085000 5.045000 0.825000 ;
+      RECT 4.760000  1.495000 5.045000 2.635000 ;
+      RECT 5.635000  0.085000 5.905000 0.545000 ;
+      RECT 5.635000  1.835000 5.905000 2.635000 ;
+      RECT 6.075000  0.255000 6.405000 0.995000 ;
+      RECT 6.075000  0.995000 6.925000 1.325000 ;
+      RECT 6.075000  1.325000 6.405000 2.465000 ;
+      RECT 6.585000  0.085000 6.880000 0.545000 ;
+      RECT 6.585000  1.835000 6.880000 2.635000 ;
+      RECT 7.475000  0.085000 7.735000 0.885000 ;
+      RECT 7.475000  1.495000 7.735000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.490000  1.785000 2.660000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.950000  1.445000 3.120000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.180000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.720000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.430000 1.755000 2.720000 1.800000 ;
+      RECT 2.430000 1.940000 2.720000 1.985000 ;
+      RECT 2.890000 1.415000 3.180000 1.460000 ;
+      RECT 2.890000 1.600000 3.180000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_2
+MACRO sky130_fd_sc_hd__dlxbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.955000 1.785000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.140000 0.415000 5.480000 0.745000 ;
+        RECT 5.140000 1.670000 5.480000 2.465000 ;
+        RECT 5.310000 0.745000 5.480000 1.670000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.555000 0.255000 6.815000 0.825000 ;
+        RECT 6.555000 1.505000 6.815000 2.465000 ;
+        RECT 6.625000 0.825000 6.815000 1.505000 ;
+    END
+  END Q_N
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.480000  1.495000 2.165000 1.665000 ;
+      RECT 1.480000  1.665000 1.810000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.165000 0.785000 ;
+      RECT 1.875000  0.085000 2.230000 0.445000 ;
+      RECT 1.980000  1.835000 2.295000 2.635000 ;
+      RECT 1.995000  0.785000 2.165000 0.905000 ;
+      RECT 1.995000  0.905000 2.365000 1.235000 ;
+      RECT 1.995000  1.235000 2.165000 1.495000 ;
+      RECT 2.495000  1.355000 2.780000 2.005000 ;
+      RECT 2.565000  0.705000 3.120000 1.035000 ;
+      RECT 2.790000  0.365000 3.525000 0.535000 ;
+      RECT 2.920000  2.105000 3.620000 2.115000 ;
+      RECT 2.920000  2.115000 3.615000 2.130000 ;
+      RECT 2.920000  2.130000 3.610000 2.275000 ;
+      RECT 2.950000  1.035000 3.120000 1.415000 ;
+      RECT 2.950000  1.415000 3.290000 1.910000 ;
+      RECT 3.355000  0.535000 3.525000 0.995000 ;
+      RECT 3.355000  0.995000 4.225000 1.165000 ;
+      RECT 3.360000  2.075000 3.630000 2.090000 ;
+      RECT 3.360000  2.090000 3.625000 2.105000 ;
+      RECT 3.375000  2.060000 3.630000 2.075000 ;
+      RECT 3.420000  2.030000 3.630000 2.060000 ;
+      RECT 3.430000  2.015000 3.630000 2.030000 ;
+      RECT 3.460000  1.165000 4.225000 1.325000 ;
+      RECT 3.460000  1.325000 3.630000 2.015000 ;
+      RECT 3.765000  0.085000 4.095000 0.610000 ;
+      RECT 3.780000  2.175000 3.950000 2.635000 ;
+      RECT 3.800000  1.535000 4.580000 1.620000 ;
+      RECT 3.800000  1.620000 4.550000 1.865000 ;
+      RECT 4.300000  0.415000 4.470000 0.660000 ;
+      RECT 4.300000  0.660000 4.580000 0.840000 ;
+      RECT 4.300000  1.865000 4.550000 2.435000 ;
+      RECT 4.395000  0.840000 4.580000 0.995000 ;
+      RECT 4.395000  0.995000 5.140000 1.325000 ;
+      RECT 4.395000  1.325000 4.580000 1.535000 ;
+      RECT 4.640000  0.085000 4.970000 0.495000 ;
+      RECT 4.720000  1.830000 4.970000 2.635000 ;
+      RECT 5.660000  0.255000 5.910000 0.995000 ;
+      RECT 5.660000  0.995000 6.455000 1.325000 ;
+      RECT 5.660000  1.325000 5.910000 2.465000 ;
+      RECT 6.090000  0.085000 6.385000 0.545000 ;
+      RECT 6.090000  1.835000 6.385000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.495000  1.785000 2.665000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.955000  1.445000 3.125000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.185000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.725000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.435000 1.755000 2.725000 1.800000 ;
+      RECT 2.435000 1.940000 2.725000 1.985000 ;
+      RECT 2.895000 1.415000 3.185000 1.460000 ;
+      RECT 2.895000 1.600000 3.185000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlxbn_1
+MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.750000 0.765000 2.125000 1.095000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.690000 0.415000 4.975000 0.745000 ;
+        RECT 4.690000 1.670000 4.975000 2.455000 ;
+        RECT 4.805000 0.745000 4.975000 1.670000 ;
+    END
+  END Q
+  PIN SLEEP_B
+    ANTENNAGATEAREA  0.145500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.985000 0.330000 1.625000 ;
+    END
+  END SLEEP_B
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.175000  0.345000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 0.780000 0.805000 ;
+      RECT 0.175000  1.795000 0.780000 1.965000 ;
+      RECT 0.175000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.130000 ;
+      RECT 0.610000  1.130000 0.810000 1.460000 ;
+      RECT 0.610000  1.460000 0.780000 1.795000 ;
+      RECT 0.980000  0.740000 1.185000 0.910000 ;
+      RECT 0.980000  0.910000 1.150000 1.825000 ;
+      RECT 0.980000  1.825000 1.185000 1.915000 ;
+      RECT 0.980000  1.915000 2.845000 1.965000 ;
+      RECT 1.015000  0.345000 1.185000 0.740000 ;
+      RECT 1.015000  1.965000 2.845000 2.085000 ;
+      RECT 1.015000  2.085000 1.185000 2.465000 ;
+      RECT 1.320000  1.240000 1.490000 1.525000 ;
+      RECT 1.320000  1.525000 2.335000 1.695000 ;
+      RECT 1.455000  0.085000 1.785000 0.465000 ;
+      RECT 1.455000  2.255000 1.850000 2.635000 ;
+      RECT 2.050000  1.355000 2.335000 1.525000 ;
+      RECT 2.295000  0.705000 2.675000 1.035000 ;
+      RECT 2.310000  2.255000 3.185000 2.425000 ;
+      RECT 2.380000  0.365000 3.040000 0.535000 ;
+      RECT 2.505000  1.035000 2.675000 1.575000 ;
+      RECT 2.505000  1.575000 2.845000 1.915000 ;
+      RECT 2.870000  0.535000 3.040000 0.995000 ;
+      RECT 2.870000  0.995000 3.780000 1.165000 ;
+      RECT 3.015000  1.165000 3.780000 1.325000 ;
+      RECT 3.015000  1.325000 3.185000 2.255000 ;
+      RECT 3.265000  0.085000 3.595000 0.530000 ;
+      RECT 3.355000  2.135000 3.525000 2.635000 ;
+      RECT 3.420000  1.535000 4.125000 1.865000 ;
+      RECT 3.835000  0.415000 4.125000 0.745000 ;
+      RECT 3.835000  1.865000 4.125000 2.435000 ;
+      RECT 3.950000  0.745000 4.125000 1.535000 ;
+      RECT 4.295000  0.085000 4.465000 0.715000 ;
+      RECT 4.295000  1.570000 4.465000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputisolatch_1
+MACRO sky130_fd_sc_hd__nor4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.740000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.395000 1.075000 1.805000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.075000 1.075000 3.750000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.985000 1.075000 5.685000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.810000 1.075000 8.655000 1.285000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  1.944000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 7.245000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.195000 0.255000 2.525000 0.725000 ;
+        RECT 3.035000 0.255000 3.365000 0.725000 ;
+        RECT 4.395000 0.255000 4.725000 0.725000 ;
+        RECT 5.235000 0.255000 5.565000 0.725000 ;
+        RECT 6.075000 0.255000 6.405000 0.725000 ;
+        RECT 6.115000 0.905000 6.465000 1.455000 ;
+        RECT 6.115000 1.455000 7.205000 1.625000 ;
+        RECT 6.115000 1.625000 6.365000 2.125000 ;
+        RECT 6.915000 0.255000 7.245000 0.725000 ;
+        RECT 6.955000 1.625000 7.205000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.740000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.930000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.740000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.740000 0.085000 ;
+      RECT 0.000000  2.635000 8.740000 2.805000 ;
+      RECT 0.095000  1.455000 2.065000 1.625000 ;
+      RECT 0.095000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.645000 2.635000 ;
+      RECT 1.815000  1.625000 2.065000 2.295000 ;
+      RECT 1.815000  2.295000 3.745000 2.465000 ;
+      RECT 1.855000  0.085000 2.025000 0.555000 ;
+      RECT 2.235000  1.455000 5.525000 1.625000 ;
+      RECT 2.235000  1.625000 2.485000 2.125000 ;
+      RECT 2.655000  1.795000 2.905000 2.295000 ;
+      RECT 2.695000  0.085000 2.865000 0.555000 ;
+      RECT 3.075000  1.625000 3.325000 2.125000 ;
+      RECT 3.495000  1.795000 3.745000 2.295000 ;
+      RECT 3.535000  0.085000 4.225000 0.555000 ;
+      RECT 4.015000  1.795000 4.265000 2.295000 ;
+      RECT 4.015000  2.295000 7.625000 2.465000 ;
+      RECT 4.435000  1.625000 4.685000 2.125000 ;
+      RECT 4.855000  1.795000 5.105000 2.295000 ;
+      RECT 4.895000  0.085000 5.065000 0.555000 ;
+      RECT 5.275000  1.625000 5.525000 2.125000 ;
+      RECT 5.695000  1.455000 5.945000 2.295000 ;
+      RECT 5.735000  0.085000 5.905000 0.555000 ;
+      RECT 6.535000  1.795000 6.785000 2.295000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.635000  1.075000 7.640000 1.285000 ;
+      RECT 7.375000  1.795000 7.625000 2.295000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.470000  0.735000 8.185000 0.905000 ;
+      RECT 7.470000  0.905000 7.640000 1.075000 ;
+      RECT 7.470000  1.285000 7.640000 1.455000 ;
+      RECT 7.470000  1.455000 8.185000 1.625000 ;
+      RECT 7.810000  0.255000 8.185000 0.735000 ;
+      RECT 7.850000  1.625000 8.185000 2.465000 ;
+      RECT 8.355000  0.085000 8.585000 0.905000 ;
+      RECT 8.355000  1.455000 8.585000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_4
+MACRO sky130_fd_sc_hd__nor4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.240000 1.285000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.075000 2.635000 1.285000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.815000 1.075000 3.535000 1.285000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.805000 1.075000 5.435000 1.285000 ;
+        RECT 5.185000 1.285000 5.435000 1.955000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.972000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 0.845000 0.725000 ;
+        RECT 0.515000 0.725000 3.920000 0.905000 ;
+        RECT 1.355000 0.255000 1.685000 0.725000 ;
+        RECT 2.750000 0.255000 3.080000 0.725000 ;
+        RECT 3.590000 0.255000 3.920000 0.725000 ;
+        RECT 3.630000 1.455000 4.035000 1.625000 ;
+        RECT 3.630000 1.625000 3.880000 2.125000 ;
+        RECT 3.715000 0.905000 3.920000 1.075000 ;
+        RECT 3.715000 1.075000 4.035000 1.455000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.905000 ;
+      RECT 0.085000  1.455000 2.105000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.225000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.395000  1.795000 1.605000 2.295000 ;
+      RECT 1.395000  2.295000 3.040000 2.465000 ;
+      RECT 1.775000  1.625000 2.105000 2.125000 ;
+      RECT 1.855000  0.085000 2.580000 0.555000 ;
+      RECT 2.275000  1.455000 3.460000 1.625000 ;
+      RECT 2.275000  1.625000 2.660000 2.125000 ;
+      RECT 2.830000  1.795000 3.040000 2.295000 ;
+      RECT 3.210000  1.625000 3.460000 2.295000 ;
+      RECT 3.210000  2.295000 4.295000 2.465000 ;
+      RECT 3.250000  0.085000 3.420000 0.555000 ;
+      RECT 4.050000  1.795000 4.295000 2.295000 ;
+      RECT 4.090000  0.085000 4.295000 0.895000 ;
+      RECT 4.320000  1.075000 4.635000 1.245000 ;
+      RECT 4.465000  0.380000 4.820000 0.905000 ;
+      RECT 4.465000  0.905000 4.635000 1.075000 ;
+      RECT 4.465000  1.245000 4.635000 2.035000 ;
+      RECT 4.465000  2.035000 4.820000 2.450000 ;
+      RECT 4.990000  0.085000 5.240000 0.825000 ;
+      RECT 4.990000  2.135000 5.240000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_2
+MACRO sky130_fd_sc_hd__nor4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.275000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.995000 1.785000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.985000 0.995000 1.285000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.445000 0.995000 2.795000 1.615000 ;
+    END
+  END D_N
+  PIN Y
+    ANTENNADIFFAREA  0.871000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.655000 1.925000 0.825000 ;
+        RECT 0.085000 0.825000 0.345000 2.450000 ;
+        RECT 0.855000 0.300000 1.055000 0.655000 ;
+        RECT 1.725000 0.310000 1.925000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.355000  0.085000 0.685000 0.480000 ;
+      RECT 0.525000  0.995000 0.745000 1.795000 ;
+      RECT 0.525000  1.795000 3.135000 2.005000 ;
+      RECT 1.225000  0.085000 1.555000 0.485000 ;
+      RECT 2.095000  0.085000 2.425000 0.825000 ;
+      RECT 2.095000  2.185000 2.425000 2.635000 ;
+      RECT 2.660000  0.405000 2.830000 0.655000 ;
+      RECT 2.660000  0.655000 3.135000 0.825000 ;
+      RECT 2.965000  0.825000 3.135000 1.795000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nor4b_1
+MACRO sky130_fd_sc_hd__nand2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.275000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.055000 0.430000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.439000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 1.485000 0.865000 2.465000 ;
+        RECT 0.600000 0.255000 1.295000 0.885000 ;
+        RECT 0.600000 0.885000 0.770000 1.485000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.085000  0.085000 0.395000 0.885000 ;
+      RECT 0.085000  1.495000 0.365000 2.635000 ;
+      RECT 1.035000  1.495000 1.295000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_1
+MACRO sky130_fd_sc_hd__nand2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.615000 1.075000 4.055000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.730000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 3.365000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.910000 1.075000 2.445000 1.495000 ;
+        RECT 2.195000 0.635000 3.365000 0.805000 ;
+        RECT 2.195000 0.805000 2.445000 1.075000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.715000 ;
+      RECT 0.090000  0.715000 2.025000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 1.265000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.545000 ;
+      RECT 1.775000  0.255000 3.785000 0.465000 ;
+      RECT 1.775000  0.465000 2.025000 0.715000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.535000  0.465000 3.785000 0.885000 ;
+      RECT 3.535000  1.835000 3.785000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_4
+MACRO sky130_fd_sc_hd__nand2_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.290000 1.075000 6.305000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.510000 1.075000 3.365000 1.295000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  2.862000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.465000 6.725000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 3.640000 1.075000 4.120000 1.465000 ;
+        RECT 3.875000 0.655000 6.725000 0.905000 ;
+        RECT 3.875000 0.905000 4.120000 1.075000 ;
+        RECT 3.875000 1.665000 4.205000 2.465000 ;
+        RECT 4.715000 1.665000 5.045000 2.465000 ;
+        RECT 5.555000 1.665000 5.885000 2.465000 ;
+        RECT 6.395000 1.665000 6.725000 2.465000 ;
+        RECT 6.475000 0.905000 6.725000 1.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.705000 0.905000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.255000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.275000  0.085000 2.445000 0.565000 ;
+      RECT 2.615000  0.255000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.115000  0.085000 3.285000 0.565000 ;
+      RECT 3.455000  0.255000 7.270000 0.485000 ;
+      RECT 3.455000  0.485000 3.705000 0.735000 ;
+      RECT 3.535000  1.835000 3.705000 2.635000 ;
+      RECT 4.375000  1.835000 4.545000 2.635000 ;
+      RECT 5.215000  1.835000 5.385000 2.635000 ;
+      RECT 6.055000  1.835000 6.225000 2.635000 ;
+      RECT 6.895000  0.485000 7.270000 0.905000 ;
+      RECT 6.915000  1.495000 7.270000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_8
+MACRO sky130_fd_sc_hd__nand2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.015000 1.075000 1.765000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.845000 1.325000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.495000 2.215000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 0.655000 2.215000 0.905000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 1.935000 0.905000 2.215000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.185000 0.885000 ;
+      RECT 0.085000  1.495000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.545000 ;
+      RECT 0.935000  0.255000 2.105000 0.465000 ;
+      RECT 0.935000  0.465000 1.185000 0.715000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.775000  0.465000 2.105000 0.485000 ;
+      RECT 1.855000  1.835000 2.110000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand2_2
+MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.765000 0.500000 1.325000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.765000 1.275000 1.325000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  0.509000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.565000 0.255000 2.180000 0.825000 ;
+        RECT 1.645000 1.845000 2.180000 2.465000 ;
+        RECT 1.865000 0.825000 2.180000 1.845000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.250000  0.085000 0.490000 0.595000 ;
+      RECT 0.270000  1.495000 1.695000 1.665000 ;
+      RECT 0.270000  1.665000 0.660000 1.840000 ;
+      RECT 0.670000  0.265000 0.950000 0.595000 ;
+      RECT 0.670000  0.595000 0.840000 1.495000 ;
+      RECT 1.145000  1.835000 1.475000 2.635000 ;
+      RECT 1.180000  0.085000 1.395000 0.595000 ;
+      RECT 1.525000  0.995000 1.695000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_inputiso1p_1
+MACRO sky130_fd_sc_hd__sdfbbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 1.325000 4.025000 2.375000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 13.915000 0.255000 14.175000 0.825000 ;
+        RECT 13.915000 1.605000 14.175000 2.465000 ;
+        RECT 13.965000 0.825000 14.175000 1.605000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.500000 0.255000 12.785000 0.715000 ;
+        RECT 12.500000 1.630000 12.785000 2.465000 ;
+        RECT 12.605000 0.715000 12.785000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.535000 1.095000 11.990000 1.325000 ;
+    END
+  END RESET_B
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.440000 1.025000 1.720000 1.685000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 0.345000 2.180000 0.845000 ;
+        RECT 1.960000 0.845000 2.415000 1.015000 ;
+        RECT 1.960000 1.015000 2.180000 1.695000 ;
+    END
+  END SCE
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.885000 0.735000 6.295000 0.965000 ;
+        RECT 5.885000 0.965000 6.215000 1.065000 ;
+      LAYER mcon ;
+        RECT 6.125000 0.765000 6.295000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.755000 0.735000 10.130000 1.065000 ;
+      LAYER mcon ;
+        RECT 9.805000 0.765000 9.975000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 6.065000 0.735000  6.355000 0.780000 ;
+        RECT 6.065000 0.780000 10.035000 0.920000 ;
+        RECT 6.065000 0.920000  6.355000 0.965000 ;
+        RECT 9.745000 0.735000 10.035000 0.780000 ;
+        RECT 9.745000 0.920000 10.035000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.170000  0.345000  0.345000 0.635000 ;
+      RECT  0.170000  0.635000  0.835000 0.805000 ;
+      RECT  0.170000  1.795000  0.835000 1.965000 ;
+      RECT  0.170000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.605000  0.805000  0.835000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.455000  0.085000  1.705000 0.635000 ;
+      RECT  1.455000  1.885000  1.785000 2.635000 ;
+      RECT  2.235000  1.875000  2.565000 2.385000 ;
+      RECT  2.350000  0.265000  2.755000 0.595000 ;
+      RECT  2.350000  1.185000  3.075000 1.365000 ;
+      RECT  2.350000  1.365000  2.565000 1.875000 ;
+      RECT  2.585000  0.595000  2.755000 1.075000 ;
+      RECT  2.585000  1.075000  3.075000 1.185000 ;
+      RECT  2.745000  1.575000  3.645000 1.745000 ;
+      RECT  2.745000  1.745000  3.065000 1.905000 ;
+      RECT  2.895000  1.905000  3.065000 2.465000 ;
+      RECT  2.925000  0.305000  3.125000 0.625000 ;
+      RECT  2.925000  0.625000  3.645000 0.765000 ;
+      RECT  2.925000  0.765000  3.770000 0.795000 ;
+      RECT  3.310000  2.215000  3.640000 2.635000 ;
+      RECT  3.370000  0.085000  3.700000 0.445000 ;
+      RECT  3.475000  0.795000  3.770000 1.095000 ;
+      RECT  3.475000  1.095000  3.645000 1.575000 ;
+      RECT  4.230000  0.305000  4.455000 2.465000 ;
+      RECT  4.625000  0.705000  4.845000 1.575000 ;
+      RECT  4.625000  1.575000  5.125000 1.955000 ;
+      RECT  4.635000  2.250000  5.465000 2.420000 ;
+      RECT  4.700000  0.265000  5.715000 0.465000 ;
+      RECT  5.025000  0.645000  5.375000 1.015000 ;
+      RECT  5.295000  1.195000  5.715000 1.235000 ;
+      RECT  5.295000  1.235000  6.645000 1.405000 ;
+      RECT  5.295000  1.405000  5.465000 2.250000 ;
+      RECT  5.545000  0.465000  5.715000 1.195000 ;
+      RECT  5.635000  1.575000  5.885000 1.785000 ;
+      RECT  5.635000  1.785000  6.985000 2.035000 ;
+      RECT  5.705000  2.205000  6.085000 2.635000 ;
+      RECT  5.885000  0.085000  6.055000 0.525000 ;
+      RECT  6.225000  0.255000  7.395000 0.425000 ;
+      RECT  6.225000  0.425000  6.555000 0.465000 ;
+      RECT  6.385000  2.035000  6.555000 2.375000 ;
+      RECT  6.395000  1.405000  6.645000 1.485000 ;
+      RECT  6.425000  1.155000  6.645000 1.235000 ;
+      RECT  6.700000  0.595000  7.030000 0.765000 ;
+      RECT  6.815000  0.765000  7.030000 0.895000 ;
+      RECT  6.815000  0.895000  8.125000 1.065000 ;
+      RECT  6.815000  1.065000  6.985000 1.785000 ;
+      RECT  7.155000  1.235000  7.485000 1.415000 ;
+      RECT  7.155000  1.415000  8.160000 1.655000 ;
+      RECT  7.175000  1.915000  7.505000 2.635000 ;
+      RECT  7.200000  0.425000  7.395000 0.715000 ;
+      RECT  7.640000  0.085000  7.975000 0.465000 ;
+      RECT  7.795000  1.065000  8.125000 1.235000 ;
+      RECT  8.360000  1.575000  8.595000 1.985000 ;
+      RECT  8.420000  0.705000  8.705000 1.125000 ;
+      RECT  8.420000  1.125000  9.040000 1.305000 ;
+      RECT  8.550000  2.250000  9.380000 2.420000 ;
+      RECT  8.615000  0.265000  9.380000 0.465000 ;
+      RECT  8.835000  1.305000  9.040000 1.905000 ;
+      RECT  9.210000  0.465000  9.380000 1.235000 ;
+      RECT  9.210000  1.235000 10.560000 1.405000 ;
+      RECT  9.210000  1.405000  9.380000 2.250000 ;
+      RECT  9.550000  1.575000  9.800000 1.915000 ;
+      RECT  9.550000  1.915000 12.330000 2.085000 ;
+      RECT  9.560000  0.085000  9.820000 0.525000 ;
+      RECT  9.620000  2.255000 10.000000 2.635000 ;
+      RECT 10.080000  0.255000 11.250000 0.425000 ;
+      RECT 10.080000  0.425000 10.430000 0.465000 ;
+      RECT 10.240000  2.085000 10.410000 2.375000 ;
+      RECT 10.340000  1.075000 10.560000 1.235000 ;
+      RECT 10.575000  0.645000 10.905000 0.815000 ;
+      RECT 10.730000  0.815000 10.905000 1.915000 ;
+      RECT 10.940000  2.255000 12.330000 2.635000 ;
+      RECT 11.075000  0.425000 11.250000 0.585000 ;
+      RECT 11.080000  0.755000 11.765000 0.925000 ;
+      RECT 11.080000  0.925000 11.355000 1.575000 ;
+      RECT 11.080000  1.575000 11.855000 1.745000 ;
+      RECT 11.565000  0.265000 11.765000 0.755000 ;
+      RECT 12.000000  0.085000 12.330000 0.805000 ;
+      RECT 12.160000  0.995000 12.425000 1.325000 ;
+      RECT 12.160000  1.325000 12.330000 1.915000 ;
+      RECT 12.960000  0.255000 13.275000 0.995000 ;
+      RECT 12.960000  0.995000 13.795000 1.325000 ;
+      RECT 12.960000  1.325000 13.275000 2.415000 ;
+      RECT 13.450000  1.765000 13.745000 2.635000 ;
+      RECT 13.455000  0.085000 13.745000 0.545000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  1.785000  0.775000 1.955000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  0.765000  1.235000 0.935000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  1.105000  3.075000 1.275000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  1.105000  4.455000 1.275000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  1.785000  4.915000 1.955000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  0.765000  5.375000 0.935000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  1.445000  8.135000 1.615000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  1.105000  8.595000 1.275000 ;
+      RECT  8.425000  1.785000  8.595000 1.955000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  1.445000 11.355000 1.615000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.545000 1.755000  0.835000 1.800000 ;
+      RECT  0.545000 1.800000  8.655000 1.940000 ;
+      RECT  0.545000 1.940000  0.835000 1.985000 ;
+      RECT  1.005000 0.735000  1.295000 0.780000 ;
+      RECT  1.005000 0.780000  5.435000 0.920000 ;
+      RECT  1.005000 0.920000  1.295000 0.965000 ;
+      RECT  2.845000 1.075000  3.135000 1.120000 ;
+      RECT  2.845000 1.120000  4.515000 1.260000 ;
+      RECT  2.845000 1.260000  3.135000 1.305000 ;
+      RECT  4.225000 1.075000  4.515000 1.120000 ;
+      RECT  4.225000 1.260000  4.515000 1.305000 ;
+      RECT  4.685000 1.755000  4.975000 1.800000 ;
+      RECT  4.685000 1.940000  4.975000 1.985000 ;
+      RECT  5.145000 0.735000  5.435000 0.780000 ;
+      RECT  5.145000 0.920000  5.435000 0.965000 ;
+      RECT  5.220000 0.965000  5.435000 1.120000 ;
+      RECT  5.220000 1.120000  8.655000 1.260000 ;
+      RECT  7.905000 1.415000  8.195000 1.460000 ;
+      RECT  7.905000 1.460000 11.415000 1.600000 ;
+      RECT  7.905000 1.600000  8.195000 1.645000 ;
+      RECT  8.365000 1.075000  8.655000 1.120000 ;
+      RECT  8.365000 1.260000  8.655000 1.305000 ;
+      RECT  8.365000 1.755000  8.655000 1.800000 ;
+      RECT  8.365000 1.940000  8.655000 1.985000 ;
+      RECT 11.125000 1.415000 11.415000 1.460000 ;
+      RECT 11.125000 1.600000 11.415000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdfbbp_1
+MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  5.440000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.603000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.970000 1.070000 3.290000 1.540000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.072500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.335000 0.255000 5.635000 0.980000 ;
+        RECT 5.360000 0.980000 5.635000 1.085000 ;
+        RECT 5.360000 1.085000 6.555000 1.410000 ;
+        RECT 5.360000 1.410000 5.635000 2.370000 ;
+        RECT 6.280000 1.410000 6.555000 2.370000 ;
+        RECT 6.335000 0.255000 6.555000 1.085000 ;
+    END
+  END X
+  PIN LOWLVPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 2.065000 2.390000 2.335000 ;
+        RECT 2.060000 1.635000 2.390000 2.065000 ;
+        RECT 2.060000 2.335000 2.390000 2.660000 ;
+        RECT 2.060000 2.660000 2.810000 3.750000 ;
+      LAYER mcon ;
+        RECT 1.420000 2.115000 1.590000 2.285000 ;
+        RECT 1.780000 2.115000 1.950000 2.285000 ;
+        RECT 2.140000 2.115000 2.310000 2.285000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 7.290000 2.280000 ;
+        RECT 1.360000 2.085000 2.370000 2.140000 ;
+        RECT 1.360000 2.280000 2.370000 2.315000 ;
+      LAYER nwell ;
+        RECT 1.920000 1.305000 2.980000 4.135000 ;
+    END
+  END LOWLVPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 5.200000 7.360000 5.680000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.075000 5.245000 0.200000 5.395000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT 4.250000 1.305000 7.405000 4.135000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 1.890000 2.805000 ;
+      RECT 0.000000  5.355000 7.360000 5.525000 ;
+      RECT 2.020000  0.085000 2.350000 0.895000 ;
+      RECT 2.560000  0.375000 2.800000 2.130000 ;
+      RECT 2.560000  2.130000 3.390000 2.370000 ;
+      RECT 2.645000  4.515000 2.905000 5.355000 ;
+      RECT 3.060000  2.370000 3.390000 3.965000 ;
+      RECT 3.075000  4.265000 4.265000 4.325000 ;
+      RECT 3.075000  4.325000 3.405000 5.185000 ;
+      RECT 3.115000  0.085000 3.445000 0.900000 ;
+      RECT 3.145000  4.155000 4.195000 4.265000 ;
+      RECT 3.575000  4.515000 3.765000 5.355000 ;
+      RECT 3.615000  0.255000 3.805000 0.730000 ;
+      RECT 3.615000  0.730000 4.665000 0.980000 ;
+      RECT 3.680000  2.405000 4.190000 2.575000 ;
+      RECT 3.680000  2.575000 3.850000 3.470000 ;
+      RECT 3.680000  3.470000 4.720000 3.640000 ;
+      RECT 3.935000  4.325000 4.265000 5.185000 ;
+      RECT 3.975000  0.085000 4.305000 0.560000 ;
+      RECT 4.020000  0.980000 4.190000 2.405000 ;
+      RECT 4.020000  2.745000 4.640000 2.915000 ;
+      RECT 4.020000  2.915000 4.190000 3.300000 ;
+      RECT 4.020000  3.810000 4.190000 4.155000 ;
+      RECT 4.390000  3.085000 4.720000 3.470000 ;
+      RECT 4.410000  3.640000 4.720000 3.740000 ;
+      RECT 4.445000  4.515000 4.955000 5.355000 ;
+      RECT 4.470000  1.625000 4.640000 2.745000 ;
+      RECT 4.475000  0.255000 4.665000 0.730000 ;
+      RECT 4.835000  0.085000 5.165000 0.900000 ;
+      RECT 4.890000  1.625000 5.120000 2.635000 ;
+      RECT 4.890000  2.635000 7.360000 2.805000 ;
+      RECT 4.890000  2.805000 5.120000 3.740000 ;
+      RECT 5.135000  4.405000 5.765000 4.460000 ;
+      RECT 5.135000  4.460000 5.695000 4.820000 ;
+      RECT 5.135000  4.820000 5.485000 5.160000 ;
+      RECT 5.360000  3.070000 5.550000 4.125000 ;
+      RECT 5.360000  4.125000 6.085000 4.355000 ;
+      RECT 5.360000  4.355000 5.765000 4.405000 ;
+      RECT 5.825000  0.085000 6.155000 0.845000 ;
+      RECT 5.905000  1.610000 6.075000 2.635000 ;
+      RECT 6.755000  0.085000 7.005000 0.925000 ;
+      RECT 6.755000  1.610000 6.935000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.145000  5.355000 0.315000 5.525000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.605000  5.355000 0.775000 5.525000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.065000  5.355000 1.235000 5.525000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.525000  5.355000 1.695000 5.525000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  5.355000 2.155000 5.525000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  5.355000 2.615000 5.525000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  5.355000 3.075000 5.525000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  5.355000 3.535000 5.525000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  5.355000 3.995000 5.525000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  5.355000 4.455000 5.525000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  5.355000 4.915000 5.525000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.205000  5.355000 5.375000 5.525000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 5.665000  5.355000 5.835000 5.525000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.125000  5.355000 6.295000 5.525000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 6.585000  5.355000 6.755000 5.525000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.045000  5.355000 7.215000 5.525000 ;
+    LAYER met1 ;
+      RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    LAYER nwell ;
+      RECT -0.190000 1.305000 0.650000 4.135000 ;
+  END
+END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
+MACRO sky130_fd_sc_hd__maj3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.995000 1.125000 1.325000 ;
+        RECT 0.610000 1.325000 0.780000 2.460000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.500000 0.995000 1.905000 1.615000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.415000 0.765000 2.755000 1.325000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.602250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.255000 0.255000 3.595000 0.825000 ;
+        RECT 3.255000 2.160000 3.595000 2.465000 ;
+        RECT 3.265000 1.495000 3.595000 2.160000 ;
+        RECT 3.370000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.135000  0.255000 0.395000 0.655000 ;
+      RECT 0.135000  0.655000 2.245000 0.825000 ;
+      RECT 0.135000  0.825000 0.395000 2.125000 ;
+      RECT 0.875000  0.085000 1.205000 0.485000 ;
+      RECT 0.955000  1.715000 1.205000 2.635000 ;
+      RECT 1.655000  0.255000 1.985000 0.640000 ;
+      RECT 1.655000  0.640000 2.245000 0.655000 ;
+      RECT 1.655000  1.815000 2.245000 2.080000 ;
+      RECT 2.075000  0.825000 2.245000 1.495000 ;
+      RECT 2.075000  1.495000 3.095000 1.665000 ;
+      RECT 2.075000  1.665000 2.245000 1.815000 ;
+      RECT 2.545000  0.085000 2.880000 0.470000 ;
+      RECT 2.555000  1.845000 2.885000 2.635000 ;
+      RECT 2.925000  0.995000 3.200000 1.325000 ;
+      RECT 2.925000  1.325000 3.095000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_1
+MACRO sky130_fd_sc_hd__maj3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.450000 1.635000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.960000 1.075000 2.290000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 1.075000 0.890000 1.285000 ;
+        RECT 0.720000 1.285000 0.890000 1.915000 ;
+        RECT 0.720000 1.915000 1.790000 2.085000 ;
+        RECT 1.620000 2.085000 1.790000 2.225000 ;
+        RECT 1.620000 2.225000 2.630000 2.395000 ;
+        RECT 2.460000 1.075000 2.945000 1.245000 ;
+        RECT 2.460000 1.245000 2.630000 2.225000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.375000 0.255000 3.705000 0.490000 ;
+        RECT 3.375000 1.455000 4.975000 1.625000 ;
+        RECT 3.375000 1.625000 3.705000 2.465000 ;
+        RECT 3.455000 0.490000 3.705000 0.715000 ;
+        RECT 3.455000 0.715000 4.975000 0.905000 ;
+        RECT 4.215000 0.255000 4.545000 0.715000 ;
+        RECT 4.215000 1.625000 4.545000 2.465000 ;
+        RECT 4.715000 0.905000 4.975000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.255000 0.635000 0.660000 ;
+      RECT 0.085000  0.660000 2.290000 0.715000 ;
+      RECT 0.085000  0.715000 3.285000 0.885000 ;
+      RECT 0.085000  0.885000 0.255000 1.455000 ;
+      RECT 0.085000  1.455000 0.465000 2.465000 ;
+      RECT 1.120000  0.085000 1.450000 0.490000 ;
+      RECT 1.120000  2.255000 1.450000 2.635000 ;
+      RECT 1.620000  0.885000 1.790000 1.545000 ;
+      RECT 1.620000  1.545000 2.290000 1.745000 ;
+      RECT 1.960000  0.255000 2.290000 0.660000 ;
+      RECT 1.960000  1.745000 2.290000 2.055000 ;
+      RECT 2.845000  1.455000 3.175000 2.635000 ;
+      RECT 2.860000  0.085000 3.205000 0.545000 ;
+      RECT 3.115000  0.885000 3.285000 1.075000 ;
+      RECT 3.115000  1.075000 4.545000 1.285000 ;
+      RECT 3.875000  0.085000 4.045000 0.545000 ;
+      RECT 3.875000  1.795000 4.045000 2.635000 ;
+      RECT 4.715000  0.085000 4.885000 0.545000 ;
+      RECT 4.715000  1.795000 4.925000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_4
+MACRO sky130_fd_sc_hd__maj3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__maj3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.005000 0.995000 1.695000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.865000 0.995000 2.155000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.495000 ;
+        RECT 0.425000 1.495000 3.070000 1.665000 ;
+        RECT 2.415000 1.415000 3.070000 1.495000 ;
+    END
+  END C
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.285000 0.255000 3.615000 0.905000 ;
+        RECT 3.285000 1.495000 3.615000 2.465000 ;
+        RECT 3.445000 0.905000 3.615000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.085000  0.280000 0.525000 0.655000 ;
+      RECT 0.085000  0.655000 3.105000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.835000 ;
+      RECT 0.085000  1.835000 2.085000 2.005000 ;
+      RECT 0.085000  2.005000 0.615000 2.465000 ;
+      RECT 0.975000  0.085000 1.305000 0.485000 ;
+      RECT 0.975000  2.175000 1.305000 2.635000 ;
+      RECT 1.755000  0.255000 2.085000 0.655000 ;
+      RECT 1.755000  2.005000 2.085000 2.465000 ;
+      RECT 2.535000  1.835000 2.860000 2.635000 ;
+      RECT 2.635000  0.085000 2.965000 0.485000 ;
+      RECT 2.925000  0.825000 3.105000 1.075000 ;
+      RECT 2.925000  1.075000 3.275000 1.245000 ;
+      RECT 3.785000  0.085000 4.055000 0.905000 ;
+      RECT 3.785000  1.495000 4.055000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__maj3_2
+MACRO sky130_fd_sc_hd__sdfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.04000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.440000 1.355000 2.775000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.180000 0.305000 9.530000 0.725000 ;
+        RECT 9.180000 0.725000 9.560000 0.790000 ;
+        RECT 9.180000 0.790000 9.610000 0.825000 ;
+        RECT 9.200000 1.505000 9.610000 1.540000 ;
+        RECT 9.200000 1.540000 9.530000 2.465000 ;
+        RECT 9.355000 1.430000 9.610000 1.505000 ;
+        RECT 9.390000 0.825000 9.610000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.685000 0.265000 10.940000 0.795000 ;
+        RECT 10.685000 1.445000 10.940000 2.325000 ;
+        RECT 10.730000 0.795000 10.940000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.515000 1.055000 3.995000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 0.750000 3.235000 0.785000 ;
+        RECT 1.760000 0.785000 2.010000 0.810000 ;
+        RECT 1.760000 0.810000 1.990000 0.820000 ;
+        RECT 1.760000 0.820000 1.975000 0.835000 ;
+        RECT 1.760000 0.835000 1.970000 0.840000 ;
+        RECT 1.760000 0.840000 1.965000 0.850000 ;
+        RECT 1.760000 0.850000 1.960000 0.855000 ;
+        RECT 1.760000 0.855000 1.955000 0.860000 ;
+        RECT 1.760000 0.860000 1.950000 0.870000 ;
+        RECT 1.760000 0.870000 1.945000 0.875000 ;
+        RECT 1.760000 0.875000 1.940000 0.880000 ;
+        RECT 1.760000 0.880000 1.930000 1.685000 ;
+        RECT 1.790000 0.735000 3.235000 0.750000 ;
+        RECT 1.805000 0.725000 3.235000 0.735000 ;
+        RECT 1.820000 0.715000 3.235000 0.725000 ;
+        RECT 1.830000 0.705000 3.235000 0.715000 ;
+        RECT 1.840000 0.690000 3.235000 0.705000 ;
+        RECT 1.860000 0.655000 3.235000 0.690000 ;
+        RECT 1.875000 0.615000 3.235000 0.655000 ;
+        RECT 2.455000 0.305000 2.630000 0.615000 ;
+        RECT 3.065000 0.785000 3.235000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.040000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 11.230000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.040000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.040000 0.085000 ;
+      RECT  0.000000  2.635000 11.040000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.420000  0.255000  1.705000 0.585000 ;
+      RECT  1.420000  0.585000  1.590000 1.860000 ;
+      RECT  1.420000  1.860000  3.230000 2.075000 ;
+      RECT  1.420000  2.075000  1.705000 2.445000 ;
+      RECT  1.875000  2.245000  2.205000 2.635000 ;
+      RECT  1.955000  0.085000  2.285000 0.445000 ;
+      RECT  2.100000  0.955000  2.445000 1.125000 ;
+      RECT  2.100000  1.125000  2.270000 1.860000 ;
+      RECT  2.675000  2.245000  3.570000 2.415000 ;
+      RECT  2.800000  0.275000  3.575000 0.445000 ;
+      RECT  3.060000  1.355000  3.255000 1.685000 ;
+      RECT  3.060000  1.685000  3.230000 1.860000 ;
+      RECT  3.400000  1.825000  4.335000 1.995000 ;
+      RECT  3.400000  1.995000  3.570000 2.245000 ;
+      RECT  3.405000  0.445000  3.575000 0.715000 ;
+      RECT  3.405000  0.715000  4.335000 0.885000 ;
+      RECT  3.740000  2.165000  3.910000 2.635000 ;
+      RECT  3.745000  0.085000  3.945000 0.545000 ;
+      RECT  4.165000  0.365000  4.515000 0.535000 ;
+      RECT  4.165000  0.535000  4.335000 0.715000 ;
+      RECT  4.165000  0.885000  4.335000 1.825000 ;
+      RECT  4.165000  1.995000  4.335000 2.070000 ;
+      RECT  4.165000  2.070000  4.450000 2.440000 ;
+      RECT  4.505000  0.705000  5.085000 1.035000 ;
+      RECT  4.505000  1.035000  4.745000 1.905000 ;
+      RECT  4.645000  2.190000  5.715000 2.360000 ;
+      RECT  4.685000  0.365000  5.425000 0.535000 ;
+      RECT  4.935000  1.655000  5.375000 2.010000 ;
+      RECT  5.255000  0.535000  5.425000 1.315000 ;
+      RECT  5.255000  1.315000  6.055000 1.485000 ;
+      RECT  5.545000  1.485000  6.055000 1.575000 ;
+      RECT  5.545000  1.575000  5.715000 2.190000 ;
+      RECT  5.595000  0.765000  6.395000 1.065000 ;
+      RECT  5.595000  1.065000  5.765000 1.095000 ;
+      RECT  5.675000  0.085000  6.045000 0.585000 ;
+      RECT  5.885000  1.245000  6.055000 1.315000 ;
+      RECT  5.885000  1.835000  6.055000 2.635000 ;
+      RECT  6.225000  0.365000  6.685000 0.535000 ;
+      RECT  6.225000  0.535000  6.395000 0.765000 ;
+      RECT  6.225000  1.065000  6.395000 2.135000 ;
+      RECT  6.225000  2.135000  6.475000 2.465000 ;
+      RECT  6.565000  0.705000  7.115000 1.035000 ;
+      RECT  6.565000  1.245000  6.755000 1.965000 ;
+      RECT  6.700000  2.165000  7.585000 2.335000 ;
+      RECT  6.915000  0.365000  7.455000 0.535000 ;
+      RECT  6.925000  1.035000  7.115000 1.575000 ;
+      RECT  6.925000  1.575000  7.245000 1.905000 ;
+      RECT  7.285000  0.535000  7.455000 0.995000 ;
+      RECT  7.285000  0.995000  8.315000 1.325000 ;
+      RECT  7.285000  1.325000  7.585000 1.405000 ;
+      RECT  7.415000  1.405000  7.585000 2.165000 ;
+      RECT  7.700000  0.085000  8.070000 0.615000 ;
+      RECT  7.755000  1.575000  8.670000 1.905000 ;
+      RECT  7.765000  2.135000  8.070000 2.635000 ;
+      RECT  8.340000  0.300000  8.670000 0.825000 ;
+      RECT  8.380000  1.905000  8.670000 2.455000 ;
+      RECT  8.485000  0.825000  8.670000 0.995000 ;
+      RECT  8.485000  0.995000  9.220000 1.325000 ;
+      RECT  8.485000  1.325000  8.670000 1.575000 ;
+      RECT  8.840000  0.085000  9.010000 0.695000 ;
+      RECT  8.840000  1.625000  9.010000 2.635000 ;
+      RECT  9.700000  0.345000  9.950000 0.620000 ;
+      RECT  9.700000  1.685000 10.030000 2.425000 ;
+      RECT  9.780000  0.620000  9.950000 0.995000 ;
+      RECT  9.780000  0.995000 10.560000 1.325000 ;
+      RECT  9.780000  1.325000 10.030000 1.685000 ;
+      RECT 10.185000  0.085000 10.515000 0.805000 ;
+      RECT 10.210000  1.495000 10.515000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.015000  0.765000  1.185000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.165000  1.785000  5.335000 1.955000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.575000  1.785000  6.745000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  0.765000  6.755000 0.935000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.805000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.955000 0.735000 1.245000 0.780000 ;
+      RECT 0.955000 0.780000 6.815000 0.920000 ;
+      RECT 0.955000 0.920000 1.245000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.105000 1.755000 5.395000 1.800000 ;
+      RECT 5.105000 1.940000 5.395000 1.985000 ;
+      RECT 6.515000 1.755000 6.805000 1.800000 ;
+      RECT 6.515000 1.940000 6.805000 1.985000 ;
+      RECT 6.525000 0.735000 6.815000 0.780000 ;
+      RECT 6.525000 0.920000 6.815000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_1
+MACRO sky130_fd_sc_hd__sdfxbp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.460000 1.355000 2.795000 1.685000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.255000 0.255000 9.585000 0.790000 ;
+        RECT 9.255000 0.790000 9.615000 0.825000 ;
+        RECT 9.255000 1.495000 9.615000 1.530000 ;
+        RECT 9.255000 1.530000 9.585000 2.430000 ;
+        RECT 9.410000 0.825000 9.615000 0.890000 ;
+        RECT 9.410000 1.430000 9.615000 1.495000 ;
+        RECT 9.445000 0.890000 9.615000 1.430000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.190000 0.265000 11.440000 0.795000 ;
+        RECT 11.190000 1.445000 11.440000 2.325000 ;
+        RECT 11.235000 0.795000 11.440000 1.445000 ;
+    END
+  END Q_N
+  PIN SCD
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.535000 1.035000 4.035000 1.655000 ;
+    END
+  END SCD
+  PIN SCE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.780000 0.615000 3.255000 0.785000 ;
+        RECT 1.780000 0.785000 1.950000 1.685000 ;
+        RECT 2.475000 0.305000 2.650000 0.615000 ;
+        RECT 3.085000 0.785000 3.255000 1.115000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.810000 0.805000 ;
+      RECT  0.180000  1.795000  0.845000 1.965000 ;
+      RECT  0.180000  1.965000  0.350000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.520000  2.135000  0.850000 2.635000 ;
+      RECT  0.615000  0.805000  0.810000 0.970000 ;
+      RECT  0.615000  0.970000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.245000 0.715000 ;
+      RECT  1.020000  0.715000  1.245000 2.465000 ;
+      RECT  1.435000  0.275000  1.805000 0.445000 ;
+      RECT  1.435000  0.445000  1.605000 1.860000 ;
+      RECT  1.435000  1.860000  3.250000 2.075000 ;
+      RECT  1.435000  2.075000  1.710000 2.445000 ;
+      RECT  1.880000  2.245000  2.210000 2.635000 ;
+      RECT  1.975000  0.085000  2.305000 0.445000 ;
+      RECT  2.120000  0.955000  2.465000 1.125000 ;
+      RECT  2.120000  1.125000  2.290000 1.860000 ;
+      RECT  2.695000  2.245000  3.590000 2.415000 ;
+      RECT  2.820000  0.275000  3.595000 0.445000 ;
+      RECT  3.080000  1.355000  3.275000 1.685000 ;
+      RECT  3.080000  1.685000  3.250000 1.860000 ;
+      RECT  3.420000  1.825000  4.375000 1.995000 ;
+      RECT  3.420000  1.995000  3.590000 2.245000 ;
+      RECT  3.425000  0.445000  3.595000 0.695000 ;
+      RECT  3.425000  0.695000  4.375000 0.865000 ;
+      RECT  3.760000  2.165000  3.930000 2.635000 ;
+      RECT  3.765000  0.085000  3.965000 0.525000 ;
+      RECT  4.205000  0.365000  4.555000 0.535000 ;
+      RECT  4.205000  0.535000  4.375000 0.695000 ;
+      RECT  4.205000  0.865000  4.375000 1.825000 ;
+      RECT  4.205000  1.995000  4.375000 2.065000 ;
+      RECT  4.205000  2.065000  4.485000 2.440000 ;
+      RECT  4.545000  0.705000  5.125000 1.035000 ;
+      RECT  4.545000  1.035000  4.785000 1.905000 ;
+      RECT  4.685000  2.190000  5.755000 2.360000 ;
+      RECT  4.725000  0.365000  5.465000 0.535000 ;
+      RECT  4.975000  1.655000  5.415000 2.010000 ;
+      RECT  5.295000  0.535000  5.465000 1.315000 ;
+      RECT  5.295000  1.315000  6.095000 1.485000 ;
+      RECT  5.585000  1.485000  6.095000 1.575000 ;
+      RECT  5.585000  1.575000  5.755000 2.190000 ;
+      RECT  5.635000  0.765000  6.435000 1.065000 ;
+      RECT  5.635000  1.065000  5.805000 1.095000 ;
+      RECT  5.715000  0.085000  6.085000 0.585000 ;
+      RECT  5.925000  1.245000  6.095000 1.315000 ;
+      RECT  5.925000  1.835000  6.095000 2.635000 ;
+      RECT  6.265000  0.365000  6.725000 0.535000 ;
+      RECT  6.265000  0.535000  6.435000 0.765000 ;
+      RECT  6.265000  1.065000  6.435000 2.135000 ;
+      RECT  6.265000  2.135000  6.515000 2.465000 ;
+      RECT  6.605000  0.705000  7.155000 1.035000 ;
+      RECT  6.605000  1.245000  6.795000 1.965000 ;
+      RECT  6.740000  2.165000  7.625000 2.335000 ;
+      RECT  6.955000  0.365000  7.495000 0.535000 ;
+      RECT  6.965000  1.035000  7.155000 1.575000 ;
+      RECT  6.965000  1.575000  7.285000 1.905000 ;
+      RECT  7.325000  0.535000  7.495000 0.995000 ;
+      RECT  7.325000  0.995000  8.370000 1.325000 ;
+      RECT  7.325000  1.325000  7.625000 1.405000 ;
+      RECT  7.455000  1.405000  7.625000 2.165000 ;
+      RECT  7.740000  0.085000  8.110000 0.615000 ;
+      RECT  7.795000  1.575000  8.725000 1.905000 ;
+      RECT  7.805000  2.135000  8.110000 2.635000 ;
+      RECT  8.360000  0.300000  8.725000 0.825000 ;
+      RECT  8.395000  1.905000  8.725000 2.455000 ;
+      RECT  8.540000  0.825000  8.725000 0.995000 ;
+      RECT  8.540000  0.995000  9.275000 1.325000 ;
+      RECT  8.540000  1.325000  8.725000 1.575000 ;
+      RECT  8.895000  0.085000  9.085000 0.695000 ;
+      RECT  8.895000  1.625000  9.075000 2.635000 ;
+      RECT  9.755000  0.085000  9.985000 0.690000 ;
+      RECT  9.765000  1.615000  9.935000 2.635000 ;
+      RECT 10.205000  0.345000 10.455000 0.995000 ;
+      RECT 10.205000  0.995000 11.065000 1.325000 ;
+      RECT 10.205000  1.325000 10.535000 2.425000 ;
+      RECT 10.690000  0.085000 11.020000 0.805000 ;
+      RECT 10.715000  1.495000 11.020000 2.635000 ;
+      RECT 11.610000  0.085000 11.780000 0.955000 ;
+      RECT 11.610000  1.395000 11.780000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.645000  1.785000  0.815000 1.955000 ;
+      RECT  1.050000  0.765000  1.220000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  0.765000  4.915000 0.935000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  1.785000  5.375000 1.955000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  6.625000  1.785000  6.795000 1.955000 ;
+      RECT  6.640000  0.765000  6.810000 0.935000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.585000 1.755000 0.875000 1.800000 ;
+      RECT 0.585000 1.800000 6.855000 1.940000 ;
+      RECT 0.585000 1.940000 0.875000 1.985000 ;
+      RECT 0.990000 0.735000 1.280000 0.780000 ;
+      RECT 0.990000 0.780000 6.870000 0.920000 ;
+      RECT 0.990000 0.920000 1.280000 0.965000 ;
+      RECT 4.685000 0.735000 4.975000 0.780000 ;
+      RECT 4.685000 0.920000 4.975000 0.965000 ;
+      RECT 5.145000 1.755000 5.435000 1.800000 ;
+      RECT 5.145000 1.940000 5.435000 1.985000 ;
+      RECT 6.565000 1.755000 6.855000 1.800000 ;
+      RECT 6.565000 1.940000 6.855000 1.985000 ;
+      RECT 6.580000 0.735000 6.870000 0.780000 ;
+      RECT 6.580000 0.920000 6.870000 0.965000 ;
+  END
+END sky130_fd_sc_hd__sdfxbp_2
+MACRO sky130_fd_sc_hd__a31o_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.415000 2.175000 0.700000 ;
+        RECT 1.965000 0.700000 2.355000 0.870000 ;
+        RECT 2.185000 0.870000 2.355000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.530000 0.400000 1.700000 0.695000 ;
+        RECT 1.530000 0.695000 1.795000 0.865000 ;
+        RECT 1.625000 0.865000 1.795000 1.075000 ;
+        RECT 1.625000 1.075000 1.955000 1.245000 ;
+        RECT 1.625000 1.245000 1.795000 1.260000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 0.760000 1.270000 0.995000 ;
+        RECT 1.065000 0.995000 1.395000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.895000 0.755000 3.090000 1.325000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.715000 0.765000 0.885000 ;
+        RECT 0.090000 0.885000 0.345000 1.835000 ;
+        RECT 0.090000 1.835000 0.765000 2.005000 ;
+        RECT 0.595000 0.255000 0.765000 0.715000 ;
+        RECT 0.595000 2.005000 0.765000 2.465000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.545000 ;
+      RECT 0.135000  2.175000 0.385000 2.635000 ;
+      RECT 0.555000  1.075000 0.885000 1.245000 ;
+      RECT 0.555000  1.245000 0.725000 1.495000 ;
+      RECT 0.555000  1.495000 3.045000 1.665000 ;
+      RECT 0.935000  1.835000 1.185000 2.635000 ;
+      RECT 0.955000  0.085000 1.285000 0.465000 ;
+      RECT 1.015000  0.465000 1.185000 0.545000 ;
+      RECT 1.355000  1.835000 2.645000 2.005000 ;
+      RECT 1.355000  2.005000 1.605000 2.425000 ;
+      RECT 1.815000  2.175000 2.145000 2.635000 ;
+      RECT 2.335000  2.005000 2.585000 2.425000 ;
+      RECT 2.375000  0.335000 2.705000 0.505000 ;
+      RECT 2.460000  0.255000 2.705000 0.335000 ;
+      RECT 2.535000  0.505000 2.705000 1.495000 ;
+      RECT 2.875000  0.085000 3.135000 0.565000 ;
+      RECT 2.875000  1.665000 3.045000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_2
+MACRO sky130_fd_sc_hd__a31o_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.355000 1.075000 1.705000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.075000 1.055000 1.245000 ;
+        RECT 0.805000 0.735000 2.170000 0.905000 ;
+        RECT 0.805000 0.905000 0.975000 1.075000 ;
+        RECT 1.985000 0.905000 2.170000 1.075000 ;
+        RECT 1.985000 1.075000 2.315000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 1.075000 0.525000 1.445000 ;
+        RECT 0.150000 1.445000 2.855000 1.615000 ;
+        RECT 2.525000 1.075000 2.855000 1.445000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.575000 1.075000 4.030000 1.285000 ;
+        RECT 3.815000 0.745000 4.030000 1.075000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.505000 0.655000 6.295000 0.825000 ;
+        RECT 4.535000 1.785000 6.295000 1.955000 ;
+        RECT 4.595000 1.955000 4.765000 2.465000 ;
+        RECT 5.435000 1.955000 5.605000 2.465000 ;
+        RECT 6.125000 0.825000 6.295000 1.785000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.175000  1.785000 2.985000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.395000 2.520000 0.565000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.195000  2.125000 2.525000 2.635000 ;
+      RECT 2.350000  0.565000 2.520000 0.700000 ;
+      RECT 2.350000  0.700000 3.485000 0.805000 ;
+      RECT 2.350000  0.805000 3.345000 0.870000 ;
+      RECT 2.700000  0.085000 2.985000 0.530000 ;
+      RECT 2.815000  1.955000 2.985000 2.295000 ;
+      RECT 2.815000  2.295000 3.825000 2.465000 ;
+      RECT 3.155000  0.295000 3.485000 0.700000 ;
+      RECT 3.155000  0.870000 3.345000 1.455000 ;
+      RECT 3.155000  1.455000 4.395000 1.625000 ;
+      RECT 3.155000  1.625000 3.485000 2.115000 ;
+      RECT 3.655000  1.795000 3.825000 2.295000 ;
+      RECT 3.735000  0.085000 4.265000 0.565000 ;
+      RECT 4.095000  2.125000 4.425000 2.635000 ;
+      RECT 4.225000  0.995000 5.935000 1.325000 ;
+      RECT 4.225000  1.325000 4.395000 1.455000 ;
+      RECT 4.935000  0.085000 5.265000 0.485000 ;
+      RECT 4.935000  2.125000 5.265000 2.635000 ;
+      RECT 5.775000  0.085000 6.105000 0.485000 ;
+      RECT 5.775000  2.125000 6.105000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_4
+MACRO sky130_fd_sc_hd__a31o_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.895000 0.995000 2.160000 1.655000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 0.995000 1.700000 1.655000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.995000 1.240000 1.325000 ;
+        RECT 1.025000 1.325000 1.240000 1.655000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.375000 0.995000 2.620000 1.655000 ;
+    END
+  END B1
+  PIN X
+    ANTENNADIFFAREA  0.437250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.300000 0.425000 0.810000 ;
+        RECT 0.095000 0.810000 0.285000 1.575000 ;
+        RECT 0.095000 1.575000 0.425000 2.425000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.455000  0.995000 0.765000 1.325000 ;
+      RECT 0.595000  0.085000 0.925000 0.485000 ;
+      RECT 0.595000  0.655000 2.960000 0.825000 ;
+      RECT 0.595000  0.825000 0.765000 0.995000 ;
+      RECT 0.595000  1.495000 0.845000 2.635000 ;
+      RECT 1.035000  1.825000 2.325000 1.995000 ;
+      RECT 1.035000  1.995000 1.285000 2.415000 ;
+      RECT 1.515000  2.165000 1.845000 2.635000 ;
+      RECT 1.975000  0.315000 2.305000 0.655000 ;
+      RECT 2.075000  1.995000 2.325000 2.415000 ;
+      RECT 2.475000  0.085000 2.805000 0.485000 ;
+      RECT 2.505000  1.825000 2.960000 1.995000 ;
+      RECT 2.505000  1.995000 2.835000 2.425000 ;
+      RECT 2.790000  0.825000 2.960000 1.825000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31o_1
+MACRO sky130_fd_sc_hd__o21bai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 1.075000 2.675000 1.285000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 1.075000 2.025000 1.285000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.535000 1.345000 ;
+        RECT 0.085000 1.345000 0.355000 2.445000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.474000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.115000 0.255000 1.285000 0.645000 ;
+        RECT 1.115000 0.645000 1.355000 0.825000 ;
+        RECT 1.185000 0.825000 1.355000 1.455000 ;
+        RECT 1.185000 1.455000 1.795000 1.625000 ;
+        RECT 1.470000 1.625000 1.795000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.085000 0.360000 0.825000 ;
+      RECT 0.525000  1.535000 1.015000 1.705000 ;
+      RECT 0.525000  1.705000 0.800000 2.210000 ;
+      RECT 0.580000  0.495000 0.770000 0.655000 ;
+      RECT 0.580000  0.655000 0.890000 0.825000 ;
+      RECT 0.720000  0.825000 0.890000 0.995000 ;
+      RECT 0.720000  0.995000 1.015000 1.535000 ;
+      RECT 0.970000  1.875000 1.300000 2.635000 ;
+      RECT 1.490000  0.255000 1.820000 0.485000 ;
+      RECT 1.570000  0.485000 1.740000 0.735000 ;
+      RECT 1.570000  0.735000 2.665000 0.905000 ;
+      RECT 1.995000  0.085000 2.165000 0.555000 ;
+      RECT 2.270000  1.535000 2.645000 2.635000 ;
+      RECT 2.335000  0.270000 2.665000 0.735000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_1
+MACRO sky130_fd_sc_hd__o21bai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.645000 1.075000 6.810000 1.285000 ;
+        RECT 6.585000 1.285000 6.810000 2.455000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.065000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.555000 1.285000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  1.431000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.065000 1.455000 4.315000 1.625000 ;
+        RECT 1.065000 1.625000 1.275000 2.465000 ;
+        RECT 1.420000 0.645000 2.675000 0.815000 ;
+        RECT 1.865000 1.625000 2.115000 2.465000 ;
+        RECT 2.445000 0.815000 2.675000 1.075000 ;
+        RECT 2.445000 1.075000 2.895000 1.445000 ;
+        RECT 2.445000 1.445000 4.315000 1.455000 ;
+        RECT 3.225000 1.625000 3.475000 2.125000 ;
+        RECT 4.065000 1.625000 4.315000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.145000  1.455000 0.895000 1.625000 ;
+      RECT 0.145000  1.625000 0.475000 2.435000 ;
+      RECT 0.225000  0.085000 0.395000 0.895000 ;
+      RECT 0.565000  0.290000 0.895000 0.895000 ;
+      RECT 0.645000  1.795000 0.855000 2.635000 ;
+      RECT 0.725000  0.895000 0.895000 1.075000 ;
+      RECT 0.725000  1.075000 2.275000 1.285000 ;
+      RECT 0.725000  1.285000 0.895000 1.455000 ;
+      RECT 1.080000  0.305000 3.095000 0.475000 ;
+      RECT 1.445000  1.795000 1.695000 2.635000 ;
+      RECT 2.285000  1.795000 2.535000 2.635000 ;
+      RECT 2.775000  1.795000 3.055000 2.295000 ;
+      RECT 2.775000  2.295000 4.735000 2.465000 ;
+      RECT 2.845000  0.475000 3.095000 0.725000 ;
+      RECT 2.845000  0.725000 6.455000 0.905000 ;
+      RECT 3.265000  0.085000 3.435000 0.555000 ;
+      RECT 3.605000  0.255000 3.935000 0.725000 ;
+      RECT 3.645000  1.795000 3.895000 2.295000 ;
+      RECT 4.105000  0.085000 4.275000 0.555000 ;
+      RECT 4.445000  0.255000 4.775000 0.725000 ;
+      RECT 4.485000  1.455000 6.415000 1.625000 ;
+      RECT 4.485000  1.625000 4.735000 2.295000 ;
+      RECT 4.905000  1.795000 5.155000 2.635000 ;
+      RECT 4.945000  0.085000 5.115000 0.555000 ;
+      RECT 5.285000  0.255000 5.615000 0.725000 ;
+      RECT 5.325000  1.625000 5.575000 2.465000 ;
+      RECT 5.745000  1.795000 5.995000 2.635000 ;
+      RECT 5.785000  0.085000 5.955000 0.555000 ;
+      RECT 6.125000  0.255000 6.455000 0.725000 ;
+      RECT 6.165000  1.625000 6.415000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_4
+MACRO sky130_fd_sc_hd__o21bai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.055000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.090000 1.275000 ;
+    END
+  END A2
+  PIN B1_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.525000 1.325000 ;
+    END
+  END B1_N
+  PIN Y
+    ANTENNADIFFAREA  0.715500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.085000 1.445000 2.650000 1.615000 ;
+        RECT 1.085000 1.615000 1.255000 2.465000 ;
+        RECT 1.525000 0.645000 1.855000 0.905000 ;
+        RECT 1.525000 0.905000 1.780000 1.445000 ;
+        RECT 2.405000 1.615000 2.650000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.180000  0.085000 0.350000 0.825000 ;
+      RECT 0.180000  1.495000 0.865000 1.665000 ;
+      RECT 0.180000  1.665000 0.350000 1.915000 ;
+      RECT 0.585000  1.875000 0.915000 2.635000 ;
+      RECT 0.600000  0.445000 0.865000 0.825000 ;
+      RECT 0.695000  0.825000 0.865000 1.075000 ;
+      RECT 0.695000  1.075000 1.335000 1.245000 ;
+      RECT 0.695000  1.245000 0.865000 1.495000 ;
+      RECT 1.075000  0.255000 2.275000 0.475000 ;
+      RECT 1.075000  0.475000 1.355000 0.905000 ;
+      RECT 1.470000  1.795000 1.720000 2.635000 ;
+      RECT 1.955000  1.795000 2.235000 2.295000 ;
+      RECT 1.955000  2.295000 3.035000 2.465000 ;
+      RECT 2.025000  0.475000 2.275000 0.725000 ;
+      RECT 2.025000  0.725000 3.980000 0.905000 ;
+      RECT 2.445000  0.085000 2.615000 0.555000 ;
+      RECT 2.785000  0.255000 3.115000 0.725000 ;
+      RECT 2.865000  1.455000 3.980000 1.665000 ;
+      RECT 2.865000  1.665000 3.035000 2.295000 ;
+      RECT 3.205000  1.835000 3.535000 2.635000 ;
+      RECT 3.285000  0.085000 3.455000 0.555000 ;
+      RECT 3.625000  0.265000 3.980000 0.725000 ;
+      RECT 3.705000  1.665000 3.980000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o21bai_2
+MACRO sky130_fd_sc_hd__nand3b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.775000 1.325000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.425000 0.995000 1.755000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.965000 0.995000 1.235000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.732000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.130000 1.495000 2.675000 1.665000 ;
+        RECT 1.130000 1.665000 1.460000 2.465000 ;
+        RECT 2.085000 0.255000 2.675000 0.485000 ;
+        RECT 2.085000 1.665000 2.675000 2.465000 ;
+        RECT 2.385000 0.485000 2.675000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.445000 0.510000 0.655000 ;
+      RECT 0.085000  0.655000 2.215000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.595000 ;
+      RECT 0.085000  1.595000 0.510000 1.925000 ;
+      RECT 0.710000  0.085000 1.040000 0.485000 ;
+      RECT 0.710000  1.495000 0.960000 2.635000 ;
+      RECT 1.630000  1.835000 1.915000 2.635000 ;
+      RECT 2.045000  0.825000 2.215000 1.325000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_1
+MACRO sky130_fd_sc_hd__nand3b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.270000 1.075000 4.480000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.790000 1.075000 6.500000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.455000 0.635000 2.965000 0.905000 ;
+        RECT 1.455000 1.445000 6.505000 1.665000 ;
+        RECT 1.455000 1.665000 1.785000 2.465000 ;
+        RECT 2.295000 1.665000 3.465000 2.005000 ;
+        RECT 2.295000 2.005000 2.625000 2.465000 ;
+        RECT 2.795000 0.905000 2.965000 1.075000 ;
+        RECT 2.795000 1.075000 3.100000 1.445000 ;
+        RECT 3.135000 2.005000 3.465000 2.465000 ;
+        RECT 3.975000 1.665000 4.305000 2.465000 ;
+        RECT 5.335000 1.665000 5.665000 2.465000 ;
+        RECT 6.175000 1.665000 6.505000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.425000 0.715000 ;
+      RECT 0.085000  0.715000 1.285000 0.905000 ;
+      RECT 0.085000  0.905000 0.260000 1.445000 ;
+      RECT 0.085000  1.445000 0.425000 2.465000 ;
+      RECT 0.595000  0.085000 0.845000 0.545000 ;
+      RECT 0.595000  1.445000 1.285000 2.635000 ;
+      RECT 1.005000  0.905000 1.285000 1.075000 ;
+      RECT 1.005000  1.075000 2.625000 1.275000 ;
+      RECT 1.035000  0.255000 4.725000 0.465000 ;
+      RECT 1.955000  1.835000 2.125000 2.635000 ;
+      RECT 2.795000  2.175000 2.965000 2.635000 ;
+      RECT 3.135000  0.635000 4.725000 0.715000 ;
+      RECT 3.135000  0.715000 6.505000 0.905000 ;
+      RECT 3.635000  1.835000 3.805000 2.635000 ;
+      RECT 4.475000  1.835000 5.165000 2.635000 ;
+      RECT 4.915000  0.085000 5.165000 0.545000 ;
+      RECT 5.335000  0.255000 5.665000 0.715000 ;
+      RECT 5.835000  0.085000 6.005000 0.545000 ;
+      RECT 5.835000  1.835000 6.005000 2.635000 ;
+      RECT 6.175000  0.255000 6.505000 0.715000 ;
+      RECT 6.675000  0.085000 7.005000 0.905000 ;
+      RECT 6.675000  1.445000 7.005000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_4
+MACRO sky130_fd_sc_hd__nand3b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.430000 1.075000 0.780000 1.275000 ;
+    END
+  END A_N
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.075000 3.140000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.075000 1.740000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.060000 1.785000 4.050000 1.955000 ;
+        RECT 1.060000 1.955000 2.230000 2.005000 ;
+        RECT 1.060000 2.005000 1.390000 2.465000 ;
+        RECT 1.900000 2.005000 2.230000 2.465000 ;
+        RECT 3.260000 0.635000 4.050000 0.905000 ;
+        RECT 3.260000 1.955000 4.050000 2.005000 ;
+        RECT 3.260000 2.005000 3.510000 2.465000 ;
+        RECT 3.850000 0.905000 4.050000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.330000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.140000 0.085000 ;
+      RECT 0.000000  2.635000 4.140000 2.805000 ;
+      RECT 0.090000  0.255000 0.410000 0.655000 ;
+      RECT 0.090000  0.655000 0.260000 1.445000 ;
+      RECT 0.090000  1.445000 3.650000 1.615000 ;
+      RECT 0.090000  1.615000 0.260000 2.065000 ;
+      RECT 0.090000  2.065000 0.410000 2.465000 ;
+      RECT 0.580000  0.085000 0.890000 0.905000 ;
+      RECT 0.580000  1.835000 0.890000 2.635000 ;
+      RECT 1.060000  0.255000 1.390000 0.715000 ;
+      RECT 1.060000  0.715000 2.750000 0.905000 ;
+      RECT 1.560000  0.085000 1.810000 0.545000 ;
+      RECT 1.560000  2.175000 1.730000 2.635000 ;
+      RECT 2.000000  0.255000 4.050000 0.465000 ;
+      RECT 2.000000  0.635000 2.750000 0.715000 ;
+      RECT 2.400000  2.175000 2.650000 2.635000 ;
+      RECT 2.840000  2.175000 3.090000 2.635000 ;
+      RECT 2.920000  0.465000 3.090000 0.905000 ;
+      RECT 3.320000  1.075000 3.650000 1.445000 ;
+      RECT 3.760000  2.175000 4.050000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3b_2
+MACRO sky130_fd_sc_hd__a41oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.385000 0.995000 4.205000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.405000 1.075000 6.315000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.560000 1.075000 7.955000 1.300000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 8.285000 1.075000 9.975000 1.280000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 1.075000 1.745000 1.305000 ;
+        RECT 0.105000 1.305000 0.325000 1.965000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.575000 2.155000 1.685000 ;
+        RECT 0.515000 1.685000 1.685000 1.745000 ;
+        RECT 0.515000 1.745000 0.845000 2.085000 ;
+        RECT 0.595000 0.255000 0.765000 0.635000 ;
+        RECT 0.595000 0.635000 4.015000 0.805000 ;
+        RECT 1.350000 1.495000 2.155000 1.575000 ;
+        RECT 1.350000 1.745000 1.685000 2.085000 ;
+        RECT 1.435000 0.255000 1.605000 0.635000 ;
+        RECT 1.935000 0.805000 2.155000 1.495000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.090000  0.085000  0.425000 0.465000 ;
+      RECT 0.090000  2.255000  2.335000 2.425000 ;
+      RECT 0.935000  0.085000  1.265000 0.465000 ;
+      RECT 1.775000  0.085000  2.105000 0.465000 ;
+      RECT 2.165000  1.905000  3.515000 2.075000 ;
+      RECT 2.165000  2.075000  2.335000 2.255000 ;
+      RECT 2.165000  2.425000  2.335000 2.465000 ;
+      RECT 2.425000  0.295000  6.115000 0.465000 ;
+      RECT 2.505000  2.255000  3.175000 2.635000 ;
+      RECT 3.345000  1.575000  9.945000 1.745000 ;
+      RECT 3.345000  1.745000  3.515000 1.905000 ;
+      RECT 3.345000  2.075000  3.515000 2.465000 ;
+      RECT 3.685000  1.915000  4.015000 2.635000 ;
+      RECT 4.185000  1.745000  4.355000 2.425000 ;
+      RECT 4.525000  0.635000  7.895000 0.805000 ;
+      RECT 4.620000  1.915000  4.950000 2.635000 ;
+      RECT 5.120000  1.745000  5.290000 2.465000 ;
+      RECT 5.495000  1.915000  6.165000 2.635000 ;
+      RECT 6.305000  0.295000  8.235000 0.465000 ;
+      RECT 6.385000  1.745000  6.555000 2.465000 ;
+      RECT 6.725000  1.915000  7.055000 2.635000 ;
+      RECT 7.225000  1.745000  7.395000 2.465000 ;
+      RECT 7.565000  1.915000  7.895000 2.635000 ;
+      RECT 8.065000  0.255000  8.235000 0.295000 ;
+      RECT 8.065000  0.465000  8.235000 0.635000 ;
+      RECT 8.065000  0.635000  9.915000 0.805000 ;
+      RECT 8.065000  1.745000  8.235000 2.465000 ;
+      RECT 8.405000  0.085000  8.735000 0.465000 ;
+      RECT 8.405000  1.915000  8.735000 2.635000 ;
+      RECT 8.905000  0.255000  9.075000 0.635000 ;
+      RECT 8.905000  1.745000  9.075000 2.465000 ;
+      RECT 9.245000  0.085000  9.575000 0.465000 ;
+      RECT 9.245000  1.915000  9.575000 2.635000 ;
+      RECT 9.745000  0.255000  9.915000 0.635000 ;
+      RECT 9.775000  1.745000  9.945000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_4
+MACRO sky130_fd_sc_hd__a41oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.085000 1.615000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 0.755000 2.210000 1.665000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.470000 0.755000 1.710000 1.665000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.960000 0.965000 1.250000 1.665000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.540000 0.965000 0.780000 1.665000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.669500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.285000 0.345000 0.615000 ;
+        RECT 0.090000 0.615000 1.290000 0.785000 ;
+        RECT 0.090000 0.785000 0.360000 1.845000 ;
+        RECT 0.090000 1.845000 0.425000 2.425000 ;
+        RECT 1.120000 0.295000 3.015000 0.465000 ;
+        RECT 1.120000 0.465000 1.290000 0.615000 ;
+        RECT 2.685000 0.465000 3.015000 0.805000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.595000  1.845000 3.015000 2.015000 ;
+      RECT 0.595000  2.015000 0.845000 2.465000 ;
+      RECT 0.620000  0.085000 0.950000 0.445000 ;
+      RECT 1.120000  2.195000 1.450000 2.635000 ;
+      RECT 1.760000  2.015000 1.930000 2.465000 ;
+      RECT 2.215000  2.195000 2.545000 2.635000 ;
+      RECT 2.765000  2.015000 3.015000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_1
+MACRO sky130_fd_sc_hd__a41oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.785000 1.075000 2.455000 1.295000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.665000 1.075000 3.365000 1.285000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.545000 1.075000 4.575000 1.295000 ;
+    END
+  END A3
+  PIN A4
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.755000 1.075000 5.895000 1.295000 ;
+    END
+  END A4
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.545000 1.075000 1.555000 1.280000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.645000 2.295000 0.815000 ;
+        RECT 0.145000 0.815000 0.315000 1.455000 ;
+        RECT 0.145000 1.455000 1.455000 1.625000 ;
+        RECT 0.685000 0.255000 0.855000 0.645000 ;
+        RECT 1.125000 1.625000 1.455000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.185000  0.085000 0.515000 0.465000 ;
+      RECT 0.785000  1.795000 0.955000 2.295000 ;
+      RECT 0.785000  2.295000 1.795000 2.465000 ;
+      RECT 1.025000  0.085000 1.375000 0.465000 ;
+      RECT 1.545000  0.295000 2.635000 0.465000 ;
+      RECT 1.625000  1.535000 5.760000 1.705000 ;
+      RECT 1.625000  1.705000 1.795000 2.295000 ;
+      RECT 1.965000  1.915000 2.295000 2.635000 ;
+      RECT 2.465000  0.465000 2.635000 0.645000 ;
+      RECT 2.465000  0.645000 3.555000 0.815000 ;
+      RECT 2.465000  1.705000 2.635000 2.465000 ;
+      RECT 2.805000  0.295000 4.495000 0.465000 ;
+      RECT 2.805000  1.915000 3.135000 2.635000 ;
+      RECT 3.325000  1.705000 3.495000 2.465000 ;
+      RECT 3.745000  0.645000 5.675000 0.815000 ;
+      RECT 3.755000  1.915000 4.425000 2.635000 ;
+      RECT 4.665000  1.705000 4.835000 2.465000 ;
+      RECT 5.005000  0.085000 5.335000 0.465000 ;
+      RECT 5.005000  1.915000 5.335000 2.635000 ;
+      RECT 5.505000  0.255000 5.675000 0.645000 ;
+      RECT 5.505000  1.705000 5.675000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a41oi_2
+MACRO sky130_fd_sc_hd__diode_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__diode_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  0.920000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN DIODE
+    ANTENNADIFFAREA  0.434700 ;
+    ANTENNAGATEAREA  0.434700 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.835000 2.465000 ;
+    END
+  END DIODE
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 0.920000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.110000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 0.920000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 0.920000 0.085000 ;
+      RECT 0.000000  2.635000 0.920000 2.805000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+  END
+END sky130_fd_sc_hd__diode_2
+MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  14.26000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.615000 1.320000 ;
+    END
+  END A
+  PIN SLEEP
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.260000 1.075000 4.700000 1.275000 ;
+    END
+  END SLEEP
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT  7.340000 0.280000  7.600000 0.735000 ;
+        RECT  7.340000 0.735000 14.085000 0.905000 ;
+        RECT  7.375000 1.495000 14.085000 1.720000 ;
+        RECT  7.375000 1.720000 12.745000 1.735000 ;
+        RECT  7.375000 1.735000  7.600000 2.460000 ;
+        RECT  8.200000 0.280000  8.460000 0.735000 ;
+        RECT  8.200000 1.735000  8.460000 2.460000 ;
+        RECT  9.060000 0.280000  9.320000 0.735000 ;
+        RECT  9.060000 1.735000  9.320000 2.460000 ;
+        RECT  9.905000 0.280000 10.180000 0.735000 ;
+        RECT  9.920000 1.735000 10.180000 2.460000 ;
+        RECT 10.765000 0.280000 11.025000 0.735000 ;
+        RECT 10.765000 1.735000 11.025000 2.460000 ;
+        RECT 11.625000 0.280000 11.885000 0.735000 ;
+        RECT 11.625000 1.735000 11.885000 2.460000 ;
+        RECT 12.485000 0.280000 12.745000 0.735000 ;
+        RECT 12.485000 1.735000 12.745000 2.460000 ;
+        RECT 12.920000 0.905000 14.085000 1.495000 ;
+        RECT 13.355000 0.280000 13.615000 0.735000 ;
+        RECT 13.355000 1.720000 13.645000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 10.350000 1.905000 10.595000 2.465000 ;
+      LAYER mcon ;
+        RECT 10.395000 2.125000 10.565000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 11.210000 1.905000 11.455000 2.465000 ;
+      LAYER mcon ;
+        RECT 11.255000 2.125000 11.425000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.070000 1.905000 12.315000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.110000 2.125000 12.280000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 12.930000 1.905000 13.185000 2.465000 ;
+      LAYER mcon ;
+        RECT 12.960000 2.125000 13.130000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 13.815000 1.890000 14.085000 2.465000 ;
+      LAYER mcon ;
+        RECT 13.840000 2.125000 14.010000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.155000 1.495000 5.485000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.235000 2.125000 5.405000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.015000 1.495000 6.345000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.095000 2.125000 6.265000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.875000 1.495000 7.205000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.950000 2.125000 7.120000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.770000 1.905000 8.030000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.800000 2.125000 7.970000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.630000 1.905000 8.890000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.680000 2.125000 8.850000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 9.490000 1.905000 9.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 9.540000 2.125000 9.710000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT  0.070000 2.140000 14.190000 2.340000 ;
+        RECT  5.175000 2.080000  5.465000 2.140000 ;
+        RECT  6.035000 2.080000  6.325000 2.140000 ;
+        RECT  6.890000 2.080000  7.180000 2.140000 ;
+        RECT  7.740000 2.080000  8.030000 2.140000 ;
+        RECT  8.620000 2.080000  8.910000 2.140000 ;
+        RECT  9.480000 2.080000  9.770000 2.140000 ;
+        RECT 10.335000 2.080000 10.625000 2.140000 ;
+        RECT 11.195000 2.080000 11.485000 2.140000 ;
+        RECT 12.050000 2.080000 12.340000 2.140000 ;
+        RECT 12.900000 2.080000 13.190000 2.140000 ;
+        RECT 13.780000 2.080000 14.070000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 14.260000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+    PORT
+      LAYER pwell ;
+        RECT 5.205000 -0.085000 5.375000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 14.450000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 14.260000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 14.260000 0.085000 ;
+      RECT  0.000000  2.635000 14.260000 2.805000 ;
+      RECT  0.130000  1.495000  0.535000 2.635000 ;
+      RECT  0.245000  0.085000  0.535000 0.905000 ;
+      RECT  0.705000  0.255000  1.035000 0.815000 ;
+      RECT  0.705000  1.575000  1.035000 2.465000 ;
+      RECT  0.785000  0.815000  1.035000 1.075000 ;
+      RECT  0.785000  1.075000  2.265000 1.275000 ;
+      RECT  0.785000  1.275000  1.035000 1.575000 ;
+      RECT  1.205000  1.575000  1.585000 2.295000 ;
+      RECT  1.205000  2.295000  3.265000 2.465000 ;
+      RECT  1.215000  0.085000  1.505000 0.905000 ;
+      RECT  1.675000  0.255000  2.005000 0.725000 ;
+      RECT  1.675000  0.725000  4.525000 0.905000 ;
+      RECT  1.755000  1.445000  2.765000 1.745000 ;
+      RECT  1.755000  1.745000  1.925000 2.125000 ;
+      RECT  2.095000  1.935000  2.425000 2.295000 ;
+      RECT  2.175000  0.085000  2.345000 0.555000 ;
+      RECT  2.435000  0.905000  3.095000 0.965000 ;
+      RECT  2.435000  0.965000  2.765000 1.445000 ;
+      RECT  2.515000  0.255000  2.845000 0.725000 ;
+      RECT  2.595000  1.745000  2.765000 2.125000 ;
+      RECT  2.935000  1.455000  4.975000 1.665000 ;
+      RECT  2.935000  1.665000  3.265000 2.295000 ;
+      RECT  3.015000  0.085000  3.185000 0.555000 ;
+      RECT  3.355000  0.255000  3.685000 0.725000 ;
+      RECT  3.435000  1.835000  3.685000 2.635000 ;
+      RECT  3.855000  0.085000  4.025000 0.555000 ;
+      RECT  3.855000  1.665000  4.025000 2.465000 ;
+      RECT  4.195000  0.255000  4.525000 0.725000 ;
+      RECT  4.195000  1.835000  4.525000 2.635000 ;
+      RECT  4.695000  0.085000  5.450000 0.565000 ;
+      RECT  4.695000  0.565000  4.975000 0.905000 ;
+      RECT  4.695000  1.665000  4.975000 2.465000 ;
+      RECT  5.145000  0.735000  5.460000 1.325000 ;
+      RECT  5.655000  0.265000  5.880000 1.075000 ;
+      RECT  5.655000  1.075000 12.750000 1.325000 ;
+      RECT  5.655000  1.325000  5.845000 2.465000 ;
+      RECT  6.050000  0.085000  6.310000 0.610000 ;
+      RECT  6.490000  0.265000  6.740000 1.075000 ;
+      RECT  6.515000  1.325000  6.705000 2.460000 ;
+      RECT  6.910000  0.085000  7.170000 0.645000 ;
+      RECT  7.770000  0.085000  8.030000 0.565000 ;
+      RECT  8.630000  0.085000  8.890000 0.565000 ;
+      RECT  9.490000  0.085000  9.735000 0.565000 ;
+      RECT 10.350000  0.085000 10.595000 0.565000 ;
+      RECT 11.205000  0.085000 11.455000 0.565000 ;
+      RECT 12.065000  0.085000 12.315000 0.565000 ;
+      RECT 12.925000  0.085000 13.185000 0.565000 ;
+      RECT 13.785000  0.085000 14.085000 0.565000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.525000  0.765000  2.695000 0.935000 ;
+      RECT  2.885000  0.765000  3.055000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  0.765000  5.380000 0.935000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+      RECT 13.025000 -0.085000 13.195000 0.085000 ;
+      RECT 13.025000  2.635000 13.195000 2.805000 ;
+      RECT 13.485000 -0.085000 13.655000 0.085000 ;
+      RECT 13.485000  2.635000 13.655000 2.805000 ;
+      RECT 13.945000 -0.085000 14.115000 0.085000 ;
+      RECT 13.945000  2.635000 14.115000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.465000 0.735000 3.115000 0.780000 ;
+      RECT 2.465000 0.780000 5.440000 0.920000 ;
+      RECT 2.465000 0.920000 3.115000 0.965000 ;
+      RECT 5.150000 0.735000 5.440000 0.780000 ;
+      RECT 5.150000 0.920000 5.440000 0.965000 ;
+  END
+END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
+MACRO sky130_fd_sc_hd__dlymetal6s4s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.660000 0.255000 3.105000 0.825000 ;
+        RECT 2.660000 1.495000 3.565000 1.675000 ;
+        RECT 2.660000 1.675000 3.105000 2.465000 ;
+        RECT 2.735000 0.825000 3.105000 0.995000 ;
+        RECT 2.735000 0.995000 3.565000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.245000  0.255000 1.515000 0.825000 ;
+      RECT 1.245000  1.495000 1.970000 1.675000 ;
+      RECT 1.245000  1.675000 1.515000 2.465000 ;
+      RECT 1.320000  0.825000 1.515000 0.995000 ;
+      RECT 1.320000  0.995000 1.970000 1.495000 ;
+      RECT 1.685000  0.255000 1.935000 0.655000 ;
+      RECT 1.685000  0.655000 2.490000 0.825000 ;
+      RECT 1.685000  1.845000 2.490000 2.040000 ;
+      RECT 1.685000  2.040000 1.935000 2.465000 ;
+      RECT 2.105000  0.085000 2.490000 0.485000 ;
+      RECT 2.105000  2.210000 2.490000 2.635000 ;
+      RECT 2.140000  0.825000 2.490000 0.995000 ;
+      RECT 2.140000  0.995000 2.565000 1.325000 ;
+      RECT 2.140000  1.325000 2.490000 1.845000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s4s_1
+MACRO sky130_fd_sc_hd__dlygate4sd1_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.555000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.410000 0.255000 2.700000 0.825000 ;
+        RECT 2.440000 1.495000 2.700000 2.465000 ;
+        RECT 2.530000 0.825000 2.700000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.140000 -0.085000 0.310000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  1.785000 0.895000 2.005000 ;
+      RECT 0.085000  2.005000 0.380000 2.465000 ;
+      RECT 0.095000  0.255000 0.380000 0.715000 ;
+      RECT 0.095000  0.715000 0.895000 0.885000 ;
+      RECT 0.550000  0.085000 0.765000 0.545000 ;
+      RECT 0.550000  2.175000 0.765000 2.635000 ;
+      RECT 0.725000  0.885000 0.895000 0.995000 ;
+      RECT 0.725000  0.995000 0.980000 1.325000 ;
+      RECT 0.725000  1.325000 0.895000 1.785000 ;
+      RECT 0.935000  0.255000 1.320000 0.545000 ;
+      RECT 0.935000  2.175000 1.320000 2.465000 ;
+      RECT 1.150000  0.545000 1.320000 1.075000 ;
+      RECT 1.150000  1.075000 1.900000 1.275000 ;
+      RECT 1.150000  1.275000 1.320000 2.175000 ;
+      RECT 1.515000  0.255000 1.740000 0.735000 ;
+      RECT 1.515000  0.735000 2.240000 0.905000 ;
+      RECT 1.515000  1.575000 2.240000 1.745000 ;
+      RECT 1.515000  1.745000 1.740000 2.430000 ;
+      RECT 1.910000  0.085000 2.240000 0.565000 ;
+      RECT 1.910000  1.915000 2.270000 2.635000 ;
+      RECT 2.070000  0.905000 2.240000 0.995000 ;
+      RECT 2.070000  0.995000 2.360000 1.325000 ;
+      RECT 2.070000  1.325000 2.240000 1.575000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd1_1
+MACRO sky130_fd_sc_hd__a2bb2oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.310000 1.075000 4.205000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.455000 1.075000 5.435000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.710000 1.445000 ;
+        RECT 0.085000 1.445000 2.030000 1.615000 ;
+        RECT 1.700000 1.075000 2.030000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.940000 1.075000 1.480000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.621000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 0.645000 1.400000 0.725000 ;
+        RECT 1.070000 0.725000 2.660000 0.905000 ;
+        RECT 2.330000 0.255000 2.660000 0.725000 ;
+        RECT 2.370000 0.905000 2.660000 1.660000 ;
+        RECT 2.370000 1.660000 2.620000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.270000  1.785000 2.200000 1.955000 ;
+      RECT 0.270000  1.955000 0.520000 2.465000 ;
+      RECT 0.310000  0.085000 0.480000 0.895000 ;
+      RECT 0.650000  0.255000 1.820000 0.475000 ;
+      RECT 0.650000  0.475000 0.900000 0.895000 ;
+      RECT 0.690000  2.135000 0.940000 2.635000 ;
+      RECT 1.110000  1.955000 1.360000 2.465000 ;
+      RECT 1.530000  2.135000 1.780000 2.635000 ;
+      RECT 1.950000  1.955000 2.200000 2.295000 ;
+      RECT 1.950000  2.295000 3.040000 2.465000 ;
+      RECT 1.990000  0.085000 2.160000 0.555000 ;
+      RECT 2.790000  1.795000 3.040000 2.295000 ;
+      RECT 2.830000  0.085000 3.520000 0.555000 ;
+      RECT 2.830000  0.995000 3.120000 1.325000 ;
+      RECT 2.950000  0.725000 4.860000 0.905000 ;
+      RECT 2.950000  0.905000 3.120000 0.995000 ;
+      RECT 2.950000  1.325000 3.120000 1.445000 ;
+      RECT 2.950000  1.445000 4.820000 1.615000 ;
+      RECT 3.310000  1.785000 4.400000 1.965000 ;
+      RECT 3.310000  1.965000 3.560000 2.465000 ;
+      RECT 3.690000  0.255000 4.020000 0.725000 ;
+      RECT 3.730000  2.135000 3.980000 2.635000 ;
+      RECT 4.150000  1.965000 4.400000 2.295000 ;
+      RECT 4.150000  2.295000 5.240000 2.465000 ;
+      RECT 4.190000  0.085000 4.360000 0.555000 ;
+      RECT 4.530000  0.255000 4.860000 0.725000 ;
+      RECT 4.570000  1.615000 4.820000 2.125000 ;
+      RECT 4.990000  1.455000 5.240000 2.295000 ;
+      RECT 5.030000  0.085000 5.200000 0.905000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_2
+MACRO sky130_fd_sc_hd__a2bb2oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.150000 0.995000 0.520000 1.615000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.725000 1.010000 1.240000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.780000 0.995000 3.070000 1.615000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.245000 0.995000 2.610000 1.615000 ;
+        RECT 2.440000 0.425000 2.610000 0.995000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  0.515500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 1.785000 1.945000 1.955000 ;
+        RECT 1.420000 1.955000 1.785000 2.465000 ;
+        RECT 1.775000 0.255000 2.205000 0.825000 ;
+        RECT 1.775000 0.825000 1.945000 1.785000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.095000  0.085000 0.425000 0.825000 ;
+      RECT 0.095000  1.805000 0.425000 2.635000 ;
+      RECT 0.595000  0.255000 0.765000 0.660000 ;
+      RECT 0.595000  0.660000 1.580000 0.830000 ;
+      RECT 0.875000  1.445000 1.580000 1.615000 ;
+      RECT 0.875000  1.615000 1.205000 2.465000 ;
+      RECT 0.935000  0.085000 1.605000 0.490000 ;
+      RECT 1.410000  0.830000 1.580000 1.445000 ;
+      RECT 1.955000  2.235000 2.285000 2.465000 ;
+      RECT 2.115000  1.785000 3.130000 1.955000 ;
+      RECT 2.115000  1.955000 2.285000 2.235000 ;
+      RECT 2.455000  2.135000 2.705000 2.635000 ;
+      RECT 2.795000  0.085000 3.125000 0.825000 ;
+      RECT 2.875000  1.955000 3.130000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_1
+MACRO sky130_fd_sc_hd__a2bb2oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.945000 1.075000 7.320000 1.275000 ;
+    END
+  END A1_N
+  PIN A2_N
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.595000 1.075000 9.045000 1.275000 ;
+    END
+  END A2_N
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.100000 1.075000 1.555000 1.285000 ;
+        RECT 1.385000 1.285000 1.555000 1.445000 ;
+        RECT 1.385000 1.445000 3.575000 1.615000 ;
+        RECT 3.245000 1.075000 3.575000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.725000 1.075000 3.075000 1.275000 ;
+    END
+  END B2
+  PIN Y
+    ANTENNADIFFAREA  1.242000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.775000 0.645000 2.995000 0.725000 ;
+        RECT 1.775000 0.725000 5.045000 0.905000 ;
+        RECT 3.745000 0.905000 3.915000 1.415000 ;
+        RECT 3.745000 1.415000 4.965000 1.615000 ;
+        RECT 3.875000 0.275000 4.205000 0.725000 ;
+        RECT 3.915000 1.615000 4.165000 2.125000 ;
+        RECT 4.715000 0.275000 5.045000 0.725000 ;
+        RECT 4.745000 1.615000 4.965000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.085000  1.455000 1.215000 1.625000 ;
+      RECT 0.085000  1.625000 0.425000 2.465000 ;
+      RECT 0.175000  0.085000 0.345000 0.895000 ;
+      RECT 0.515000  0.255000 0.845000 0.725000 ;
+      RECT 0.515000  0.725000 1.605000 0.905000 ;
+      RECT 0.595000  1.795000 0.805000 2.635000 ;
+      RECT 0.975000  1.625000 1.215000 1.795000 ;
+      RECT 0.975000  1.795000 3.745000 1.965000 ;
+      RECT 0.975000  1.965000 1.215000 2.465000 ;
+      RECT 1.015000  0.085000 1.185000 0.555000 ;
+      RECT 1.355000  0.255000 3.365000 0.475000 ;
+      RECT 1.355000  0.475000 1.605000 0.725000 ;
+      RECT 1.395000  2.135000 1.645000 2.635000 ;
+      RECT 1.815000  1.965000 2.065000 2.465000 ;
+      RECT 2.235000  2.135000 2.485000 2.635000 ;
+      RECT 2.655000  1.965000 2.905000 2.465000 ;
+      RECT 3.075000  2.135000 3.325000 2.635000 ;
+      RECT 3.495000  1.965000 3.745000 2.295000 ;
+      RECT 3.495000  2.295000 5.465000 2.465000 ;
+      RECT 3.535000  0.085000 3.705000 0.555000 ;
+      RECT 4.085000  1.075000 5.725000 1.245000 ;
+      RECT 4.335000  1.795000 4.575000 2.295000 ;
+      RECT 4.375000  0.085000 4.545000 0.555000 ;
+      RECT 5.135000  1.455000 5.465000 2.295000 ;
+      RECT 5.215000  0.085000 5.905000 0.555000 ;
+      RECT 5.555000  0.735000 9.575000 0.905000 ;
+      RECT 5.555000  0.905000 5.725000 1.075000 ;
+      RECT 5.655000  1.455000 7.625000 1.625000 ;
+      RECT 5.655000  1.625000 5.985000 2.465000 ;
+      RECT 6.075000  0.255000 6.405000 0.725000 ;
+      RECT 6.075000  0.725000 8.925000 0.735000 ;
+      RECT 6.155000  1.795000 6.365000 2.635000 ;
+      RECT 6.540000  1.625000 6.780000 2.465000 ;
+      RECT 6.575000  0.085000 6.745000 0.555000 ;
+      RECT 6.915000  0.255000 7.245000 0.725000 ;
+      RECT 6.955000  1.795000 7.205000 2.635000 ;
+      RECT 7.375000  1.625000 7.625000 2.295000 ;
+      RECT 7.375000  2.295000 9.310000 2.465000 ;
+      RECT 7.415000  0.085000 7.585000 0.555000 ;
+      RECT 7.755000  0.255000 8.085000 0.725000 ;
+      RECT 7.795000  1.455000 9.575000 1.625000 ;
+      RECT 7.795000  1.625000 8.045000 2.125000 ;
+      RECT 8.215000  1.795000 8.465000 2.295000 ;
+      RECT 8.255000  0.085000 8.425000 0.555000 ;
+      RECT 8.595000  0.255000 8.925000 0.725000 ;
+      RECT 8.635000  1.625000 8.885000 2.125000 ;
+      RECT 9.060000  1.795000 9.310000 2.295000 ;
+      RECT 9.095000  0.085000 9.265000 0.555000 ;
+      RECT 9.215000  0.905000 9.575000 1.455000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a2bb2oi_4
+MACRO sky130_fd_sc_hd__xnor2_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.930000 1.075000 1.625000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.995000 0.670000 1.445000 ;
+        RECT 0.425000 1.445000 1.965000 1.615000 ;
+        RECT 1.795000 1.075000 2.395000 1.245000 ;
+        RECT 1.795000 1.245000 1.965000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.525000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.265000 2.125000 2.645000 2.295000 ;
+        RECT 2.475000 1.755000 3.135000 1.955000 ;
+        RECT 2.475000 1.955000 2.645000 2.125000 ;
+        RECT 2.815000 0.345000 3.135000 0.825000 ;
+        RECT 2.965000 0.825000 3.135000 1.755000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.085000  0.280000 0.550000 0.825000 ;
+      RECT 0.085000  0.825000 0.255000 1.785000 ;
+      RECT 0.085000  1.785000 2.305000 1.955000 ;
+      RECT 0.085000  2.125000 0.385000 2.635000 ;
+      RECT 0.555000  1.955000 0.885000 2.465000 ;
+      RECT 1.055000  0.085000 1.225000 0.905000 ;
+      RECT 1.055000  2.125000 1.685000 2.635000 ;
+      RECT 1.395000  0.255000 1.725000 0.735000 ;
+      RECT 1.395000  0.735000 2.645000 0.825000 ;
+      RECT 1.395000  0.825000 2.305000 0.905000 ;
+      RECT 1.895000  0.085000 2.245000 0.475000 ;
+      RECT 2.135000  0.655000 2.645000 0.735000 ;
+      RECT 2.135000  1.415000 2.795000 1.585000 ;
+      RECT 2.135000  1.585000 2.305000 1.785000 ;
+      RECT 2.415000  0.255000 2.645000 0.655000 ;
+      RECT 2.625000  0.995000 2.795000 1.415000 ;
+      RECT 2.815000  2.125000 3.115000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__xnor2_1
+MACRO sky130_fd_sc_hd__xnor2_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  10.12000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.175000 1.075000 5.390000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  1.980000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.490000 1.075000 1.855000 1.275000 ;
+        RECT 1.685000 1.275000 1.855000 1.445000 ;
+        RECT 1.685000 1.445000 5.730000 1.615000 ;
+        RECT 5.560000 1.075000 7.430000 1.275000 ;
+        RECT 5.560000 1.275000 5.730000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  1.721000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.160000 1.785000  8.250000 2.045000 ;
+        RECT 7.960000 1.445000 10.035000 1.665000 ;
+        RECT 7.960000 1.665000  8.250000 1.785000 ;
+        RECT 7.960000 2.045000  8.250000 2.465000 ;
+        RECT 8.380000 0.645000 10.035000 0.905000 ;
+        RECT 8.840000 1.665000  9.090000 2.465000 ;
+        RECT 9.680000 1.665000 10.035000 2.465000 ;
+        RECT 9.815000 0.905000 10.035000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 10.120000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 10.310000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 10.120000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 10.120000 0.085000 ;
+      RECT 0.000000  2.635000 10.120000 2.805000 ;
+      RECT 0.085000  0.645000  1.760000 0.905000 ;
+      RECT 0.085000  0.905000  0.320000 1.445000 ;
+      RECT 0.085000  1.445000  1.300000 1.615000 ;
+      RECT 0.085000  1.615000  0.460000 2.465000 ;
+      RECT 0.170000  0.255000  2.180000 0.475000 ;
+      RECT 0.630000  1.835000  0.880000 2.635000 ;
+      RECT 1.050000  1.615000  1.300000 1.785000 ;
+      RECT 1.050000  1.785000  3.820000 2.005000 ;
+      RECT 1.050000  2.005000  1.300000 2.465000 ;
+      RECT 1.470000  2.175000  1.720000 2.635000 ;
+      RECT 1.890000  2.005000  2.140000 2.465000 ;
+      RECT 1.930000  0.475000  2.180000 0.725000 ;
+      RECT 1.930000  0.725000  3.860000 0.905000 ;
+      RECT 2.310000  2.175000  2.560000 2.635000 ;
+      RECT 2.350000  0.085000  2.520000 0.555000 ;
+      RECT 2.690000  0.255000  3.020000 0.725000 ;
+      RECT 2.730000  2.005000  2.980000 2.465000 ;
+      RECT 3.150000  2.175000  3.400000 2.635000 ;
+      RECT 3.190000  0.085000  3.360000 0.555000 ;
+      RECT 3.530000  0.255000  3.860000 0.725000 ;
+      RECT 3.570000  2.005000  3.820000 2.465000 ;
+      RECT 4.035000  0.085000  4.310000 0.905000 ;
+      RECT 4.035000  1.785000  5.990000 2.005000 ;
+      RECT 4.035000  2.005000  4.350000 2.465000 ;
+      RECT 4.480000  0.255000  4.810000 0.725000 ;
+      RECT 4.480000  0.725000  7.430000 0.735000 ;
+      RECT 4.480000  0.735000  8.210000 0.905000 ;
+      RECT 4.520000  2.175000  4.770000 2.635000 ;
+      RECT 4.940000  2.005000  5.190000 2.465000 ;
+      RECT 4.980000  0.085000  5.150000 0.555000 ;
+      RECT 5.320000  0.255000  5.650000 0.725000 ;
+      RECT 5.360000  2.175000  5.610000 2.635000 ;
+      RECT 5.780000  2.005000  5.990000 2.215000 ;
+      RECT 5.780000  2.215000  7.750000 2.465000 ;
+      RECT 5.820000  0.085000  5.990000 0.555000 ;
+      RECT 5.900000  1.445000  7.770000 1.615000 ;
+      RECT 6.160000  0.255000  6.490000 0.725000 ;
+      RECT 6.660000  0.085000  6.830000 0.555000 ;
+      RECT 7.000000  0.255000  7.330000 0.725000 ;
+      RECT 7.500000  0.085000  7.770000 0.555000 ;
+      RECT 7.600000  1.075000  9.645000 1.275000 ;
+      RECT 7.600000  1.275000  7.770000 1.445000 ;
+      RECT 7.960000  0.305000  9.970000 0.475000 ;
+      RECT 7.960000  0.475000  8.210000 0.735000 ;
+      RECT 8.420000  1.835000  8.670000 2.635000 ;
+      RECT 9.260000  1.835000  9.510000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  1.445000 1.235000 1.615000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  1.445000 6.295000 1.615000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+      RECT 9.805000 -0.085000 9.975000 0.085000 ;
+      RECT 9.805000  2.635000 9.975000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.005000 1.415000 1.295000 1.460000 ;
+      RECT 1.005000 1.460000 6.355000 1.600000 ;
+      RECT 1.005000 1.600000 1.295000 1.645000 ;
+      RECT 6.065000 1.415000 6.355000 1.460000 ;
+      RECT 6.065000 1.600000 6.355000 1.645000 ;
+  END
+END sky130_fd_sc_hd__xnor2_4
+MACRO sky130_fd_sc_hd__xnor2_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.980000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.255000 1.075000 2.705000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.485000 1.075000 0.960000 1.285000 ;
+        RECT 0.790000 1.285000 0.960000 1.445000 ;
+        RECT 0.790000 1.445000 3.100000 1.615000 ;
+        RECT 2.930000 1.075000 3.955000 1.285000 ;
+        RECT 2.930000 1.285000 3.100000 1.445000 ;
+    END
+  END B
+  PIN Y
+    ANTENNADIFFAREA  0.913000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.725000 1.795000 5.295000 1.965000 ;
+        RECT 3.725000 1.965000 3.935000 2.125000 ;
+        RECT 4.585000 0.305000 5.895000 0.475000 ;
+        RECT 5.045000 1.415000 5.895000 1.625000 ;
+        RECT 5.045000 1.625000 5.295000 1.795000 ;
+        RECT 5.045000 1.965000 5.295000 2.125000 ;
+        RECT 5.505000 0.475000 5.895000 1.415000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.980000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.170000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.980000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.980000 0.085000 ;
+      RECT 0.000000  2.635000 5.980000 2.805000 ;
+      RECT 0.085000  0.645000 0.860000 0.895000 ;
+      RECT 0.085000  0.895000 0.315000 1.785000 ;
+      RECT 0.085000  1.785000 3.480000 1.955000 ;
+      RECT 0.085000  1.955000 2.080000 1.965000 ;
+      RECT 0.085000  1.965000 0.400000 2.465000 ;
+      RECT 0.105000  0.255000 1.280000 0.475000 ;
+      RECT 0.570000  2.135000 0.820000 2.635000 ;
+      RECT 0.990000  1.965000 1.240000 2.465000 ;
+      RECT 1.030000  0.475000 1.280000 0.725000 ;
+      RECT 1.030000  0.725000 2.120000 0.905000 ;
+      RECT 1.410000  2.135000 1.660000 2.635000 ;
+      RECT 1.450000  0.085000 1.620000 0.555000 ;
+      RECT 1.790000  0.255000 2.120000 0.725000 ;
+      RECT 1.830000  1.965000 2.080000 2.465000 ;
+      RECT 2.390000  2.125000 2.640000 2.465000 ;
+      RECT 2.430000  0.085000 2.600000 0.905000 ;
+      RECT 2.770000  0.255000 3.100000 0.725000 ;
+      RECT 2.770000  0.725000 5.335000 0.905000 ;
+      RECT 2.810000  2.135000 3.060000 2.635000 ;
+      RECT 3.230000  2.125000 3.555000 2.295000 ;
+      RECT 3.230000  2.295000 4.355000 2.465000 ;
+      RECT 3.270000  0.085000 3.440000 0.555000 ;
+      RECT 3.310000  1.455000 4.805000 1.625000 ;
+      RECT 3.310000  1.625000 3.480000 1.785000 ;
+      RECT 3.610000  0.255000 3.975000 0.725000 ;
+      RECT 4.105000  2.135000 4.355000 2.295000 ;
+      RECT 4.145000  0.085000 4.315000 0.555000 ;
+      RECT 4.625000  2.135000 4.875000 2.635000 ;
+      RECT 4.635000  1.075000 5.295000 1.245000 ;
+      RECT 4.635000  1.245000 4.805000 1.455000 ;
+      RECT 5.005000  0.645000 5.335000 0.725000 ;
+      RECT 5.465000  1.795000 5.895000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.465000  2.125000 2.635000 2.295000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.385000  2.125000 3.555000 2.295000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+    LAYER met1 ;
+      RECT 2.405000 2.095000 2.695000 2.140000 ;
+      RECT 2.405000 2.140000 3.615000 2.280000 ;
+      RECT 2.405000 2.280000 2.695000 2.325000 ;
+      RECT 3.325000 2.095000 3.615000 2.140000 ;
+      RECT 3.325000 2.280000 3.615000 2.325000 ;
+  END
+END sky130_fd_sc_hd__xnor2_2
+MACRO sky130_fd_sc_hd__or4b_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.430000 0.995000 2.810000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 2.125000 2.660000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.520000 0.995000 2.260000 1.615000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.755000 0.425000 1.325000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.320000 0.415000 3.595000 0.760000 ;
+        RECT 3.320000 1.495000 3.595000 2.465000 ;
+        RECT 3.425000 0.760000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.085000 0.425000 0.585000 ;
+      RECT 0.085000  1.560000 0.425000 2.635000 ;
+      RECT 0.595000  0.305000 0.840000 0.995000 ;
+      RECT 0.595000  0.995000 1.250000 1.325000 ;
+      RECT 0.595000  1.325000 0.835000 1.920000 ;
+      RECT 1.030000  1.495000 1.350000 1.785000 ;
+      RECT 1.030000  1.785000 2.660000 1.955000 ;
+      RECT 1.035000  0.085000 1.365000 0.585000 ;
+      RECT 1.565000  0.305000 1.735000 0.655000 ;
+      RECT 1.565000  0.655000 3.150000 0.825000 ;
+      RECT 1.910000  0.085000 2.240000 0.485000 ;
+      RECT 2.410000  0.305000 2.580000 0.655000 ;
+      RECT 2.490000  1.495000 3.150000 1.665000 ;
+      RECT 2.490000  1.665000 2.660000 1.785000 ;
+      RECT 2.750000  0.085000 3.130000 0.485000 ;
+      RECT 2.830000  1.835000 3.110000 2.635000 ;
+      RECT 2.980000  0.825000 3.150000 0.995000 ;
+      RECT 2.980000  0.995000 3.255000 1.325000 ;
+      RECT 2.980000  1.325000 3.150000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_1
+MACRO sky130_fd_sc_hd__or4b_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.755000 1.075000 2.320000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.985000 2.125000 2.670000 2.415000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.550000 1.075000 3.550000 1.275000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.425000 1.435000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.935000 0.675000 1.250000 0.680000 ;
+        RECT 0.935000 0.680000 1.245000 0.790000 ;
+        RECT 0.935000 0.790000 1.105000 1.495000 ;
+        RECT 0.935000 1.495000 1.250000 1.825000 ;
+        RECT 0.970000 0.260000 1.250000 0.675000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.085000  0.325000 0.350000 0.735000 ;
+      RECT 0.085000  0.735000 0.765000 0.905000 ;
+      RECT 0.085000  1.605000 0.765000 1.890000 ;
+      RECT 0.510000  1.890000 0.765000 1.995000 ;
+      RECT 0.510000  1.995000 1.715000 2.165000 ;
+      RECT 0.515000  2.335000 0.845000 2.635000 ;
+      RECT 0.595000  0.905000 0.765000 1.605000 ;
+      RECT 0.630000  0.085000 0.800000 0.565000 ;
+      RECT 1.290000  0.995000 1.585000 1.325000 ;
+      RECT 1.415000  0.735000 3.055000 0.905000 ;
+      RECT 1.415000  0.905000 1.585000 0.995000 ;
+      RECT 1.415000  1.325000 1.585000 1.355000 ;
+      RECT 1.415000  1.355000 1.600000 1.370000 ;
+      RECT 1.415000  1.370000 1.610000 1.380000 ;
+      RECT 1.415000  1.380000 1.620000 1.390000 ;
+      RECT 1.415000  1.390000 1.625000 1.400000 ;
+      RECT 1.415000  1.400000 1.630000 1.410000 ;
+      RECT 1.415000  1.410000 1.645000 1.420000 ;
+      RECT 1.415000  1.420000 1.655000 1.425000 ;
+      RECT 1.415000  1.425000 1.665000 1.445000 ;
+      RECT 1.415000  1.445000 3.560000 1.450000 ;
+      RECT 1.420000  1.450000 3.560000 1.615000 ;
+      RECT 1.435000  0.085000 1.815000 0.485000 ;
+      RECT 1.440000  1.785000 3.030000 1.955000 ;
+      RECT 1.440000  1.955000 1.715000 1.995000 ;
+      RECT 1.480000  2.335000 1.815000 2.635000 ;
+      RECT 1.985000  0.305000 2.155000 0.735000 ;
+      RECT 2.385000  0.085000 2.715000 0.485000 ;
+      RECT 2.860000  1.955000 3.030000 2.215000 ;
+      RECT 2.860000  2.215000 3.345000 2.385000 ;
+      RECT 2.885000  0.305000 3.055000 0.735000 ;
+      RECT 3.225000  0.085000 3.555000 0.585000 ;
+      RECT 3.225000  1.615000 3.560000 1.815000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_2
+MACRO sky130_fd_sc_hd__or4b_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__or4b_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.755000 0.995000 2.925000 1.445000 ;
+        RECT 2.755000 1.445000 3.190000 1.615000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.195000 0.995000 2.525000 1.450000 ;
+        RECT 2.335000 1.450000 2.525000 1.785000 ;
+        RECT 2.335000 1.785000 2.635000 2.375000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.795000 0.995000 1.965000 1.620000 ;
+        RECT 1.795000 1.620000 2.155000 2.375000 ;
+    END
+  END C
+  PIN D_N
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.105000 0.995000 0.445000 1.955000 ;
+    END
+  END D_N
+  PIN X
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.395000 1.455000 4.965000 1.625000 ;
+        RECT 3.395000 1.625000 3.645000 2.465000 ;
+        RECT 3.435000 0.255000 3.685000 0.725000 ;
+        RECT 3.435000 0.725000 4.965000 0.905000 ;
+        RECT 4.195000 0.255000 4.525000 0.725000 ;
+        RECT 4.235000 1.625000 4.485000 2.465000 ;
+        RECT 4.725000 0.905000 4.965000 1.455000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.085000  0.085000 0.345000 0.825000 ;
+      RECT 0.085000  2.135000 0.365000 2.635000 ;
+      RECT 0.595000  0.435000 0.785000 0.905000 ;
+      RECT 0.595000  2.065000 0.785000 2.455000 ;
+      RECT 0.615000  0.905000 0.785000 0.995000 ;
+      RECT 0.615000  0.995000 1.215000 1.325000 ;
+      RECT 0.615000  1.325000 0.785000 2.065000 ;
+      RECT 1.035000  0.085000 1.285000 0.585000 ;
+      RECT 1.035000  1.575000 1.625000 1.745000 ;
+      RECT 1.035000  1.745000 1.365000 2.450000 ;
+      RECT 1.455000  0.655000 3.265000 0.825000 ;
+      RECT 1.455000  0.825000 1.625000 1.575000 ;
+      RECT 1.615000  0.305000 1.785000 0.655000 ;
+      RECT 1.985000  0.085000 2.315000 0.485000 ;
+      RECT 2.485000  0.305000 2.655000 0.655000 ;
+      RECT 2.875000  0.085000 3.255000 0.485000 ;
+      RECT 2.920000  1.795000 3.170000 2.635000 ;
+      RECT 3.095000  0.825000 3.265000 1.075000 ;
+      RECT 3.095000  1.075000 4.555000 1.245000 ;
+      RECT 3.815000  1.795000 4.065000 2.635000 ;
+      RECT 3.855000  0.085000 4.025000 0.555000 ;
+      RECT 4.655000  1.795000 4.905000 2.635000 ;
+      RECT 4.695000  0.085000 4.865000 0.555000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__or4b_4
+MACRO sky130_fd_sc_hd__a31oi_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.955000 0.995000 2.665000 1.615000 ;
+        RECT 2.905000 0.995000 3.075000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.050000 0.995000 1.755000 1.615000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.145000 0.995000 0.820000 1.615000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.820000 1.075000 4.490000 1.275000 ;
+        RECT 4.265000 1.275000 4.490000 1.625000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.922000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.295000 0.655000 4.505000 0.825000 ;
+        RECT 3.255000 0.255000 3.425000 0.655000 ;
+        RECT 3.255000 0.825000 3.570000 1.445000 ;
+        RECT 3.255000 1.445000 4.085000 1.615000 ;
+        RECT 3.755000 1.615000 4.085000 2.115000 ;
+        RECT 4.175000 0.295000 4.505000 0.655000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.095000  0.655000 2.105000 0.825000 ;
+      RECT 0.175000  1.785000 3.505000 1.955000 ;
+      RECT 0.175000  1.955000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.125000 0.845000 2.635000 ;
+      RECT 1.015000  1.955000 1.185000 2.465000 ;
+      RECT 1.355000  0.295000 3.075000 0.465000 ;
+      RECT 1.355000  2.125000 1.685000 2.635000 ;
+      RECT 1.855000  1.955000 2.025000 2.465000 ;
+      RECT 2.310000  2.125000 2.980000 2.635000 ;
+      RECT 3.335000  1.955000 3.505000 2.295000 ;
+      RECT 3.335000  2.295000 4.425000 2.465000 ;
+      RECT 3.675000  0.085000 4.005000 0.465000 ;
+      RECT 4.255000  1.795000 4.425000 2.295000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_2
+MACRO sky130_fd_sc_hd__a31oi_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.825000 0.995000 5.420000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.935000 0.995000 3.550000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.120000 0.995000 1.735000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.670000 0.995000 6.855000 1.630000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.443500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.975000 0.635000 7.585000 0.805000 ;
+        RECT 6.075000 1.915000 7.245000 2.085000 ;
+        RECT 6.575000 0.255000 6.745000 0.635000 ;
+        RECT 7.045000 0.805000 7.245000 1.915000 ;
+        RECT 7.415000 0.255000 7.585000 0.635000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.175000  0.255000 0.345000 0.635000 ;
+      RECT 0.175000  0.635000 3.785000 0.805000 ;
+      RECT 0.175000  1.495000 5.405000 1.665000 ;
+      RECT 0.175000  1.665000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  1.915000 0.845000 2.635000 ;
+      RECT 1.015000  0.255000 1.185000 0.635000 ;
+      RECT 1.015000  1.665000 1.185000 2.465000 ;
+      RECT 1.355000  0.085000 1.685000 0.465000 ;
+      RECT 1.355000  1.915000 1.685000 2.635000 ;
+      RECT 1.855000  0.255000 2.025000 0.635000 ;
+      RECT 1.855000  1.665000 2.025000 2.465000 ;
+      RECT 2.195000  0.295000 5.565000 0.465000 ;
+      RECT 2.195000  1.915000 2.525000 2.635000 ;
+      RECT 2.695000  1.665000 2.865000 2.465000 ;
+      RECT 3.035000  1.915000 3.365000 2.635000 ;
+      RECT 3.535000  1.665000 3.705000 2.465000 ;
+      RECT 3.895000  1.915000 4.225000 2.635000 ;
+      RECT 4.395000  1.665000 4.565000 2.465000 ;
+      RECT 4.735000  2.255000 5.065000 2.635000 ;
+      RECT 5.235000  1.665000 5.405000 2.255000 ;
+      RECT 5.235000  2.255000 7.665000 2.425000 ;
+      RECT 5.235000  2.425000 5.405000 2.465000 ;
+      RECT 6.075000  0.085000 6.405000 0.465000 ;
+      RECT 6.915000  0.085000 7.245000 0.465000 ;
+      RECT 7.415000  1.495000 7.665000 2.255000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_4
+MACRO sky130_fd_sc_hd__a31oi_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.300000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.445000 1.455000 1.665000 ;
+        RECT 1.270000 0.995000 1.455000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 0.335000 1.055000 1.275000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.365000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.965000 0.995000 2.215000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  0.481250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.380000 0.295000 1.785000 0.715000 ;
+        RECT 1.380000 0.715000 1.795000 0.825000 ;
+        RECT 1.625000 0.825000 1.795000 1.495000 ;
+        RECT 1.625000 1.495000 2.210000 1.665000 ;
+        RECT 1.875000 1.665000 2.210000 2.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.300000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.490000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.300000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.300000 0.085000 ;
+      RECT 0.000000  2.635000 2.300000 2.805000 ;
+      RECT 0.090000  0.085000 0.430000 0.815000 ;
+      RECT 0.090000  1.495000 0.420000 2.635000 ;
+      RECT 0.590000  1.835000 1.695000 2.005000 ;
+      RECT 0.590000  2.005000 0.765000 2.415000 ;
+      RECT 0.935000  2.175000 1.265000 2.635000 ;
+      RECT 1.470000  2.005000 1.695000 2.415000 ;
+      RECT 1.955000  0.085000 2.215000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+  END
+END sky130_fd_sc_hd__a31oi_1
+MACRO sky130_fd_sc_hd__dlygate4sd3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.055000 0.775000 1.615000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.210000 0.255000 3.595000 0.825000 ;
+        RECT 3.210000 1.495000 3.595000 2.465000 ;
+        RECT 3.315000 0.825000 3.595000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.200000  0.255000 0.485000 0.715000 ;
+      RECT 0.200000  0.715000 1.155000 0.885000 ;
+      RECT 0.200000  1.785000 1.155000 2.005000 ;
+      RECT 0.200000  2.005000 0.485000 2.465000 ;
+      RECT 0.655000  0.085000 0.925000 0.545000 ;
+      RECT 0.655000  2.175000 0.925000 2.635000 ;
+      RECT 0.945000  0.885000 1.155000 1.785000 ;
+      RECT 1.325000  0.255000 1.725000 1.055000 ;
+      RECT 1.325000  1.055000 2.420000 1.615000 ;
+      RECT 1.325000  1.615000 1.725000 2.465000 ;
+      RECT 1.915000  0.255000 2.195000 0.715000 ;
+      RECT 1.915000  0.715000 3.040000 0.885000 ;
+      RECT 1.915000  1.785000 3.040000 2.005000 ;
+      RECT 1.915000  2.005000 2.195000 2.465000 ;
+      RECT 2.590000  0.885000 3.040000 0.995000 ;
+      RECT 2.590000  0.995000 3.145000 1.325000 ;
+      RECT 2.590000  1.325000 3.040000 1.785000 ;
+      RECT 2.715000  0.085000 3.040000 0.545000 ;
+      RECT 2.715000  2.175000 3.040000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlygate4sd3_1
+MACRO sky130_fd_sc_hd__edfxbp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.695000 0.765000 1.915000 1.720000 ;
+    END
+  END D
+  PIN DE
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.110000 0.765000 2.565000 1.185000 ;
+        RECT 2.110000 1.185000 2.325000 1.370000 ;
+    END
+  END DE
+  PIN Q
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.225000 0.255000 11.555000 2.420000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.400000 1.065000 9.845000 1.410000 ;
+        RECT 9.400000 1.410000 9.730000 2.465000 ;
+        RECT 9.515000 0.255000 9.845000 1.065000 ;
+    END
+  END Q_N
+  PIN CLK
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 0.975000 0.445000 1.625000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.845000 0.805000 ;
+      RECT  0.175000  1.795000  0.845000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.615000  0.805000  0.845000 1.795000 ;
+      RECT  1.015000  0.345000  1.185000 2.465000 ;
+      RECT  1.355000  0.255000  1.785000 0.515000 ;
+      RECT  1.355000  0.515000  1.525000 1.890000 ;
+      RECT  1.355000  1.890000  1.785000 2.465000 ;
+      RECT  2.235000  0.085000  2.565000 0.515000 ;
+      RECT  2.235000  1.890000  2.565000 2.635000 ;
+      RECT  2.495000  1.355000  3.085000 1.720000 ;
+      RECT  2.755000  1.720000  3.085000 2.425000 ;
+      RECT  2.780000  0.255000  3.005000 0.845000 ;
+      RECT  2.780000  0.845000  3.635000 1.175000 ;
+      RECT  2.780000  1.175000  3.085000 1.355000 ;
+      RECT  3.185000  0.085000  3.515000 0.610000 ;
+      RECT  3.265000  1.825000  3.460000 2.635000 ;
+      RECT  3.805000  0.685000  3.975000 1.320000 ;
+      RECT  3.805000  1.320000  4.175000 1.650000 ;
+      RECT  4.125000  1.820000  4.515000 2.020000 ;
+      RECT  4.125000  2.020000  4.455000 2.465000 ;
+      RECT  4.145000  0.255000  4.415000 0.980000 ;
+      RECT  4.145000  0.980000  4.515000 1.150000 ;
+      RECT  4.345000  1.150000  4.515000 1.820000 ;
+      RECT  4.795000  1.125000  4.980000 1.720000 ;
+      RECT  4.815000  0.735000  5.320000 0.955000 ;
+      RECT  4.915000  2.175000  5.955000 2.375000 ;
+      RECT  5.005000  0.255000  5.680000 0.565000 ;
+      RECT  5.150000  0.955000  5.320000 1.655000 ;
+      RECT  5.150000  1.655000  5.615000 2.005000 ;
+      RECT  5.510000  0.565000  5.680000 1.315000 ;
+      RECT  5.510000  1.315000  6.360000 1.485000 ;
+      RECT  5.785000  1.485000  6.360000 1.575000 ;
+      RECT  5.785000  1.575000  5.955000 2.175000 ;
+      RECT  5.870000  0.765000  6.935000 1.045000 ;
+      RECT  5.870000  1.045000  7.445000 1.065000 ;
+      RECT  5.870000  1.065000  6.070000 1.095000 ;
+      RECT  5.945000  0.085000  6.340000 0.560000 ;
+      RECT  6.125000  1.835000  6.360000 2.635000 ;
+      RECT  6.190000  1.245000  6.360000 1.315000 ;
+      RECT  6.530000  0.255000  6.935000 0.765000 ;
+      RECT  6.530000  1.065000  7.445000 1.375000 ;
+      RECT  6.530000  1.375000  6.860000 2.465000 ;
+      RECT  7.070000  2.105000  7.360000 2.635000 ;
+      RECT  7.165000  0.085000  7.440000 0.615000 ;
+      RECT  7.790000  1.245000  7.980000 1.965000 ;
+      RECT  7.925000  2.165000  8.890000 2.355000 ;
+      RECT  8.005000  0.705000  8.470000 1.035000 ;
+      RECT  8.025000  0.330000  8.890000 0.535000 ;
+      RECT  8.150000  1.035000  8.470000 1.995000 ;
+      RECT  8.640000  0.535000  8.890000 2.165000 ;
+      RECT  9.060000  1.495000  9.230000 2.635000 ;
+      RECT  9.095000  0.085000  9.345000 0.900000 ;
+      RECT  9.900000  1.575000 10.130000 2.010000 ;
+      RECT 10.015000  0.890000 10.640000 1.220000 ;
+      RECT 10.300000  0.255000 10.640000 0.890000 ;
+      RECT 10.300000  1.220000 10.640000 2.465000 ;
+      RECT 10.810000  0.085000 11.055000 0.900000 ;
+      RECT 10.810000  1.465000 11.055000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.635000  1.785000  0.805000 1.955000 ;
+      RECT  1.015000  1.445000  1.185000 1.615000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.355000  0.425000  1.525000 0.595000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.805000  0.765000  3.975000 0.935000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.185000  0.425000  4.355000 0.595000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  4.800000  1.445000  4.970000 1.615000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.210000  1.785000  5.380000 1.955000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.800000  1.785000  7.970000 1.955000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.220000  1.445000  8.390000 1.615000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.680000  1.785000  8.850000 1.955000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT  9.930000  1.785000 10.100000 1.955000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.390000  0.765000 10.560000 0.935000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT  0.575000 1.755000  0.865000 1.800000 ;
+      RECT  0.575000 1.800000  8.030000 1.940000 ;
+      RECT  0.575000 1.940000  0.865000 1.985000 ;
+      RECT  0.955000 1.415000  1.245000 1.460000 ;
+      RECT  0.955000 1.460000  8.450000 1.600000 ;
+      RECT  0.955000 1.600000  1.245000 1.645000 ;
+      RECT  1.295000 0.395000  4.415000 0.580000 ;
+      RECT  1.295000 0.580000  1.585000 0.625000 ;
+      RECT  3.745000 0.735000  4.035000 0.780000 ;
+      RECT  3.745000 0.780000 10.620000 0.920000 ;
+      RECT  3.745000 0.920000  4.035000 0.965000 ;
+      RECT  4.125000 0.580000  4.415000 0.625000 ;
+      RECT  4.740000 1.415000  5.030000 1.460000 ;
+      RECT  4.740000 1.600000  5.030000 1.645000 ;
+      RECT  5.150000 1.755000  5.440000 1.800000 ;
+      RECT  5.150000 1.940000  5.440000 1.985000 ;
+      RECT  7.740000 1.755000  8.030000 1.800000 ;
+      RECT  7.740000 1.940000  8.030000 1.985000 ;
+      RECT  8.160000 1.415000  8.450000 1.460000 ;
+      RECT  8.160000 1.600000  8.450000 1.645000 ;
+      RECT  8.620000 1.755000  8.910000 1.800000 ;
+      RECT  8.620000 1.800000 10.160000 1.940000 ;
+      RECT  8.620000 1.940000  8.910000 1.985000 ;
+      RECT  9.870000 1.755000 10.160000 1.800000 ;
+      RECT  9.870000 1.940000 10.160000 1.985000 ;
+      RECT 10.330000 0.735000 10.620000 0.780000 ;
+      RECT 10.330000 0.920000 10.620000 0.965000 ;
+  END
+END sky130_fd_sc_hd__edfxbp_1
+MACRO sky130_fd_sc_hd__dfbbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  11.96000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.745000 1.005000 2.155000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 11.615000 0.255000 11.875000 0.825000 ;
+        RECT 11.615000 1.455000 11.875000 2.465000 ;
+        RECT 11.665000 0.825000 11.875000 1.455000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.200000 0.255000 10.485000 0.715000 ;
+        RECT 10.200000 1.630000 10.485000 2.465000 ;
+        RECT 10.305000 0.715000 10.485000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.235000 1.095000 9.690000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.585000 0.735000 3.995000 0.965000 ;
+        RECT 3.585000 0.965000 3.915000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.825000 0.765000 3.995000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.280000 0.735000 7.825000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.575000 0.765000 7.745000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.765000 0.735000 4.055000 0.780000 ;
+        RECT 3.765000 0.780000 7.805000 0.920000 ;
+        RECT 3.765000 0.920000 4.055000 0.965000 ;
+        RECT 7.515000 0.735000 7.805000 0.780000 ;
+        RECT 7.515000 0.920000 7.805000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.435000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 11.960000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 12.150000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 11.960000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 11.960000 0.085000 ;
+      RECT  0.000000  2.635000 11.960000 2.805000 ;
+      RECT  0.175000  0.345000  0.345000 0.635000 ;
+      RECT  0.175000  0.635000  0.840000 0.805000 ;
+      RECT  0.175000  1.795000  0.840000 1.965000 ;
+      RECT  0.175000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.235000 2.465000 ;
+      RECT  1.405000  0.635000  2.125000 0.825000 ;
+      RECT  1.405000  0.825000  1.575000 1.795000 ;
+      RECT  1.405000  1.795000  2.125000 1.965000 ;
+      RECT  1.430000  0.085000  1.785000 0.465000 ;
+      RECT  1.430000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.325000  0.705000  2.545000 1.575000 ;
+      RECT  2.325000  1.575000  2.825000 1.955000 ;
+      RECT  2.335000  2.250000  3.165000 2.420000 ;
+      RECT  2.400000  0.265000  3.415000 0.465000 ;
+      RECT  2.725000  0.645000  3.075000 1.015000 ;
+      RECT  2.995000  1.195000  3.415000 1.235000 ;
+      RECT  2.995000  1.235000  4.345000 1.405000 ;
+      RECT  2.995000  1.405000  3.165000 2.250000 ;
+      RECT  3.245000  0.465000  3.415000 1.195000 ;
+      RECT  3.335000  1.575000  3.585000 1.785000 ;
+      RECT  3.335000  1.785000  4.685000 2.035000 ;
+      RECT  3.405000  2.205000  3.785000 2.635000 ;
+      RECT  3.585000  0.085000  3.755000 0.525000 ;
+      RECT  3.925000  0.255000  5.075000 0.425000 ;
+      RECT  3.925000  0.425000  4.255000 0.505000 ;
+      RECT  4.085000  2.035000  4.255000 2.375000 ;
+      RECT  4.095000  1.405000  4.345000 1.485000 ;
+      RECT  4.125000  1.155000  4.345000 1.235000 ;
+      RECT  4.405000  0.595000  4.735000 0.765000 ;
+      RECT  4.515000  0.765000  4.735000 0.895000 ;
+      RECT  4.515000  0.895000  5.825000 1.065000 ;
+      RECT  4.515000  1.065000  4.685000 1.785000 ;
+      RECT  4.855000  1.235000  5.185000 1.415000 ;
+      RECT  4.855000  1.415000  5.860000 1.655000 ;
+      RECT  4.875000  1.915000  5.205000 2.635000 ;
+      RECT  4.905000  0.425000  5.075000 0.715000 ;
+      RECT  5.325000  0.085000  5.675000 0.465000 ;
+      RECT  5.495000  1.065000  5.825000 1.235000 ;
+      RECT  6.060000  1.575000  6.295000 1.985000 ;
+      RECT  6.065000  1.060000  6.405000 1.125000 ;
+      RECT  6.065000  1.125000  6.740000 1.305000 ;
+      RECT  6.185000  0.705000  6.405000 1.060000 ;
+      RECT  6.250000  2.250000  7.080000 2.420000 ;
+      RECT  6.300000  0.265000  7.080000 0.465000 ;
+      RECT  6.535000  1.305000  6.740000 1.905000 ;
+      RECT  6.910000  0.465000  7.080000 1.235000 ;
+      RECT  6.910000  1.235000  8.260000 1.405000 ;
+      RECT  6.910000  1.405000  7.080000 2.250000 ;
+      RECT  7.250000  0.085000  7.575000 0.525000 ;
+      RECT  7.250000  1.575000  7.500000 1.915000 ;
+      RECT  7.250000  1.915000 10.030000 2.085000 ;
+      RECT  7.320000  2.255000  7.700000 2.635000 ;
+      RECT  7.745000  0.255000  8.955000 0.425000 ;
+      RECT  7.745000  0.425000  8.075000 0.545000 ;
+      RECT  7.940000  2.085000  8.110000 2.375000 ;
+      RECT  8.040000  1.075000  8.260000 1.235000 ;
+      RECT  8.215000  0.665000  8.615000 0.835000 ;
+      RECT  8.430000  0.835000  8.615000 0.840000 ;
+      RECT  8.430000  0.840000  8.600000 1.915000 ;
+      RECT  8.640000  2.255000 10.030000 2.635000 ;
+      RECT  8.770000  1.110000  9.055000 1.575000 ;
+      RECT  8.770000  1.575000  9.555000 1.745000 ;
+      RECT  8.785000  0.425000  8.955000 0.585000 ;
+      RECT  8.835000  0.755000  9.475000 0.925000 ;
+      RECT  8.835000  0.925000  9.055000 1.110000 ;
+      RECT  9.265000  0.265000  9.475000 0.755000 ;
+      RECT  9.725000  0.085000 10.030000 0.805000 ;
+      RECT  9.860000  0.995000 10.125000 1.325000 ;
+      RECT  9.860000  1.325000 10.030000 1.915000 ;
+      RECT 10.660000  0.255000 10.975000 0.995000 ;
+      RECT 10.660000  0.995000 11.495000 1.325000 ;
+      RECT 10.660000  1.325000 10.975000 2.415000 ;
+      RECT 11.150000  0.085000 11.445000 0.545000 ;
+      RECT 11.155000  1.765000 11.445000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  1.785000  1.235000 1.955000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  1.785000  2.615000 1.955000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  0.765000  3.075000 0.935000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  1.445000  5.835000 1.615000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  1.105000  6.295000 1.275000 ;
+      RECT  6.125000  1.785000  6.295000 1.955000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.855000  1.445000  9.025000 1.615000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.135000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.005000 1.755000 1.295000 1.800000 ;
+      RECT 1.005000 1.800000 6.355000 1.940000 ;
+      RECT 1.005000 1.940000 1.295000 1.985000 ;
+      RECT 2.385000 1.755000 2.675000 1.800000 ;
+      RECT 2.385000 1.940000 2.675000 1.985000 ;
+      RECT 2.845000 0.735000 3.135000 0.780000 ;
+      RECT 2.845000 0.920000 3.135000 0.965000 ;
+      RECT 2.920000 0.965000 3.135000 1.120000 ;
+      RECT 2.920000 1.120000 6.355000 1.260000 ;
+      RECT 5.605000 1.415000 5.895000 1.460000 ;
+      RECT 5.605000 1.460000 9.085000 1.600000 ;
+      RECT 5.605000 1.600000 5.895000 1.645000 ;
+      RECT 6.065000 1.075000 6.355000 1.120000 ;
+      RECT 6.065000 1.260000 6.355000 1.305000 ;
+      RECT 6.065000 1.755000 6.355000 1.800000 ;
+      RECT 6.065000 1.940000 6.355000 1.985000 ;
+      RECT 8.795000 1.415000 9.085000 1.460000 ;
+      RECT 8.795000 1.600000 9.085000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_1
+MACRO sky130_fd_sc_hd__dfbbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  12.88000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.760000 1.005000 2.170000 1.625000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 12.115000 0.255000 12.345000 0.825000 ;
+        RECT 12.115000 1.445000 12.345000 2.465000 ;
+        RECT 12.160000 0.825000 12.345000 1.445000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 10.240000 0.255000 10.500000 0.715000 ;
+        RECT 10.240000 1.630000 10.500000 2.465000 ;
+        RECT 10.320000 0.715000 10.500000 1.630000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 9.250000 1.095000 9.730000 1.325000 ;
+    END
+  END RESET_B
+  PIN SET_B
+    ANTENNAGATEAREA  0.252000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.600000 0.735000 4.010000 0.965000 ;
+        RECT 3.600000 0.965000 3.930000 1.065000 ;
+      LAYER mcon ;
+        RECT 3.840000 0.765000 4.010000 0.935000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.470000 0.735000 7.845000 1.065000 ;
+      LAYER mcon ;
+        RECT 7.520000 0.765000 7.690000 0.935000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 3.780000 0.735000 4.070000 0.780000 ;
+        RECT 3.780000 0.780000 7.750000 0.920000 ;
+        RECT 3.780000 0.920000 4.070000 0.965000 ;
+        RECT 7.460000 0.735000 7.750000 0.780000 ;
+        RECT 7.460000 0.920000 7.750000 0.965000 ;
+    END
+  END SET_B
+  PIN CLK_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.975000 0.440000 1.625000 ;
+    END
+  END CLK_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 12.880000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 13.070000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 12.880000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT  0.000000 -0.085000 12.880000 0.085000 ;
+      RECT  0.000000  2.635000 12.880000 2.805000 ;
+      RECT  0.085000  0.345000  0.345000 0.635000 ;
+      RECT  0.085000  0.635000  0.840000 0.805000 ;
+      RECT  0.085000  1.795000  0.840000 1.965000 ;
+      RECT  0.085000  1.965000  0.345000 2.465000 ;
+      RECT  0.515000  0.085000  0.845000 0.465000 ;
+      RECT  0.515000  2.135000  0.845000 2.635000 ;
+      RECT  0.610000  0.805000  0.840000 1.795000 ;
+      RECT  1.015000  0.345000  1.240000 2.465000 ;
+      RECT  1.420000  0.635000  2.125000 0.825000 ;
+      RECT  1.420000  0.825000  1.590000 1.795000 ;
+      RECT  1.420000  1.795000  2.125000 1.965000 ;
+      RECT  1.445000  0.085000  1.785000 0.465000 ;
+      RECT  1.445000  2.135000  1.785000 2.635000 ;
+      RECT  1.955000  0.305000  2.125000 0.635000 ;
+      RECT  1.955000  1.965000  2.125000 2.465000 ;
+      RECT  2.340000  0.705000  2.560000 1.575000 ;
+      RECT  2.340000  1.575000  2.840000 1.955000 ;
+      RECT  2.350000  2.250000  3.180000 2.420000 ;
+      RECT  2.415000  0.265000  3.410000 0.465000 ;
+      RECT  2.740000  0.645000  3.070000 1.015000 ;
+      RECT  3.010000  1.195000  3.410000 1.235000 ;
+      RECT  3.010000  1.235000  4.360000 1.405000 ;
+      RECT  3.010000  1.405000  3.180000 2.250000 ;
+      RECT  3.240000  0.465000  3.410000 1.195000 ;
+      RECT  3.350000  1.575000  3.600000 1.785000 ;
+      RECT  3.350000  1.785000  4.700000 2.035000 ;
+      RECT  3.420000  2.205000  3.800000 2.635000 ;
+      RECT  3.580000  0.085000  3.750000 0.525000 ;
+      RECT  3.920000  0.255000  5.170000 0.425000 ;
+      RECT  3.920000  0.425000  4.250000 0.545000 ;
+      RECT  4.100000  2.035000  4.270000 2.375000 ;
+      RECT  4.110000  1.405000  4.360000 1.485000 ;
+      RECT  4.140000  1.155000  4.360000 1.235000 ;
+      RECT  4.420000  0.595000  4.750000 0.765000 ;
+      RECT  4.530000  0.765000  4.750000 0.895000 ;
+      RECT  4.530000  0.895000  5.840000 1.065000 ;
+      RECT  4.530000  1.065000  4.700000 1.785000 ;
+      RECT  4.870000  1.235000  5.200000 1.415000 ;
+      RECT  4.870000  1.415000  5.875000 1.655000 ;
+      RECT  4.890000  1.915000  5.220000 2.635000 ;
+      RECT  4.920000  0.425000  5.170000 0.715000 ;
+      RECT  5.360000  0.085000  5.690000 0.465000 ;
+      RECT  5.510000  1.065000  5.840000 1.235000 ;
+      RECT  6.075000  1.575000  6.310000 1.985000 ;
+      RECT  6.135000  0.705000  6.420000 1.125000 ;
+      RECT  6.135000  1.125000  6.755000 1.305000 ;
+      RECT  6.265000  2.250000  7.095000 2.420000 ;
+      RECT  6.330000  0.265000  7.095000 0.465000 ;
+      RECT  6.550000  1.305000  6.755000 1.905000 ;
+      RECT  6.925000  0.465000  7.095000 1.235000 ;
+      RECT  6.925000  1.235000  8.275000 1.405000 ;
+      RECT  6.925000  1.405000  7.095000 2.250000 ;
+      RECT  7.265000  1.575000  7.515000 1.915000 ;
+      RECT  7.265000  1.915000 10.070000 2.085000 ;
+      RECT  7.275000  0.085000  7.535000 0.525000 ;
+      RECT  7.335000  2.255000  7.715000 2.635000 ;
+      RECT  7.795000  0.255000  8.965000 0.425000 ;
+      RECT  7.795000  0.425000  8.125000 0.545000 ;
+      RECT  7.955000  2.085000  8.125000 2.375000 ;
+      RECT  8.055000  1.075000  8.275000 1.235000 ;
+      RECT  8.295000  0.595000  8.625000 0.780000 ;
+      RECT  8.445000  0.780000  8.625000 1.915000 ;
+      RECT  8.655000  2.255000 10.070000 2.635000 ;
+      RECT  8.795000  0.425000  8.965000 0.585000 ;
+      RECT  8.795000  0.755000  9.500000 0.925000 ;
+      RECT  8.795000  0.925000  9.070000 1.575000 ;
+      RECT  8.795000  1.575000  9.570000 1.745000 ;
+      RECT  9.280000  0.265000  9.500000 0.755000 ;
+      RECT  9.740000  0.085000 10.070000 0.805000 ;
+      RECT  9.900000  0.995000 10.140000 1.325000 ;
+      RECT  9.900000  1.325000 10.070000 1.915000 ;
+      RECT 10.680000  0.085000 10.910000 0.885000 ;
+      RECT 10.680000  1.465000 10.910000 2.635000 ;
+      RECT 11.215000  0.255000 11.470000 0.995000 ;
+      RECT 11.215000  0.995000 11.990000 1.325000 ;
+      RECT 11.215000  1.325000 11.470000 2.415000 ;
+      RECT 11.650000  0.085000 11.945000 0.545000 ;
+      RECT 11.650000  1.765000 11.945000 2.635000 ;
+      RECT 12.515000  0.085000 12.795000 0.885000 ;
+      RECT 12.515000  1.465000 12.795000 2.635000 ;
+    LAYER mcon ;
+      RECT  0.145000 -0.085000  0.315000 0.085000 ;
+      RECT  0.145000  2.635000  0.315000 2.805000 ;
+      RECT  0.605000 -0.085000  0.775000 0.085000 ;
+      RECT  0.605000  2.635000  0.775000 2.805000 ;
+      RECT  0.610000  0.765000  0.780000 0.935000 ;
+      RECT  1.065000 -0.085000  1.235000 0.085000 ;
+      RECT  1.065000  2.635000  1.235000 2.805000 ;
+      RECT  1.070000  1.785000  1.240000 1.955000 ;
+      RECT  1.525000 -0.085000  1.695000 0.085000 ;
+      RECT  1.525000  2.635000  1.695000 2.805000 ;
+      RECT  1.985000 -0.085000  2.155000 0.085000 ;
+      RECT  1.985000  2.635000  2.155000 2.805000 ;
+      RECT  2.445000 -0.085000  2.615000 0.085000 ;
+      RECT  2.445000  2.635000  2.615000 2.805000 ;
+      RECT  2.460000  1.785000  2.630000 1.955000 ;
+      RECT  2.900000  0.765000  3.070000 0.935000 ;
+      RECT  2.905000 -0.085000  3.075000 0.085000 ;
+      RECT  2.905000  2.635000  3.075000 2.805000 ;
+      RECT  3.365000 -0.085000  3.535000 0.085000 ;
+      RECT  3.365000  2.635000  3.535000 2.805000 ;
+      RECT  3.825000 -0.085000  3.995000 0.085000 ;
+      RECT  3.825000  2.635000  3.995000 2.805000 ;
+      RECT  4.285000 -0.085000  4.455000 0.085000 ;
+      RECT  4.285000  2.635000  4.455000 2.805000 ;
+      RECT  4.745000 -0.085000  4.915000 0.085000 ;
+      RECT  4.745000  2.635000  4.915000 2.805000 ;
+      RECT  5.205000 -0.085000  5.375000 0.085000 ;
+      RECT  5.205000  2.635000  5.375000 2.805000 ;
+      RECT  5.665000 -0.085000  5.835000 0.085000 ;
+      RECT  5.665000  2.635000  5.835000 2.805000 ;
+      RECT  5.680000  1.445000  5.850000 1.615000 ;
+      RECT  6.125000 -0.085000  6.295000 0.085000 ;
+      RECT  6.125000  2.635000  6.295000 2.805000 ;
+      RECT  6.140000  1.105000  6.310000 1.275000 ;
+      RECT  6.140000  1.785000  6.310000 1.955000 ;
+      RECT  6.585000 -0.085000  6.755000 0.085000 ;
+      RECT  6.585000  2.635000  6.755000 2.805000 ;
+      RECT  7.045000 -0.085000  7.215000 0.085000 ;
+      RECT  7.045000  2.635000  7.215000 2.805000 ;
+      RECT  7.505000 -0.085000  7.675000 0.085000 ;
+      RECT  7.505000  2.635000  7.675000 2.805000 ;
+      RECT  7.965000 -0.085000  8.135000 0.085000 ;
+      RECT  7.965000  2.635000  8.135000 2.805000 ;
+      RECT  8.425000 -0.085000  8.595000 0.085000 ;
+      RECT  8.425000  2.635000  8.595000 2.805000 ;
+      RECT  8.885000 -0.085000  9.055000 0.085000 ;
+      RECT  8.885000  2.635000  9.055000 2.805000 ;
+      RECT  8.900000  1.445000  9.070000 1.615000 ;
+      RECT  9.345000 -0.085000  9.515000 0.085000 ;
+      RECT  9.345000  2.635000  9.515000 2.805000 ;
+      RECT  9.805000 -0.085000  9.975000 0.085000 ;
+      RECT  9.805000  2.635000  9.975000 2.805000 ;
+      RECT 10.265000 -0.085000 10.435000 0.085000 ;
+      RECT 10.265000  2.635000 10.435000 2.805000 ;
+      RECT 10.725000 -0.085000 10.895000 0.085000 ;
+      RECT 10.725000  2.635000 10.895000 2.805000 ;
+      RECT 11.185000 -0.085000 11.355000 0.085000 ;
+      RECT 11.185000  2.635000 11.355000 2.805000 ;
+      RECT 11.645000 -0.085000 11.815000 0.085000 ;
+      RECT 11.645000  2.635000 11.815000 2.805000 ;
+      RECT 12.105000 -0.085000 12.275000 0.085000 ;
+      RECT 12.105000  2.635000 12.275000 2.805000 ;
+      RECT 12.565000 -0.085000 12.735000 0.085000 ;
+      RECT 12.565000  2.635000 12.735000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 0.735000 0.840000 0.780000 ;
+      RECT 0.550000 0.780000 3.130000 0.920000 ;
+      RECT 0.550000 0.920000 0.840000 0.965000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 6.370000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.400000 1.755000 2.690000 1.800000 ;
+      RECT 2.400000 1.940000 2.690000 1.985000 ;
+      RECT 2.840000 0.735000 3.130000 0.780000 ;
+      RECT 2.840000 0.920000 3.130000 0.965000 ;
+      RECT 2.935000 0.965000 3.130000 1.120000 ;
+      RECT 2.935000 1.120000 6.370000 1.260000 ;
+      RECT 5.620000 1.415000 5.910000 1.460000 ;
+      RECT 5.620000 1.460000 9.130000 1.600000 ;
+      RECT 5.620000 1.600000 5.910000 1.645000 ;
+      RECT 6.080000 1.075000 6.370000 1.120000 ;
+      RECT 6.080000 1.260000 6.370000 1.305000 ;
+      RECT 6.080000 1.755000 6.370000 1.800000 ;
+      RECT 6.080000 1.940000 6.370000 1.985000 ;
+      RECT 8.840000 1.415000 9.130000 1.460000 ;
+      RECT 8.840000 1.600000 9.130000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dfbbn_2
+MACRO sky130_fd_sc_hd__dlymetal6s2s_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.570000 1.700000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.429000 ;
+    ANTENNAGATEAREA  0.126000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.245000 0.255000 1.670000 0.825000 ;
+        RECT 1.245000 1.495000 2.150000 1.675000 ;
+        RECT 1.245000 1.675000 1.670000 2.465000 ;
+        RECT 1.320000 0.825000 1.670000 0.995000 ;
+        RECT 1.320000 0.995000 2.150000 1.495000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.120000 -0.085000 0.290000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.085000  0.255000 0.520000 0.655000 ;
+      RECT 0.085000  0.655000 1.075000 0.825000 ;
+      RECT 0.085000  1.870000 1.075000 2.040000 ;
+      RECT 0.085000  2.040000 0.520000 2.465000 ;
+      RECT 0.690000  0.085000 1.075000 0.485000 ;
+      RECT 0.690000  2.210000 1.075000 2.635000 ;
+      RECT 0.740000  0.825000 1.075000 0.995000 ;
+      RECT 0.740000  0.995000 1.150000 1.325000 ;
+      RECT 0.740000  1.325000 1.075000 1.870000 ;
+      RECT 1.840000  1.845000 2.670000 2.040000 ;
+      RECT 1.840000  2.040000 2.115000 2.465000 ;
+      RECT 1.860000  0.255000 2.115000 0.655000 ;
+      RECT 1.860000  0.655000 2.670000 0.825000 ;
+      RECT 2.285000  0.085000 2.670000 0.485000 ;
+      RECT 2.285000  2.210000 2.670000 2.635000 ;
+      RECT 2.320000  0.825000 2.670000 0.995000 ;
+      RECT 2.320000  0.995000 2.745000 1.325000 ;
+      RECT 2.320000  1.325000 2.670000 1.845000 ;
+      RECT 2.840000  0.255000 3.085000 0.825000 ;
+      RECT 2.840000  1.495000 3.565000 1.675000 ;
+      RECT 2.840000  1.675000 3.085000 2.465000 ;
+      RECT 2.915000  0.825000 3.085000 0.995000 ;
+      RECT 2.915000  0.995000 3.565000 1.495000 ;
+      RECT 3.275000  0.255000 3.530000 0.655000 ;
+      RECT 3.275000  0.655000 4.085000 0.825000 ;
+      RECT 3.275000  1.845000 4.085000 2.040000 ;
+      RECT 3.275000  2.040000 3.530000 2.465000 ;
+      RECT 3.700000  0.085000 4.085000 0.485000 ;
+      RECT 3.700000  2.210000 4.085000 2.635000 ;
+      RECT 3.735000  0.825000 4.085000 0.995000 ;
+      RECT 3.735000  0.995000 4.160000 1.325000 ;
+      RECT 3.735000  1.325000 4.085000 1.845000 ;
+      RECT 4.255000  0.255000 4.515000 0.825000 ;
+      RECT 4.255000  1.495000 4.515000 2.465000 ;
+      RECT 4.330000  0.825000 4.515000 1.495000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__dlymetal6s2s_1
+MACRO sky130_fd_sc_hd__nand3_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.680000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 0.995000 0.330000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.070000 1.075000 2.160000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.470000 1.075000 3.595000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.635000 0.845000 1.445000 ;
+        RECT 0.515000 1.445000 3.045000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.715000 1.665000 3.045000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.680000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.870000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.680000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.680000 0.085000 ;
+      RECT 0.000000  2.635000 3.680000 2.805000 ;
+      RECT 0.090000  0.295000 2.105000 0.465000 ;
+      RECT 0.090000  0.465000 0.345000 0.785000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.355000  0.635000 3.045000 0.905000 ;
+      RECT 1.855000  1.835000 2.545000 2.635000 ;
+      RECT 2.295000  0.085000 2.625000 0.465000 ;
+      RECT 3.215000  0.085000 3.595000 0.885000 ;
+      RECT 3.215000  1.445000 3.595000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_2
+MACRO sky130_fd_sc_hd__nand3_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.445000 0.995000 1.755000 1.325000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.865000 0.765000 1.240000 1.325000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 0.745000 0.330000 1.325000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  0.699000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 0.255000 1.745000 0.595000 ;
+        RECT 0.515000 0.595000 0.695000 1.495000 ;
+        RECT 0.515000 1.495000 1.745000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.415000 0.595000 1.745000 0.825000 ;
+        RECT 1.415000 1.665000 1.745000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.090000  0.085000 0.345000 0.575000 ;
+      RECT 0.090000  1.495000 0.345000 2.635000 ;
+      RECT 1.015000  1.835000 1.245000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_1
+MACRO sky130_fd_sc_hd__nand3_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__nand3_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.440000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.850000 1.075000 5.565000 1.275000 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.990000 1.075000 3.540000 1.275000 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.110000 1.075000 1.700000 1.275000 ;
+    END
+  END C
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.515000 1.445000 6.355000 1.665000 ;
+        RECT 0.515000 1.665000 0.845000 2.465000 ;
+        RECT 1.355000 1.665000 1.685000 2.465000 ;
+        RECT 2.195000 1.665000 2.525000 2.465000 ;
+        RECT 3.035000 1.665000 3.365000 2.465000 ;
+        RECT 4.395000 0.655000 6.355000 0.905000 ;
+        RECT 4.395000 1.665000 4.725000 2.465000 ;
+        RECT 5.235000 1.665000 5.565000 2.465000 ;
+        RECT 6.125000 0.905000 6.355000 1.445000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.440000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 6.630000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.440000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.440000 0.085000 ;
+      RECT 0.000000  2.635000 6.440000 2.805000 ;
+      RECT 0.090000  0.255000 0.425000 0.735000 ;
+      RECT 0.090000  0.735000 3.785000 0.905000 ;
+      RECT 0.090000  1.445000 0.345000 2.635000 ;
+      RECT 0.595000  0.085000 0.765000 0.565000 ;
+      RECT 0.935000  0.255000 1.265000 0.735000 ;
+      RECT 1.015000  1.835000 1.185000 2.635000 ;
+      RECT 1.435000  0.085000 1.605000 0.565000 ;
+      RECT 1.775000  0.655000 2.105000 0.735000 ;
+      RECT 1.855000  1.835000 2.025000 2.635000 ;
+      RECT 2.195000  0.255000 6.000000 0.485000 ;
+      RECT 2.615000  0.655000 2.945000 0.735000 ;
+      RECT 2.695000  1.835000 2.865000 2.635000 ;
+      RECT 3.455000  0.655000 3.785000 0.735000 ;
+      RECT 3.535000  1.835000 4.225000 2.635000 ;
+      RECT 4.895000  1.835000 5.065000 2.635000 ;
+      RECT 5.735000  1.835000 6.000000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+  END
+END sky130_fd_sc_hd__nand3_4
+MACRO sky130_fd_sc_hd__sdlclkp_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.891000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.500000 0.255000 6.830000 0.445000 ;
+        RECT 6.580000 0.445000 6.830000 0.715000 ;
+        RECT 6.580000 0.715000 7.220000 0.885000 ;
+        RECT 6.580000 1.485000 7.220000 1.655000 ;
+        RECT 6.580000 1.655000 6.830000 2.465000 ;
+        RECT 7.050000 0.885000 7.220000 1.055000 ;
+        RECT 7.050000 1.055000 8.195000 1.315000 ;
+        RECT 7.050000 1.315000 7.220000 1.485000 ;
+        RECT 7.420000 0.255000 7.720000 1.055000 ;
+        RECT 7.420000 1.315000 7.720000 2.465000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.345000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.406500 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.725000 0.995000 4.945000 1.325000 ;
+      LAYER mcon ;
+        RECT 4.770000 1.105000 4.940000 1.275000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.685000 0.995000 6.065000 1.325000 ;
+      LAYER mcon ;
+        RECT 5.710000 1.105000 5.880000 1.275000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 4.710000 1.075000 5.000000 1.120000 ;
+        RECT 4.710000 1.120000 5.940000 1.260000 ;
+        RECT 4.710000 1.260000 5.000000 1.305000 ;
+        RECT 5.650000 1.075000 5.940000 1.120000 ;
+        RECT 5.650000 1.260000 5.940000 1.305000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.515000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.595000  0.255000 3.925000 0.765000 ;
+      RECT 3.595000  0.765000 4.020000 0.935000 ;
+      RECT 3.595000  0.935000 3.765000 1.575000 ;
+      RECT 3.595000  1.575000 4.005000 1.745000 ;
+      RECT 3.595000  2.255000 5.515000 2.635000 ;
+      RECT 3.935000  1.105000 4.480000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.175000  1.275000 4.480000 1.495000 ;
+      RECT 4.175000  1.495000 4.975000 1.745000 ;
+      RECT 4.190000  0.615000 4.845000 0.785000 ;
+      RECT 4.190000  0.785000 4.480000 1.105000 ;
+      RECT 4.595000  0.255000 4.845000 0.615000 ;
+      RECT 5.015000  0.255000 5.435000 0.615000 ;
+      RECT 5.015000  0.615000 6.410000 0.785000 ;
+      RECT 5.165000  0.995000 5.515000 1.915000 ;
+      RECT 5.605000  0.085000 6.330000 0.445000 ;
+      RECT 5.685000  1.495000 6.410000 2.085000 ;
+      RECT 5.685000  2.085000 5.855000 2.465000 ;
+      RECT 6.055000  2.255000 6.385000 2.635000 ;
+      RECT 6.240000  0.785000 6.410000 1.055000 ;
+      RECT 6.240000  1.055000 6.880000 1.315000 ;
+      RECT 6.240000  1.315000 6.410000 1.495000 ;
+      RECT 7.000000  0.085000 7.250000 0.545000 ;
+      RECT 7.000000  1.825000 7.250000 2.635000 ;
+      RECT 7.890000  0.085000 8.195000 0.885000 ;
+      RECT 7.890000  1.485000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.850000  0.765000 4.020000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.310000  1.445000 4.480000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.540000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.080000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.790000 0.735000 4.080000 0.780000 ;
+      RECT 3.790000 0.920000 4.080000 0.965000 ;
+      RECT 4.250000 1.415000 4.540000 1.460000 ;
+      RECT 4.250000 1.600000 4.540000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_4
+MACRO sky130_fd_sc_hd__sdlclkp_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  6.900000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.850000 0.955000 1.190000 1.325000 ;
+        RECT 0.880000 1.325000 1.190000 1.445000 ;
+        RECT 0.880000 1.445000 1.235000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.530000 0.255000 6.815000 0.825000 ;
+        RECT 6.530000 1.495000 6.815000 2.465000 ;
+        RECT 6.645000 0.825000 6.815000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.710000 0.955000 6.010000 1.265000 ;
+        RECT 4.710000 1.265000 4.930000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 6.900000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.090000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 6.900000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 6.900000 0.085000 ;
+      RECT 0.000000  2.635000 6.900000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.190000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.510000  0.785000 0.680000 1.460000 ;
+      RECT 0.510000  1.460000 0.710000 1.755000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.540000  1.755000 0.710000 2.125000 ;
+      RECT 0.540000  2.125000 1.255000 2.465000 ;
+      RECT 1.015000  0.255000 1.190000 0.615000 ;
+      RECT 1.360000  0.255000 2.495000 0.535000 ;
+      RECT 1.360000  0.705000 1.700000 1.205000 ;
+      RECT 1.360000  1.205000 1.860000 1.325000 ;
+      RECT 1.405000  1.325000 1.860000 1.955000 ;
+      RECT 1.425000  2.125000 2.200000 2.465000 ;
+      RECT 1.870000  0.705000 2.155000 1.035000 ;
+      RECT 2.030000  1.205000 3.010000 1.375000 ;
+      RECT 2.030000  1.375000 2.200000 2.125000 ;
+      RECT 2.325000  0.535000 2.495000 0.995000 ;
+      RECT 2.325000  0.995000 3.010000 1.205000 ;
+      RECT 2.370000  1.575000 2.540000 1.635000 ;
+      RECT 2.370000  1.635000 3.400000 1.905000 ;
+      RECT 2.370000  2.075000 3.010000 2.635000 ;
+      RECT 2.665000  0.085000 3.010000 0.825000 ;
+      RECT 3.180000  0.255000 3.400000 1.635000 ;
+      RECT 3.180000  1.905000 3.400000 1.915000 ;
+      RECT 3.180000  1.915000 5.450000 2.085000 ;
+      RECT 3.180000  2.085000 3.400000 2.465000 ;
+      RECT 3.580000  0.255000 3.910000 0.765000 ;
+      RECT 3.580000  0.765000 4.005000 0.935000 ;
+      RECT 3.580000  0.935000 3.750000 1.575000 ;
+      RECT 3.580000  1.575000 3.990000 1.745000 ;
+      RECT 3.580000  2.255000 5.490000 2.635000 ;
+      RECT 3.920000  1.105000 4.465000 1.275000 ;
+      RECT 4.080000  0.085000 4.410000 0.445000 ;
+      RECT 4.160000  1.275000 4.465000 1.495000 ;
+      RECT 4.160000  1.495000 4.960000 1.745000 ;
+      RECT 4.175000  0.615000 4.830000 0.785000 ;
+      RECT 4.175000  0.785000 4.465000 1.105000 ;
+      RECT 4.580000  0.255000 4.830000 0.615000 ;
+      RECT 5.010000  0.255000 5.270000 0.615000 ;
+      RECT 5.010000  0.615000 6.360000 0.785000 ;
+      RECT 5.140000  1.435000 5.610000 1.605000 ;
+      RECT 5.140000  1.605000 5.450000 1.915000 ;
+      RECT 5.505000  0.085000 6.360000 0.445000 ;
+      RECT 5.660000  1.775000 6.360000 2.085000 ;
+      RECT 5.660000  2.085000 5.830000 2.465000 ;
+      RECT 5.780000  1.435000 6.360000 1.775000 ;
+      RECT 6.030000  2.255000 6.360000 2.635000 ;
+      RECT 6.190000  0.785000 6.360000 0.995000 ;
+      RECT 6.190000  0.995000 6.460000 1.325000 ;
+      RECT 6.190000  1.325000 6.360000 1.435000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  1.445000 1.695000 1.615000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  0.765000 2.155000 0.935000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.835000  0.765000 4.005000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.295000  1.445000 4.465000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.465000 1.415000 1.755000 1.460000 ;
+      RECT 1.465000 1.460000 4.525000 1.600000 ;
+      RECT 1.465000 1.600000 1.755000 1.645000 ;
+      RECT 1.925000 0.735000 2.215000 0.780000 ;
+      RECT 1.925000 0.780000 4.065000 0.920000 ;
+      RECT 1.925000 0.920000 2.215000 0.965000 ;
+      RECT 3.775000 0.735000 4.065000 0.780000 ;
+      RECT 3.775000 0.920000 4.065000 0.965000 ;
+      RECT 4.235000 1.415000 4.525000 1.460000 ;
+      RECT 4.235000 1.600000 4.525000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_1
+MACRO sky130_fd_sc_hd__sdlclkp_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.360000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN GATE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.855000 0.955000 1.195000 1.445000 ;
+        RECT 0.855000 1.445000 1.240000 1.955000 ;
+    END
+  END GATE
+  PIN GCLK
+    ANTENNADIFFAREA  0.445500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.570000 0.255000 6.840000 0.825000 ;
+        RECT 6.570000 1.495000 6.840000 2.465000 ;
+        RECT 6.670000 0.825000 6.840000 1.055000 ;
+        RECT 6.670000 1.055000 7.275000 1.315000 ;
+        RECT 6.670000 1.315000 6.840000 1.495000 ;
+    END
+  END GCLK
+  PIN SCE
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.955000 0.340000 1.665000 ;
+    END
+  END SCE
+  PIN CLK
+    ANTENNAGATEAREA  0.318000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 4.705000 0.955000 6.050000 1.265000 ;
+        RECT 4.705000 1.265000 4.925000 1.325000 ;
+    END
+  END CLK
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.360000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 7.550000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.360000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.360000 0.085000 ;
+      RECT 0.000000  2.635000 7.360000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.615000 ;
+      RECT 0.085000  0.615000 1.195000 0.785000 ;
+      RECT 0.085000  1.835000 0.345000 2.635000 ;
+      RECT 0.515000  0.085000 0.845000 0.445000 ;
+      RECT 0.515000  0.785000 0.685000 2.125000 ;
+      RECT 0.515000  2.125000 1.260000 2.465000 ;
+      RECT 1.015000  0.255000 1.195000 0.615000 ;
+      RECT 1.365000  0.255000 2.500000 0.535000 ;
+      RECT 1.365000  0.705000 1.705000 1.205000 ;
+      RECT 1.365000  1.205000 1.865000 1.325000 ;
+      RECT 1.410000  1.325000 1.865000 1.955000 ;
+      RECT 1.430000  2.125000 2.205000 2.465000 ;
+      RECT 1.875000  0.705000 2.160000 1.035000 ;
+      RECT 2.035000  1.205000 3.015000 1.375000 ;
+      RECT 2.035000  1.375000 2.205000 2.125000 ;
+      RECT 2.330000  0.535000 2.500000 0.995000 ;
+      RECT 2.330000  0.995000 3.015000 1.205000 ;
+      RECT 2.375000  1.575000 2.545000 1.635000 ;
+      RECT 2.375000  1.635000 3.405000 1.905000 ;
+      RECT 2.375000  2.075000 3.015000 2.635000 ;
+      RECT 2.670000  0.085000 3.015000 0.825000 ;
+      RECT 3.185000  0.255000 3.405000 1.635000 ;
+      RECT 3.185000  1.905000 3.405000 1.915000 ;
+      RECT 3.185000  1.915000 5.490000 2.085000 ;
+      RECT 3.185000  2.085000 3.405000 2.465000 ;
+      RECT 3.575000  0.255000 3.925000 0.765000 ;
+      RECT 3.575000  0.765000 4.000000 0.935000 ;
+      RECT 3.575000  0.935000 3.745000 1.575000 ;
+      RECT 3.575000  1.575000 4.040000 1.745000 ;
+      RECT 3.575000  2.255000 5.530000 2.635000 ;
+      RECT 3.915000  1.105000 4.460000 1.275000 ;
+      RECT 4.095000  0.085000 4.425000 0.445000 ;
+      RECT 4.170000  0.615000 4.825000 0.785000 ;
+      RECT 4.170000  0.785000 4.460000 1.105000 ;
+      RECT 4.210000  1.275000 4.460000 1.495000 ;
+      RECT 4.210000  1.495000 5.010000 1.745000 ;
+      RECT 4.595000  0.255000 4.825000 0.615000 ;
+      RECT 5.100000  0.255000 5.310000 0.615000 ;
+      RECT 5.100000  0.615000 6.400000 0.785000 ;
+      RECT 5.180000  1.435000 5.650000 1.605000 ;
+      RECT 5.180000  1.605000 5.490000 1.915000 ;
+      RECT 5.490000  0.085000 6.400000 0.445000 ;
+      RECT 5.700000  1.775000 6.400000 2.085000 ;
+      RECT 5.700000  2.085000 5.870000 2.465000 ;
+      RECT 5.820000  1.435000 6.400000 1.775000 ;
+      RECT 6.070000  2.255000 6.400000 2.635000 ;
+      RECT 6.230000  0.785000 6.400000 0.995000 ;
+      RECT 6.230000  0.995000 6.500000 1.325000 ;
+      RECT 6.230000  1.325000 6.400000 1.435000 ;
+      RECT 7.010000  0.085000 7.275000 0.885000 ;
+      RECT 7.010000  1.485000 7.275000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.530000  1.445000 1.700000 1.615000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 1.990000  0.765000 2.160000 0.935000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 3.830000  0.765000 4.000000 0.935000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.290000  1.445000 4.460000 1.615000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+    LAYER met1 ;
+      RECT 1.470000 1.415000 1.760000 1.460000 ;
+      RECT 1.470000 1.460000 4.520000 1.600000 ;
+      RECT 1.470000 1.600000 1.760000 1.645000 ;
+      RECT 1.930000 0.735000 2.220000 0.780000 ;
+      RECT 1.930000 0.780000 4.060000 0.920000 ;
+      RECT 1.930000 0.920000 2.220000 0.965000 ;
+      RECT 3.770000 0.735000 4.060000 0.780000 ;
+      RECT 3.770000 0.920000 4.060000 0.965000 ;
+      RECT 4.230000 1.415000 4.520000 1.460000 ;
+      RECT 4.230000 1.600000 4.520000 1.645000 ;
+  END
+END sky130_fd_sc_hd__sdlclkp_2
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.755000 0.775000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.795200 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.345000 1.305000 0.735000 ;
+        RECT 1.010000 0.735000 2.660000 0.905000 ;
+        RECT 1.025000 1.835000 2.165000 1.965000 ;
+        RECT 1.025000 1.965000 1.390000 1.970000 ;
+        RECT 1.025000 1.970000 1.385000 1.975000 ;
+        RECT 1.025000 1.975000 1.370000 1.980000 ;
+        RECT 1.025000 1.980000 1.330000 2.000000 ;
+        RECT 1.025000 2.000000 1.325000 2.005000 ;
+        RECT 1.025000 2.005000 1.265000 2.465000 ;
+        RECT 1.185000 1.825000 2.165000 1.835000 ;
+        RECT 1.195000 1.820000 2.165000 1.825000 ;
+        RECT 1.205000 1.815000 2.165000 1.820000 ;
+        RECT 1.215000 1.805000 2.165000 1.815000 ;
+        RECT 1.245000 1.785000 2.165000 1.805000 ;
+        RECT 1.270000 1.750000 2.165000 1.785000 ;
+        RECT 1.905000 0.345000 2.165000 0.735000 ;
+        RECT 1.905000 1.415000 2.660000 1.585000 ;
+        RECT 1.905000 1.585000 2.165000 1.750000 ;
+        RECT 1.935000 1.965000 2.165000 2.465000 ;
+        RECT 2.255000 0.905000 2.660000 1.415000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.835000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.435000 2.140000 1.765000 2.465000 ;
+        RECT 2.335000 1.765000 2.620000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+        RECT 2.375000 2.125000 2.545000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 2.690000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+        RECT 2.315000 2.080000 2.605000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.085000  0.255000 0.385000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.495000 ;
+      RECT 0.085000  1.495000 1.115000 1.665000 ;
+      RECT 0.085000  1.665000 0.355000 2.465000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.945000  1.075000 2.085000 1.245000 ;
+      RECT 0.945000  1.245000 1.115000 1.495000 ;
+      RECT 1.475000  0.085000 1.730000 0.565000 ;
+      RECT 2.335000  0.085000 2.615000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.200000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.852000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.765000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  3.180800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 0.735000 9.025000 0.905000 ;
+        RECT 2.315000 1.495000 9.025000 1.720000 ;
+        RECT 2.315000 1.720000 7.685000 1.735000 ;
+        RECT 2.315000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+        RECT 4.845000 0.280000 5.120000 0.735000 ;
+        RECT 4.860000 1.735000 5.120000 2.460000 ;
+        RECT 5.705000 0.280000 5.965000 0.735000 ;
+        RECT 5.705000 1.735000 5.965000 2.460000 ;
+        RECT 6.565000 0.280000 6.825000 0.735000 ;
+        RECT 6.565000 1.735000 6.825000 2.460000 ;
+        RECT 7.425000 0.280000 7.685000 0.735000 ;
+        RECT 7.425000 1.735000 7.685000 2.460000 ;
+        RECT 7.860000 0.905000 9.025000 1.495000 ;
+        RECT 8.295000 0.280000 8.555000 0.735000 ;
+        RECT 8.295000 1.720000 8.585000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.495000 0.425000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.955000 1.495000 1.285000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.815000 1.495000 2.145000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.690000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.290000 1.905000 5.535000 2.465000 ;
+      LAYER mcon ;
+        RECT 5.335000 2.125000 5.505000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.150000 1.905000 6.395000 2.465000 ;
+      LAYER mcon ;
+        RECT 6.195000 2.125000 6.365000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.010000 1.905000 7.255000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.050000 2.125000 7.220000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.870000 1.905000 8.125000 2.465000 ;
+      LAYER mcon ;
+        RECT 7.900000 2.125000 8.070000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.755000 1.890000 9.025000 2.465000 ;
+      LAYER mcon ;
+        RECT 8.780000 2.125000 8.950000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 9.130000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+        RECT 5.275000 2.080000 5.565000 2.140000 ;
+        RECT 6.135000 2.080000 6.425000 2.140000 ;
+        RECT 6.990000 2.080000 7.280000 2.140000 ;
+        RECT 7.840000 2.080000 8.130000 2.140000 ;
+        RECT 8.720000 2.080000 9.010000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.200000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.390000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.200000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.200000 0.085000 ;
+      RECT 0.000000  2.635000 9.200000 2.805000 ;
+      RECT 0.085000  0.085000 0.390000 0.595000 ;
+      RECT 0.595000  0.265000 0.820000 1.075000 ;
+      RECT 0.595000  1.075000 7.690000 1.325000 ;
+      RECT 0.595000  1.325000 0.785000 2.465000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.430000  0.265000 1.680000 1.075000 ;
+      RECT 1.455000  1.325000 1.645000 2.460000 ;
+      RECT 1.850000  0.085000 2.110000 0.645000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.675000 0.565000 ;
+      RECT 5.290000  0.085000 5.535000 0.565000 ;
+      RECT 6.145000  0.085000 6.395000 0.565000 ;
+      RECT 7.005000  0.085000 7.255000 0.565000 ;
+      RECT 7.865000  0.085000 8.125000 0.565000 ;
+      RECT 8.725000  0.085000 9.025000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.840000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.213000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.425000 0.745000 0.785000 1.240000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.383400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.040000 0.255000 1.245000 0.655000 ;
+        RECT 1.040000 0.655000 1.725000 0.825000 ;
+        RECT 1.060000 1.750000 1.725000 1.970000 ;
+        RECT 1.060000 1.970000 1.245000 2.435000 ;
+        RECT 1.385000 0.825000 1.725000 1.750000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.855000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.415000 2.140000 1.750000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.495000 2.140000 1.665000 2.310000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.770000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+        RECT 1.435000 2.080000 1.725000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.840000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.030000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.840000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.840000 0.085000 ;
+      RECT 0.000000  2.635000 1.840000 2.805000 ;
+      RECT 0.085000  0.255000 0.345000 0.585000 ;
+      RECT 0.085000  0.585000 0.255000 1.410000 ;
+      RECT 0.085000  1.410000 1.215000 1.580000 ;
+      RECT 0.085000  1.580000 0.355000 2.435000 ;
+      RECT 0.555000  0.085000 0.830000 0.565000 ;
+      RECT 0.965000  0.995000 1.215000 1.410000 ;
+      RECT 1.415000  0.085000 1.750000 0.485000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  1.380000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.196500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.945000 0.985000 1.275000 1.355000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  0.340600 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.255000 0.345000 0.760000 ;
+        RECT 0.085000 0.760000 0.255000 1.560000 ;
+        RECT 0.085000 1.560000 0.355000 2.465000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.525000 1.875000 0.855000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.610000 2.125000 0.780000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 1.310000 2.340000 ;
+        RECT 0.550000 2.080000 0.840000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 1.380000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 1.570000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 1.380000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 1.380000 0.085000 ;
+      RECT 0.000000  2.635000 1.380000 2.805000 ;
+      RECT 0.425000  1.060000 0.710000 1.390000 ;
+      RECT 0.525000  0.085000 0.855000 0.465000 ;
+      RECT 0.540000  0.635000 1.205000 0.805000 ;
+      RECT 0.540000  0.805000 0.710000 1.060000 ;
+      RECT 0.540000  1.390000 0.710000 1.535000 ;
+      RECT 0.540000  1.535000 1.205000 1.705000 ;
+      RECT 1.035000  0.255000 1.205000 0.635000 ;
+      RECT 1.035000  1.705000 1.205000 2.465000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
+MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.060000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A
+    ANTENNAGATEAREA  0.426000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.715000 0.400000 1.325000 ;
+    END
+  END A
+  PIN X
+    ANTENNADIFFAREA  1.590400 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.420000 0.280000 1.680000 0.735000 ;
+        RECT 1.420000 0.735000 4.730000 0.905000 ;
+        RECT 1.420000 1.495000 4.730000 1.735000 ;
+        RECT 1.420000 1.735000 1.680000 2.460000 ;
+        RECT 2.280000 0.280000 2.540000 0.735000 ;
+        RECT 2.280000 1.735000 2.540000 2.460000 ;
+        RECT 3.140000 0.280000 3.400000 0.735000 ;
+        RECT 3.140000 1.735000 3.400000 2.460000 ;
+        RECT 3.760000 0.905000 4.730000 1.495000 ;
+        RECT 4.000000 0.280000 4.260000 0.735000 ;
+        RECT 4.000000 1.735000 4.260000 2.460000 ;
+    END
+  END X
+  PIN KAPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.095000 1.525000 0.390000 2.465000 ;
+      LAYER mcon ;
+        RECT 0.175000 2.125000 0.345000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.990000 1.525000 1.250000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.035000 2.125000 1.205000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.850000 1.905000 2.110000 2.465000 ;
+      LAYER mcon ;
+        RECT 1.890000 2.125000 2.060000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.710000 1.905000 2.970000 2.465000 ;
+      LAYER mcon ;
+        RECT 2.740000 2.125000 2.910000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.570000 1.905000 3.830000 2.465000 ;
+      LAYER mcon ;
+        RECT 3.620000 2.125000 3.790000 2.295000 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.430000 1.905000 4.725000 2.465000 ;
+      LAYER mcon ;
+        RECT 4.480000 2.125000 4.650000 2.295000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.070000 2.140000 4.990000 2.340000 ;
+        RECT 0.115000 2.080000 0.405000 2.140000 ;
+        RECT 0.975000 2.080000 1.265000 2.140000 ;
+        RECT 1.830000 2.080000 2.120000 2.140000 ;
+        RECT 2.680000 2.080000 2.970000 2.140000 ;
+        RECT 3.560000 2.080000 3.850000 2.140000 ;
+        RECT 4.420000 2.080000 4.710000 2.140000 ;
+    END
+  END KAPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.060000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.250000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.060000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.060000 0.085000 ;
+      RECT 0.000000  2.635000 5.060000 2.805000 ;
+      RECT 0.145000  0.085000 0.390000 0.545000 ;
+      RECT 0.570000  0.265000 0.820000 1.075000 ;
+      RECT 0.570000  1.075000 3.590000 1.325000 ;
+      RECT 0.570000  1.325000 0.820000 2.460000 ;
+      RECT 0.990000  0.085000 1.250000 0.610000 ;
+      RECT 1.850000  0.085000 2.110000 0.565000 ;
+      RECT 2.710000  0.085000 2.970000 0.565000 ;
+      RECT 3.570000  0.085000 3.830000 0.565000 ;
+      RECT 4.430000  0.085000 4.730000 0.565000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+  END
+END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
+MACRO sky130_fd_sc_hd__o221ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  5.520000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.430000 1.075000 3.760000 1.445000 ;
+        RECT 3.430000 1.445000 4.815000 1.615000 ;
+        RECT 4.645000 1.075000 5.435000 1.275000 ;
+        RECT 4.645000 1.275000 4.815000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.980000 1.075000 4.475000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.020000 1.075000 2.035000 1.445000 ;
+        RECT 1.020000 1.445000 3.260000 1.615000 ;
+        RECT 2.930000 1.075000 3.260000 1.445000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.205000 1.075000 2.760000 1.275000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 1.075000 0.435000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.985500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.520000 0.645000 0.850000 0.865000 ;
+        RECT 0.560000 1.445000 0.850000 1.785000 ;
+        RECT 0.560000 1.785000 4.350000 1.955000 ;
+        RECT 0.560000 1.955000 0.810000 2.465000 ;
+        RECT 0.605000 0.865000 0.850000 1.445000 ;
+        RECT 2.340000 1.955000 2.590000 2.125000 ;
+        RECT 4.100000 1.955000 4.350000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 5.520000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 5.710000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 5.520000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 5.520000 0.085000 ;
+      RECT 0.000000  2.635000 5.520000 2.805000 ;
+      RECT 0.100000  0.255000 1.270000 0.475000 ;
+      RECT 0.100000  0.475000 0.350000 0.895000 ;
+      RECT 0.140000  1.455000 0.390000 2.635000 ;
+      RECT 0.980000  2.125000 1.750000 2.635000 ;
+      RECT 1.020000  0.475000 1.270000 0.645000 ;
+      RECT 1.020000  0.645000 3.050000 0.905000 ;
+      RECT 1.460000  0.255000 3.550000 0.475000 ;
+      RECT 1.920000  2.125000 2.170000 2.295000 ;
+      RECT 1.920000  2.295000 3.010000 2.465000 ;
+      RECT 2.760000  2.125000 3.010000 2.295000 ;
+      RECT 3.180000  2.125000 3.510000 2.635000 ;
+      RECT 3.220000  0.475000 3.550000 0.735000 ;
+      RECT 3.220000  0.735000 5.230000 0.905000 ;
+      RECT 3.680000  2.125000 3.930000 2.295000 ;
+      RECT 3.680000  2.295000 4.770000 2.465000 ;
+      RECT 3.720000  0.085000 3.890000 0.555000 ;
+      RECT 4.060000  0.255000 4.390000 0.725000 ;
+      RECT 4.060000  0.725000 5.230000 0.735000 ;
+      RECT 4.520000  1.785000 4.770000 2.295000 ;
+      RECT 4.560000  0.085000 4.730000 0.555000 ;
+      RECT 4.900000  0.255000 5.230000 0.725000 ;
+      RECT 4.985000  1.455000 5.190000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_2
+MACRO sky130_fd_sc_hd__o221ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  3.220000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.675000 1.075000 3.135000 1.275000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.165000 1.075000 2.505000 1.245000 ;
+        RECT 2.295000 1.245000 2.505000 1.445000 ;
+        RECT 2.295000 1.445000 2.675000 1.615000 ;
+        RECT 2.465000 1.615000 2.675000 2.405000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.010000 0.995000 1.355000 1.325000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.525000 0.995000 1.985000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.995000 0.465000 1.325000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  0.899000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.365000 0.345000 0.645000 ;
+        RECT 0.085000 0.645000 0.840000 0.825000 ;
+        RECT 0.085000 1.495000 2.125000 1.705000 ;
+        RECT 0.085000 1.705000 0.365000 2.465000 ;
+        RECT 0.635000 0.825000 0.840000 1.495000 ;
+        RECT 1.735000 1.705000 2.125000 1.785000 ;
+        RECT 1.735000 1.785000 2.245000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 3.220000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 3.410000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 3.220000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 3.220000 0.085000 ;
+      RECT 0.000000  2.635000 3.220000 2.805000 ;
+      RECT 0.515000  0.305000 1.775000 0.475000 ;
+      RECT 0.550000  1.875000 1.340000 2.635000 ;
+      RECT 1.010000  0.645000 2.220000 0.695000 ;
+      RECT 1.010000  0.695000 3.135000 0.825000 ;
+      RECT 1.945000  0.280000 2.220000 0.645000 ;
+      RECT 2.105000  0.825000 3.135000 0.865000 ;
+      RECT 2.455000  0.085000 2.625000 0.525000 ;
+      RECT 2.795000  0.280000 3.135000 0.695000 ;
+      RECT 2.875000  1.455000 3.135000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_1
+MACRO sky130_fd_sc_hd__o221ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  9.660000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.965000 1.075000 6.295000 1.445000 ;
+        RECT 5.965000 1.445000 8.420000 1.615000 ;
+        RECT 8.155000 1.075000 9.575000 1.275000 ;
+        RECT 8.155000 1.275000 8.420000 1.445000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.475000 1.075000 7.885000 1.275000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.360000 1.075000 4.505000 1.275000 ;
+        RECT 4.335000 1.275000 4.505000 1.495000 ;
+        RECT 4.335000 1.495000 5.795000 1.665000 ;
+        RECT 5.465000 1.075000 5.795000 1.495000 ;
+    END
+  END B1
+  PIN B2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.675000 0.995000 5.285000 1.325000 ;
+    END
+  END B2
+  PIN C1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 1.750000 1.275000 ;
+    END
+  END C1
+  PIN Y
+    ANTENNADIFFAREA  1.971000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.535000 0.645000 2.125000 0.865000 ;
+        RECT 0.575000 1.445000 4.165000 1.615000 ;
+        RECT 0.575000 1.615000 0.825000 2.465000 ;
+        RECT 1.415000 1.615000 2.125000 1.955000 ;
+        RECT 1.415000 1.955000 1.665000 2.465000 ;
+        RECT 1.920000 0.865000 2.125000 1.445000 ;
+        RECT 3.995000 1.615000 4.165000 1.835000 ;
+        RECT 3.995000 1.835000 7.725000 1.955000 ;
+        RECT 3.995000 1.955000 6.885000 2.005000 ;
+        RECT 3.995000 2.005000 4.285000 2.125000 ;
+        RECT 4.875000 2.005000 5.085000 2.125000 ;
+        RECT 5.965000 1.785000 7.725000 1.835000 ;
+        RECT 6.675000 2.005000 6.885000 2.125000 ;
+        RECT 7.475000 1.955000 7.725000 2.125000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 9.660000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 9.850000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 9.660000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 9.660000 0.085000 ;
+      RECT 0.000000  2.635000 9.660000 2.805000 ;
+      RECT 0.115000  0.255000 5.585000 0.475000 ;
+      RECT 0.115000  0.475000 0.365000 0.895000 ;
+      RECT 0.155000  1.485000 0.405000 2.635000 ;
+      RECT 0.995000  1.825000 1.245000 2.635000 ;
+      RECT 1.835000  2.125000 2.605000 2.635000 ;
+      RECT 2.315000  0.645000 6.085000 0.735000 ;
+      RECT 2.315000  0.735000 9.445000 0.820000 ;
+      RECT 2.775000  1.785000 3.825000 1.955000 ;
+      RECT 2.775000  1.955000 3.025000 2.465000 ;
+      RECT 3.195000  2.125000 3.445000 2.635000 ;
+      RECT 3.615000  1.955000 3.825000 2.295000 ;
+      RECT 3.615000  2.295000 5.585000 2.465000 ;
+      RECT 4.455000  2.175000 4.705000 2.295000 ;
+      RECT 5.255000  2.175000 5.585000 2.295000 ;
+      RECT 5.465000  0.820000 9.445000 0.905000 ;
+      RECT 5.755000  0.255000 6.085000 0.645000 ;
+      RECT 5.755000  2.175000 6.005000 2.635000 ;
+      RECT 6.175000  2.175000 6.505000 2.295000 ;
+      RECT 6.175000  2.295000 8.145000 2.465000 ;
+      RECT 6.255000  0.085000 6.425000 0.555000 ;
+      RECT 6.595000  0.255000 6.925000 0.725000 ;
+      RECT 6.595000  0.725000 7.765000 0.735000 ;
+      RECT 7.055000  2.125000 7.305000 2.295000 ;
+      RECT 7.095000  0.085000 7.265000 0.555000 ;
+      RECT 7.435000  0.255000 7.765000 0.725000 ;
+      RECT 7.895000  1.785000 8.985000 1.955000 ;
+      RECT 7.895000  1.955000 8.145000 2.295000 ;
+      RECT 7.935000  0.085000 8.105000 0.555000 ;
+      RECT 8.275000  0.255000 8.605000 0.725000 ;
+      RECT 8.275000  0.725000 9.445000 0.735000 ;
+      RECT 8.315000  2.125000 8.565000 2.635000 ;
+      RECT 8.735000  1.445000 8.985000 1.785000 ;
+      RECT 8.735000  1.955000 8.985000 2.465000 ;
+      RECT 8.775000  0.085000 8.945000 0.555000 ;
+      RECT 9.115000  0.255000 9.445000 0.725000 ;
+      RECT 9.155000  1.445000 9.405000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+      RECT 8.425000 -0.085000 8.595000 0.085000 ;
+      RECT 8.425000  2.635000 8.595000 2.805000 ;
+      RECT 8.885000 -0.085000 9.055000 0.085000 ;
+      RECT 8.885000  2.635000 9.055000 2.805000 ;
+      RECT 9.345000 -0.085000 9.515000 0.085000 ;
+      RECT 9.345000  2.635000 9.515000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o221ai_4
+MACRO sky130_fd_sc_hd__dlrbn_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.060000 0.255000 6.380000 2.465000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.429000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.475000 0.255000 7.735000 0.595000 ;
+        RECT 7.475000 1.785000 7.735000 2.465000 ;
+        RECT 7.560000 0.595000 7.735000 1.785000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.470000 0.995000 5.455000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.610000  0.805000 0.780000 1.070000 ;
+      RECT 0.610000  1.070000 0.840000 1.400000 ;
+      RECT 0.610000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.300000 1.165000 ;
+      RECT 3.480000  1.165000 4.300000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.530000 ;
+      RECT 3.820000  2.135000 4.090000 2.635000 ;
+      RECT 3.840000  1.535000 5.875000 1.765000 ;
+      RECT 3.840000  1.765000 4.970000 1.865000 ;
+      RECT 4.240000  0.255000 4.540000 0.655000 ;
+      RECT 4.240000  0.655000 5.875000 0.825000 ;
+      RECT 4.260000  2.135000 4.590000 2.635000 ;
+      RECT 4.760000  1.865000 4.970000 2.435000 ;
+      RECT 5.135000  0.085000 5.875000 0.485000 ;
+      RECT 5.150000  1.935000 5.890000 2.635000 ;
+      RECT 5.625000  0.825000 5.875000 1.535000 ;
+      RECT 6.580000  0.255000 6.750000 0.985000 ;
+      RECT 6.580000  0.985000 6.830000 0.995000 ;
+      RECT 6.580000  0.995000 7.390000 1.325000 ;
+      RECT 6.580000  1.325000 6.830000 2.465000 ;
+      RECT 6.975000  0.085000 7.305000 0.465000 ;
+      RECT 7.010000  1.835000 7.305000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_1
+MACRO sky130_fd_sc_hd__dlrbn_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  8.280000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN D
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.460000 0.955000 1.790000 1.325000 ;
+    END
+  END D
+  PIN Q
+    ANTENNADIFFAREA  0.536250 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 5.650000 0.415000 5.910000 0.655000 ;
+        RECT 5.650000 0.655000 5.950000 0.685000 ;
+        RECT 5.650000 0.685000 5.975000 0.825000 ;
+        RECT 5.650000 1.495000 5.975000 1.660000 ;
+        RECT 5.650000 1.660000 5.915000 2.465000 ;
+        RECT 5.740000 0.825000 5.975000 0.860000 ;
+        RECT 5.790000 0.860000 5.975000 0.885000 ;
+        RECT 5.790000 0.885000 6.355000 1.325000 ;
+        RECT 5.790000 1.325000 5.975000 1.495000 ;
+    END
+  END Q
+  PIN Q_N
+    ANTENNADIFFAREA  0.453750 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 7.500000 0.255000 7.755000 0.825000 ;
+        RECT 7.500000 1.445000 7.755000 2.465000 ;
+        RECT 7.545000 0.825000 7.755000 1.055000 ;
+        RECT 7.545000 1.055000 8.195000 1.325000 ;
+        RECT 7.545000 1.325000 7.755000 1.445000 ;
+    END
+  END Q_N
+  PIN RESET_B
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.390000 0.995000 5.140000 1.325000 ;
+    END
+  END RESET_B
+  PIN GATE_N
+    ANTENNAGATEAREA  0.159000 ;
+    DIRECTION INPUT ;
+    USE CLOCK ;
+    PORT
+      LAYER li1 ;
+        RECT 0.085000 0.985000 0.330000 1.625000 ;
+    END
+  END GATE_N
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 8.280000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.470000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 8.280000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 8.280000 0.085000 ;
+      RECT 0.000000  2.635000 8.280000 2.805000 ;
+      RECT 0.085000  0.345000 0.345000 0.635000 ;
+      RECT 0.085000  0.635000 0.780000 0.805000 ;
+      RECT 0.085000  1.795000 0.780000 1.965000 ;
+      RECT 0.085000  1.965000 0.345000 2.465000 ;
+      RECT 0.515000  0.085000 0.845000 0.465000 ;
+      RECT 0.515000  2.135000 0.845000 2.635000 ;
+      RECT 0.605000  0.805000 0.780000 1.070000 ;
+      RECT 0.605000  1.070000 0.840000 1.400000 ;
+      RECT 0.605000  1.400000 0.780000 1.795000 ;
+      RECT 1.015000  0.345000 1.185000 1.685000 ;
+      RECT 1.015000  1.685000 1.240000 2.465000 ;
+      RECT 1.455000  1.495000 2.140000 1.665000 ;
+      RECT 1.455000  1.665000 1.785000 2.415000 ;
+      RECT 1.535000  0.345000 1.705000 0.615000 ;
+      RECT 1.535000  0.615000 2.140000 0.765000 ;
+      RECT 1.535000  0.765000 2.340000 0.785000 ;
+      RECT 1.875000  0.085000 2.205000 0.445000 ;
+      RECT 1.955000  1.835000 2.270000 2.635000 ;
+      RECT 1.970000  0.785000 2.340000 1.095000 ;
+      RECT 1.970000  1.095000 2.140000 1.495000 ;
+      RECT 2.470000  1.355000 2.755000 2.005000 ;
+      RECT 2.715000  0.705000 3.095000 1.035000 ;
+      RECT 2.840000  0.365000 3.500000 0.535000 ;
+      RECT 2.900000  2.255000 3.650000 2.425000 ;
+      RECT 2.925000  1.035000 3.095000 1.415000 ;
+      RECT 2.925000  1.415000 3.265000 1.995000 ;
+      RECT 3.330000  0.535000 3.500000 0.995000 ;
+      RECT 3.330000  0.995000 4.200000 1.165000 ;
+      RECT 3.480000  1.165000 4.200000 1.325000 ;
+      RECT 3.480000  1.325000 3.650000 2.255000 ;
+      RECT 3.740000  0.085000 4.070000 0.825000 ;
+      RECT 3.820000  2.135000 4.590000 2.635000 ;
+      RECT 3.840000  1.495000 5.480000 1.665000 ;
+      RECT 3.840000  1.665000 4.930000 1.865000 ;
+      RECT 4.340000  0.415000 4.560000 0.655000 ;
+      RECT 4.340000  0.655000 5.480000 0.825000 ;
+      RECT 4.760000  1.865000 4.930000 2.435000 ;
+      RECT 5.100000  0.085000 5.480000 0.485000 ;
+      RECT 5.100000  1.855000 5.350000 2.635000 ;
+      RECT 5.310000  0.825000 5.480000 0.995000 ;
+      RECT 5.310000  0.995000 5.620000 1.325000 ;
+      RECT 5.310000  1.325000 5.480000 1.495000 ;
+      RECT 6.085000  0.085000 6.355000 0.545000 ;
+      RECT 6.085000  1.830000 6.355000 2.635000 ;
+      RECT 6.525000  0.255000 6.855000 0.995000 ;
+      RECT 6.525000  0.995000 7.375000 1.325000 ;
+      RECT 6.525000  1.325000 6.855000 2.465000 ;
+      RECT 7.025000  0.085000 7.330000 0.545000 ;
+      RECT 7.035000  1.835000 7.330000 2.635000 ;
+      RECT 7.925000  0.085000 8.195000 0.885000 ;
+      RECT 7.925000  1.495000 8.195000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 0.610000  1.445000 0.780000 1.615000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.070000  1.785000 1.240000 1.955000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.470000  1.785000 2.640000 1.955000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 2.930000  1.445000 3.100000 1.615000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+      RECT 7.965000 -0.085000 8.135000 0.085000 ;
+      RECT 7.965000  2.635000 8.135000 2.805000 ;
+    LAYER met1 ;
+      RECT 0.550000 1.415000 0.840000 1.460000 ;
+      RECT 0.550000 1.460000 3.160000 1.600000 ;
+      RECT 0.550000 1.600000 0.840000 1.645000 ;
+      RECT 1.010000 1.755000 1.300000 1.800000 ;
+      RECT 1.010000 1.800000 2.700000 1.940000 ;
+      RECT 1.010000 1.940000 1.300000 1.985000 ;
+      RECT 2.410000 1.755000 2.700000 1.800000 ;
+      RECT 2.410000 1.940000 2.700000 1.985000 ;
+      RECT 2.870000 1.415000 3.160000 1.460000 ;
+      RECT 2.870000 1.600000 3.160000 1.645000 ;
+  END
+END sky130_fd_sc_hd__dlrbn_2
+MACRO sky130_fd_sc_hd__o31ai_2
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.240000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.410000 1.055000 2.220000 1.325000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.390000 1.055000 3.205000 1.325000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.495000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 4.175000 0.755000 4.515000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.063500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.335000 1.495000 4.515000 1.665000 ;
+        RECT 2.335000 1.665000 2.665000 2.125000 ;
+        RECT 3.175000 1.665000 3.505000 2.465000 ;
+        RECT 3.675000 0.595000 4.005000 1.495000 ;
+        RECT 4.175000 1.665000 4.515000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 4.790000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 4.600000 0.085000 ;
+      RECT 0.000000  2.635000 4.600000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 3.505000 0.885000 ;
+      RECT 0.090000  1.495000 2.125000 1.665000 ;
+      RECT 0.090000  1.665000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  1.835000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.665000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.965000 0.545000 ;
+      RECT 1.455000  1.835000 1.625000 2.295000 ;
+      RECT 1.455000  2.295000 3.005000 2.465000 ;
+      RECT 1.795000  1.665000 2.125000 2.125000 ;
+      RECT 2.175000  0.255000 2.505000 0.715000 ;
+      RECT 2.675000  0.085000 3.005000 0.545000 ;
+      RECT 2.835000  1.835000 3.005000 2.295000 ;
+      RECT 3.175000  0.255000 4.515000 0.425000 ;
+      RECT 3.175000  0.425000 3.505000 0.715000 ;
+      RECT 3.675000  1.835000 4.005000 2.635000 ;
+      RECT 4.175000  0.425000 4.515000 0.585000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_2
+MACRO sky130_fd_sc_hd__o31ai_4
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  7.820000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.055000 1.780000 1.425000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.950000 1.055000 3.605000 1.425000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.055000 5.940000 1.275000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.990000 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 6.465000 1.055000 7.735000 1.275000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.683800 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.775000 1.445000 7.735000 1.695000 ;
+        RECT 5.770000 1.695000 5.940000 2.465000 ;
+        RECT 6.110000 0.645000 7.280000 0.885000 ;
+        RECT 6.110000 0.885000 6.295000 1.445000 ;
+        RECT 6.610000 1.695000 6.780000 2.465000 ;
+        RECT 7.450000 1.695000 7.735000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 7.820000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 8.010000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 7.820000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 7.820000 0.085000 ;
+      RECT 0.000000  2.635000 7.820000 2.805000 ;
+      RECT 0.090000  0.255000 0.445000 0.715000 ;
+      RECT 0.090000  0.715000 5.940000 0.885000 ;
+      RECT 0.090000  1.595000 2.125000 1.895000 ;
+      RECT 0.090000  1.895000 0.445000 2.465000 ;
+      RECT 0.615000  0.085000 0.785000 0.545000 ;
+      RECT 0.615000  2.065000 0.785000 2.635000 ;
+      RECT 0.955000  0.255000 1.285000 0.715000 ;
+      RECT 0.955000  1.895000 1.285000 2.465000 ;
+      RECT 1.455000  0.085000 1.625000 0.545000 ;
+      RECT 1.455000  2.065000 1.625000 2.635000 ;
+      RECT 1.795000  0.255000 2.125000 0.715000 ;
+      RECT 1.795000  1.895000 2.125000 2.205000 ;
+      RECT 1.795000  2.205000 3.885000 2.465000 ;
+      RECT 2.295000  0.085000 2.465000 0.545000 ;
+      RECT 2.295000  1.595000 3.605000 1.765000 ;
+      RECT 2.295000  1.765000 2.465000 2.035000 ;
+      RECT 2.635000  0.255000 2.965000 0.715000 ;
+      RECT 2.635000  1.935000 2.965000 2.205000 ;
+      RECT 3.135000  0.085000 3.305000 0.545000 ;
+      RECT 3.135000  1.765000 3.605000 1.865000 ;
+      RECT 3.135000  1.865000 5.600000 2.035000 ;
+      RECT 3.475000  0.255000 3.805000 0.715000 ;
+      RECT 3.995000  0.085000 4.640000 0.545000 ;
+      RECT 4.080000  2.035000 5.600000 2.465000 ;
+      RECT 4.810000  0.395000 4.980000 0.715000 ;
+      RECT 5.150000  0.085000 5.600000 0.545000 ;
+      RECT 5.770000  0.255000 7.735000 0.475000 ;
+      RECT 5.770000  0.475000 5.940000 0.715000 ;
+      RECT 6.110000  1.890000 6.440000 2.635000 ;
+      RECT 6.950000  1.890000 7.280000 2.635000 ;
+      RECT 7.450000  0.475000 7.735000 0.885000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+      RECT 2.905000 -0.085000 3.075000 0.085000 ;
+      RECT 2.905000  2.635000 3.075000 2.805000 ;
+      RECT 3.365000 -0.085000 3.535000 0.085000 ;
+      RECT 3.365000  2.635000 3.535000 2.805000 ;
+      RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      RECT 3.825000  2.635000 3.995000 2.805000 ;
+      RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      RECT 4.285000  2.635000 4.455000 2.805000 ;
+      RECT 4.745000 -0.085000 4.915000 0.085000 ;
+      RECT 4.745000  2.635000 4.915000 2.805000 ;
+      RECT 5.205000 -0.085000 5.375000 0.085000 ;
+      RECT 5.205000  2.635000 5.375000 2.805000 ;
+      RECT 5.665000 -0.085000 5.835000 0.085000 ;
+      RECT 5.665000  2.635000 5.835000 2.805000 ;
+      RECT 6.125000 -0.085000 6.295000 0.085000 ;
+      RECT 6.125000  2.635000 6.295000 2.805000 ;
+      RECT 6.585000 -0.085000 6.755000 0.085000 ;
+      RECT 6.585000  2.635000 6.755000 2.805000 ;
+      RECT 7.045000 -0.085000 7.215000 0.085000 ;
+      RECT 7.045000  2.635000 7.215000 2.805000 ;
+      RECT 7.505000 -0.085000 7.675000 0.085000 ;
+      RECT 7.505000  2.635000 7.675000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_4
+MACRO sky130_fd_sc_hd__o31ai_1
+  CLASS CORE ;
+  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  2.760000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.090000 1.075000 0.440000 1.325000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.610000 1.075000 1.055000 2.465000 ;
+    END
+  END A2
+  PIN A3
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.225000 1.075000 1.700000 1.325000 ;
+        RECT 1.460000 1.325000 1.700000 2.405000 ;
+    END
+  END A3
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.330000 0.995000 2.675000 1.325000 ;
+    END
+  END B1
+  PIN Y
+    ANTENNADIFFAREA  1.006000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.945000 0.260000 2.675000 0.825000 ;
+        RECT 1.945000 0.825000 2.160000 2.465000 ;
+    END
+  END Y
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 2.760000 0.240000 ;
+    END
+  END VGND
+  PIN VNB
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER pwell ;
+        RECT 0.150000 -0.085000 0.320000 0.085000 ;
+    END
+  END VNB
+  PIN VPB
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER nwell ;
+        RECT -0.190000 1.305000 2.950000 2.910000 ;
+    END
+  END VPB
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 2.760000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 -0.085000 2.760000 0.085000 ;
+      RECT 0.000000  2.635000 2.760000 2.805000 ;
+      RECT 0.090000  1.495000 0.440000 2.635000 ;
+      RECT 0.175000  0.085000 0.345000 0.905000 ;
+      RECT 0.515000  0.255000 0.845000 0.735000 ;
+      RECT 0.515000  0.735000 1.700000 0.905000 ;
+      RECT 1.015000  0.085000 1.185000 0.565000 ;
+      RECT 1.370000  0.255000 1.700000 0.735000 ;
+      RECT 2.330000  1.495000 2.675000 2.635000 ;
+    LAYER mcon ;
+      RECT 0.145000 -0.085000 0.315000 0.085000 ;
+      RECT 0.145000  2.635000 0.315000 2.805000 ;
+      RECT 0.605000 -0.085000 0.775000 0.085000 ;
+      RECT 0.605000  2.635000 0.775000 2.805000 ;
+      RECT 1.065000 -0.085000 1.235000 0.085000 ;
+      RECT 1.065000  2.635000 1.235000 2.805000 ;
+      RECT 1.525000 -0.085000 1.695000 0.085000 ;
+      RECT 1.525000  2.635000 1.695000 2.805000 ;
+      RECT 1.985000 -0.085000 2.155000 0.085000 ;
+      RECT 1.985000  2.635000 2.155000 2.805000 ;
+      RECT 2.445000 -0.085000 2.615000 0.085000 ;
+      RECT 2.445000  2.635000 2.615000 2.805000 ;
+  END
+END sky130_fd_sc_hd__o31ai_1
+END LIBRARY
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/opt.lib b/openlane/morphle_ycell/runs/morphle_ycell/tmp/opt.lib
new file mode 100644
index 0000000..b122f61
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/opt.lib
@@ -0,0 +1,27328 @@
+library ("sky130_fd_sc_hd__ss_100C_1v60") {
+    define(clk_width,library,string);
+    define(def_sim_opt,library,string);
+    define(default_arc_mode,library,string);
+    define(default_constraint_arc_mode,library,string);
+    define(driver_model,library,string);
+    define(leakage_sim_opt,library,string);
+    define(min_pulse_width_mode,library,string);
+    define(simulator,library,string);
+    define(switching_power_split_model,library,string);
+    define(sim_opt,timing,string);
+    define(violation_delay_degrade_pct,timing,string);
+    technology("cmos");
+    delay_model : "table_lookup";
+    bus_naming_style : "%s[%d]";
+    time_unit : "1ns";
+    voltage_unit : "1V";
+    leakage_power_unit : "1nW";
+    current_unit : "1mA";
+    pulling_resistance_unit : "1kohm";
+    capacitive_load_unit(1.0000000000, "pf");
+    revision : 1.0000000000;
+    default_cell_leakage_power : 0.0000000000;
+    default_fanout_load : 0.0000000000;
+    default_inout_pin_cap : 0.0000000000;
+    default_input_pin_cap : 0.0000000000;
+    default_max_transition : 1.5000000000;
+    default_output_pin_cap : 0.0000000000;
+    default_arc_mode : "worst_edges";
+    default_constraint_arc_mode : "worst";
+    default_leakage_power_density : 0.0000000000;
+    default_operating_conditions : "ss_100C_1v60";
+    clk_width : "4.000000e-08";
+    operating_conditions ("ss_100C_1v60") {
+        voltage : 1.6000000000;
+        process : 1.0000000000;
+        temperature : 100.00000000;
+        tree_type : "balanced_tree";
+    }
+    power_lut_template ("power_inputs_1") {
+        variable_1 : "input_transition_time";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+    }
+    power_lut_template ("power_outputs_1") {
+        variable_1 : "input_transition_time";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("constraint_3_0_1") {
+        variable_1 : "related_pin_transition";
+        index_1("1, 2, 3");
+    }
+    lu_table_template ("del_1_7_7") {
+        variable_1 : "input_net_transition";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("driver_waveform_template") {
+        variable_1 : "input_net_transition";
+        variable_2 : "normalized_voltage";
+        index_1("1, 2");
+        index_2("1, 2");
+    }
+    lu_table_template ("vio_3_3_1") {
+        variable_1 : "related_pin_transition";
+        variable_2 : "constrained_pin_transition";
+        index_1("1, 2, 3");
+        index_2("1, 2, 3");
+    }
+    normalized_driver_waveform ("driver_waveform_template") {
+        index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236068000, 0.6299605000, 1.7747683000, 2.5000000000, 5.0000000000");
+        index_2("0.0000000000, 0.5000000000, 1.0000000000");
+        driver_waveform_name : "ramp";
+        values("0.0000000000, 0.0083333333, 0.0166666670", \
+            "0.0000000000, 0.0234772430, 0.0469544850", \
+            "0.0000000000, 0.0661417100, 0.1322834200", \
+            "0.0000000000, 0.1863390000, 0.3726780000", \
+            "0.0000000000, 0.5249671000, 1.0499342000", \
+            "0.0000000000, 1.4789736000, 2.9579472000", \
+            "0.0000000000, 2.0833333000, 4.1666667000", \
+            "0.0000000000, 4.1666667000, 8.3333333000");
+    }
+    library_features("report_delay_calculation");
+    voltage_map("VPWR", 1.6000000000);
+    voltage_map("VSS", 0.0000000000);
+    voltage_map("KAPWR", 1.6000000000);
+    voltage_map("LOWLVPWR", 1.6000000000);
+    voltage_map("VGND", 0.0000000000);
+    voltage_map("VNB", 0.0000000000);
+    voltage_map("VPB", 1.6000000000);
+    voltage_map("VPWRIN", 1.6000000000);
+    driver_model : "ramp";
+    in_place_swap_mode : "match_footprint";
+    input_threshold_pct_fall : 50.000000000;
+    input_threshold_pct_rise : 50.000000000;
+    min_pulse_width_mode : "max";
+    nom_process : 1.0000000000;
+    nom_temperature : 100.00000000;
+    nom_voltage : 1.6000000000;
+    output_threshold_pct_fall : 50.000000000;
+    output_threshold_pct_rise : 50.000000000;
+    simulation : "true";
+    slew_derate_from_library : 1.0000000000;
+    slew_lower_threshold_pct_fall : 20.000000000;
+    slew_lower_threshold_pct_rise : 20.000000000;
+    slew_upper_threshold_pct_fall : 80.000000000;
+    slew_upper_threshold_pct_rise : 80.000000000;
+    switching_power_split_model : "true";
+
+/* removed sky130_fd_sc_hd__a2111o_1 */
+
+/* removed sky130_fd_sc_hd__a2111o_2 */
+
+    cell ("sky130_fd_sc_hd__a2111o_4") {
+        leakage_power () {
+            value : 13.227786000;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7229109000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 6.4028187000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7102770000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 6.1321717000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.5558807000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.7002799000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 3.9665626000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 8.2879597000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.6069190000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.9388759000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 3.6019838000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 3.9302726000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.5208119000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.5976324000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 7.9488043000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 12.124497900;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7229135000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 6.4029728000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7102792000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 6.1322969000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.5558816000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.7002821000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 7.9484481000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 13.611669100;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7229122000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 6.4028232000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 3.7102781000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 6.1321703000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 3.5558816000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.7002812000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 7.9484455000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111o";
+        cell_leakage_power : 5.5916870000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043760000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0073818000, 0.0073506000, 0.0072997000, 0.0072870000, 0.0072882000, 0.0073284000, 0.0074786000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006043200, -0.006084500, -0.006159800, -0.006165000, -0.006138900, -0.006024200, -0.005660600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045240000;
+        }
+        pin ("A2") {
+            capacitance : 0.0045030000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042780000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0067644000, 0.0067286000, 0.0066648000, 0.0066491000, 0.0066422000, 0.0066596000, 0.0067459000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006693900, -0.006705000, -0.006695200, -0.006722800, -0.006759700, -0.006822500, -0.006958800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047300000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042610000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066557000, 0.0066420000, 0.0066308000, 0.0066143000, 0.0065949000, 0.0065675000, 0.0065174000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005659900, -0.005722700, -0.005857200, -0.005899300, -0.005975400, -0.006147300, -0.006589700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047780000;
+        }
+        pin ("C1") {
+            capacitance : 0.0044570000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041480000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066488000, 0.0066329000, 0.0066155000, 0.0066013000, 0.0065886000, 0.0065799000, 0.0065827000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005984100, -0.006082000, -0.006316100, -0.006347400, -0.006394000, -0.006483500, -0.006694000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047650000;
+        }
+        pin ("D1") {
+            capacitance : 0.0043660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0051384000, 0.0051061000, 0.0050424000, 0.0050485000, 0.0050929000, 0.0052450000, 0.0057010000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003034400, -0.003050700, -0.003057500, -0.003079200, -0.003101400, -0.003125100, -0.003152300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046940000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1) | (D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0304369000, 0.0289601000, 0.0243349000, 0.0060438000, -0.068957800, -0.359812500, -1.424681500", \
+                        "0.0303650000, 0.0288966000, 0.0242392000, 0.0060772000, -0.068996800, -0.359835900, -1.424707900", \
+                        "0.0299823000, 0.0286436000, 0.0239968000, 0.0057347000, -0.069262400, -0.360101000, -1.424943400", \
+                        "0.0295521000, 0.0281237000, 0.0236206000, 0.0053720000, -0.069833200, -0.360596100, -1.425407300", \
+                        "0.0290763000, 0.0276418000, 0.0229887000, 0.0048279000, -0.070378000, -0.361132900, -1.425898900", \
+                        "0.0286421000, 0.0272635000, 0.0227637000, 0.0043882000, -0.070680800, -0.361375400, -1.426054600", \
+                        "0.0398836000, 0.0381308000, 0.0319525000, 0.0099291000, -0.070149500, -0.361828700, -1.426257800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0315165000, 0.0333044000, 0.0401057000, 0.0639182000, 0.1453751000, 0.4361145000, 1.4920912000", \
+                        "0.0313941000, 0.0332219000, 0.0400899000, 0.0637187000, 0.1452145000, 0.4363202000, 1.4930580000", \
+                        "0.0312143000, 0.0330615000, 0.0398953000, 0.0634933000, 0.1450357000, 0.4377562000, 1.4948902000", \
+                        "0.0308730000, 0.0327659000, 0.0395230000, 0.0632264000, 0.1446745000, 0.4375014000, 1.4947327000", \
+                        "0.0309399000, 0.0327586000, 0.0394100000, 0.0625050000, 0.1440609000, 0.4350182000, 1.4899599000", \
+                        "0.0327444000, 0.0344693000, 0.0406187000, 0.0629198000, 0.1433409000, 0.4341354000, 1.4899274000", \
+                        "0.0355440000, 0.0372300000, 0.0431339000, 0.0654880000, 0.1455585000, 0.4359643000, 1.4888534000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0351355000, 0.0337257000, 0.0290596000, 0.0106448000, -0.064668300, -0.355754500, -1.420611100", \
+                        "0.0347660000, 0.0333111000, 0.0286994000, 0.0103145000, -0.064854900, -0.355912000, -1.420764100", \
+                        "0.0345892000, 0.0331500000, 0.0285614000, 0.0102115000, -0.065012700, -0.356007600, -1.420917000", \
+                        "0.0343356000, 0.0328745000, 0.0282355000, 0.0099081000, -0.065306900, -0.356269700, -1.421120700", \
+                        "0.0340015000, 0.0324776000, 0.0279504000, 0.0096922000, -0.065623500, -0.356595100, -1.421436900", \
+                        "0.0339691000, 0.0325272000, 0.0278209000, 0.0093900000, -0.065933200, -0.356724100, -1.421436600", \
+                        "0.0452525000, 0.0435092000, 0.0368546000, 0.0148086000, -0.065002000, -0.356946900, -1.421422700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0320205000, 0.0338636000, 0.0406110000, 0.0644553000, 0.1457293000, 0.4364255000, 1.4933347000", \
+                        "0.0318898000, 0.0337322000, 0.0405722000, 0.0641621000, 0.1455592000, 0.4380715000, 1.4950119000", \
+                        "0.0316438000, 0.0334718000, 0.0404049000, 0.0638931000, 0.1453740000, 0.4380862000, 1.4954671000", \
+                        "0.0313889000, 0.0332300000, 0.0400765000, 0.0636350000, 0.1452222000, 0.4357277000, 1.4905623000", \
+                        "0.0314316000, 0.0332901000, 0.0399983000, 0.0633165000, 0.1447867000, 0.4358600000, 1.4922154000", \
+                        "0.0335828000, 0.0353183000, 0.0415737000, 0.0638443000, 0.1446918000, 0.4347019000, 1.4952083000", \
+                        "0.0357934000, 0.0374567000, 0.0435193000, 0.0658340000, 0.1459879000, 0.4370416000, 1.4948841000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0313605000, 0.0299082000, 0.0252938000, 0.0069076000, -0.068248500, -0.359064500, -1.423815400", \
+                        "0.0312321000, 0.0297684000, 0.0251360000, 0.0070274000, -0.068301500, -0.359245800, -1.423885200", \
+                        "0.0310764000, 0.0296005000, 0.0249651000, 0.0066810000, -0.068542500, -0.359476700, -1.424156600", \
+                        "0.0306070000, 0.0291472000, 0.0245139000, 0.0061599000, -0.068982700, -0.359808100, -1.424505500", \
+                        "0.0302798000, 0.0288175000, 0.0242793000, 0.0059720000, -0.069291900, -0.360163100, -1.424772800", \
+                        "0.0303159000, 0.0288078000, 0.0241474000, 0.0057330000, -0.069612700, -0.360280800, -1.424787800", \
+                        "0.0416072000, 0.0398502000, 0.0335753000, 0.0113748000, -0.068734600, -0.360382000, -1.424719600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0290796000, 0.0310588000, 0.0379070000, 0.0613409000, 0.1423693000, 0.4343337000, 1.4881458000", \
+                        "0.0291332000, 0.0310856000, 0.0379596000, 0.0613740000, 0.1423032000, 0.4346493000, 1.4915104000", \
+                        "0.0291599000, 0.0311334000, 0.0379991000, 0.0613951000, 0.1423657000, 0.4345915000, 1.4880958000", \
+                        "0.0290705000, 0.0309731000, 0.0377252000, 0.0609329000, 0.1418810000, 0.4344070000, 1.4921750000", \
+                        "0.0287772000, 0.0306175000, 0.0372373000, 0.0601591000, 0.1412027000, 0.4319099000, 1.4919644000", \
+                        "0.0301945000, 0.0319166000, 0.0381500000, 0.0606484000, 0.1410337000, 0.4307079000, 1.4873340000", \
+                        "0.0328338000, 0.0344878000, 0.0405445000, 0.0629848000, 0.1437050000, 0.4341205000, 1.4867961000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0275444000, 0.0260873000, 0.0214754000, 0.0030987000, -0.072045600, -0.362774500, -1.427311000", \
+                        "0.0274633000, 0.0260180000, 0.0213924000, 0.0031024000, -0.072145800, -0.362843400, -1.427493200", \
+                        "0.0271374000, 0.0256839000, 0.0211040000, 0.0027369000, -0.072428200, -0.363114400, -1.427717200", \
+                        "0.0267792000, 0.0253573000, 0.0207312000, 0.0023610000, -0.072747900, -0.363471100, -1.428086400", \
+                        "0.0265476000, 0.0251486000, 0.0203982000, 0.0020837000, -0.073161400, -0.363800200, -1.428273400", \
+                        "0.0261769000, 0.0247275000, 0.0201972000, 0.0018787000, -0.073540600, -0.364107000, -1.428477500", \
+                        "0.0384143000, 0.0366098000, 0.0302587000, 0.0079694000, -0.072391400, -0.363969700, -1.428168700");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0275753000, 0.0295103000, 0.0362876000, 0.0594623000, 0.1401997000, 0.4304168000, 1.4893979000", \
+                        "0.0277035000, 0.0296237000, 0.0363929000, 0.0595722000, 0.1402277000, 0.4325024000, 1.4858485000", \
+                        "0.0278641000, 0.0297981000, 0.0365630000, 0.0597245000, 0.1404136000, 0.4326215000, 1.4869804000", \
+                        "0.0276007000, 0.0295098000, 0.0361654000, 0.0592311000, 0.1401273000, 0.4306031000, 1.4855944000", \
+                        "0.0271277000, 0.0288965000, 0.0354213000, 0.0582129000, 0.1389668000, 0.4300148000, 1.4897564000", \
+                        "0.0278708000, 0.0295808000, 0.0358017000, 0.0585365000, 0.1386748000, 0.4283469000, 1.4888117000", \
+                        "0.0302951000, 0.0319320000, 0.0379855000, 0.0604238000, 0.1412221000, 0.4315001000, 1.4840592000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0252898000, 0.0236296000, 0.0190379000, 0.0006860000, -0.074389200, -0.364932700, -1.429483900", \
+                        "0.0250722000, 0.0234831000, 0.0189287000, 0.0005177000, -0.074492600, -0.365073100, -1.429563900", \
+                        "0.0247036000, 0.0232358000, 0.0187294000, 0.0004200000, -0.074706700, -0.365364900, -1.429800800", \
+                        "0.0243590000, 0.0228952000, 0.0182135000, -8.49000e-05, -0.075201100, -0.365760600, -1.430232100", \
+                        "0.0239633000, 0.0225074000, 0.0179137000, -0.000479000, -0.075564100, -0.366117400, -1.430575800", \
+                        "0.0242138000, 0.0226683000, 0.0179182000, -0.000454000, -0.075890800, -0.366374300, -1.430608500", \
+                        "0.0385666000, 0.0357003000, 0.0302281000, 0.0076830000, -0.072921200, -0.364893900, -1.429022500");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
+                    values("0.0212778000, 0.0232042000, 0.0299375000, 0.0530936000, 0.1336244000, 0.4214287000, 1.4770958000", \
+                        "0.0212841000, 0.0232208000, 0.0300081000, 0.0531635000, 0.1335756000, 0.4244217000, 1.4811508000", \
+                        "0.0211987000, 0.0231467000, 0.0298878000, 0.0530130000, 0.1336405000, 0.4215617000, 1.4796211000", \
+                        "0.0207657000, 0.0226600000, 0.0292784000, 0.0522444000, 0.1330471000, 0.4240951000, 1.4758758000", \
+                        "0.0203717000, 0.0221499000, 0.0286005000, 0.0514589000, 0.1320897000, 0.4230544000, 1.4740114000", \
+                        "0.0206607000, 0.0223865000, 0.0286078000, 0.0513536000, 0.1314271000, 0.4230892000, 1.4783747000", \
+                        "0.0231720000, 0.0248360000, 0.0308473000, 0.0531001000, 0.1340414000, 0.4258009000, 1.4788993000");
+                }
+            }
+            max_capacitance : 0.3378390000;
+            max_transition : 1.5002330000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.7649271000, 0.7785707000, 0.8164707000, 0.9034194000, 1.0879652000, 1.5164696000, 2.7980160000", \
+                        "0.7720548000, 0.7857118000, 0.8235457000, 0.9107631000, 1.0952617000, 1.5237073000, 2.8042852000", \
+                        "0.7909556000, 0.8047162000, 0.8425189000, 0.9296355000, 1.1141983000, 1.5427660000, 2.8243026000", \
+                        "0.8458119000, 0.8594979000, 0.8971050000, 0.9837648000, 1.1675219000, 1.5971556000, 2.8799170000", \
+                        "0.9876291000, 1.0012763000, 1.0392829000, 1.1256560000, 1.3094534000, 1.7391258000, 3.0209113000", \
+                        "1.3169228000, 1.3310953000, 1.3709497000, 1.4601947000, 1.6463143000, 2.0784061000, 3.3623062000", \
+                        "1.9342350000, 1.9510917000, 1.9971567000, 2.1008297000, 2.3143292000, 2.7777627000, 4.0805266000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.2388872000, 0.2485395000, 0.2773673000, 0.3519819000, 0.5638270000, 1.2785621000, 3.8426275000", \
+                        "0.2454048000, 0.2553251000, 0.2840204000, 0.3585086000, 0.5703308000, 1.2840706000, 3.8501620000", \
+                        "0.2661756000, 0.2760941000, 0.3048244000, 0.3791559000, 0.5906809000, 1.3071881000, 3.8644914000", \
+                        "0.3267688000, 0.3365657000, 0.3649590000, 0.4390210000, 0.6499398000, 1.3665487000, 3.9225241000", \
+                        "0.5023184000, 0.5122304000, 0.5402978000, 0.6126815000, 0.8223838000, 1.5352472000, 4.1025285000", \
+                        "0.8334716000, 0.8463426000, 0.8799134000, 0.9581906000, 1.1699757000, 1.8824684000, 4.4500559000", \
+                        "1.3528436000, 1.3712698000, 1.4209831000, 1.5272353000, 1.7494944000, 2.4591991000, 5.0203379000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0858897000, 0.0937640000, 0.1154204000, 0.1716255000, 0.3210752000, 0.7680238000, 2.4466784000", \
+                        "0.0858125000, 0.0936904000, 0.1154056000, 0.1741310000, 0.3208801000, 0.7671775000, 2.4470364000", \
+                        "0.0861280000, 0.0936655000, 0.1153802000, 0.1716387000, 0.3210506000, 0.7678194000, 2.4466797000", \
+                        "0.0859514000, 0.0937391000, 0.1154457000, 0.1743869000, 0.3216312000, 0.7665270000, 2.4435709000", \
+                        "0.0857529000, 0.0941553000, 0.1167785000, 0.1727053000, 0.3213535000, 0.7674668000, 2.4467806000", \
+                        "0.0915915000, 0.1005501000, 0.1231175000, 0.1784399000, 0.3258557000, 0.7684792000, 2.4474489000", \
+                        "0.1146256000, 0.1237104000, 0.1491838000, 0.2106676000, 0.3689716000, 0.8123808000, 2.4533788000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0493523000, 0.0572237000, 0.0805649000, 0.1537207000, 0.4174951000, 1.4054496000, 4.9964184000", \
+                        "0.0492023000, 0.0565221000, 0.0799864000, 0.1535398000, 0.4179363000, 1.4047936000, 4.9950919000", \
+                        "0.0492944000, 0.0565848000, 0.0797944000, 0.1532137000, 0.4177204000, 1.4039585000, 4.9917714000", \
+                        "0.0488863000, 0.0563173000, 0.0795572000, 0.1526128000, 0.4171488000, 1.4055208000, 4.9901843000", \
+                        "0.0501986000, 0.0571424000, 0.0792595000, 0.1519441000, 0.4151612000, 1.4016928000, 4.9908442000", \
+                        "0.0701197000, 0.0772935000, 0.0972679000, 0.1656014000, 0.4200202000, 1.4031974000, 4.9883755000", \
+                        "0.1133454000, 0.1222906000, 0.1473207000, 0.2092619000, 0.4372120000, 1.4049960000, 4.9959512000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.8320879000, 0.8459565000, 0.8841309000, 0.9719759000, 1.1569048000, 1.5879204000, 2.8747422000", \
+                        "0.8382280000, 0.8521963000, 0.8903870000, 0.9777261000, 1.1637026000, 1.5946056000, 2.8808504000", \
+                        "0.8593849000, 0.8733030000, 0.9116287000, 0.9989332000, 1.1851761000, 1.6158019000, 2.9024636000", \
+                        "0.9183395000, 0.9322594000, 0.9705533000, 1.0578433000, 1.2436780000, 1.6743299000, 2.9584497000", \
+                        "1.0620759000, 1.0753778000, 1.1140530000, 1.2011558000, 1.3868083000, 1.8177024000, 3.1036993000", \
+                        "1.3963745000, 1.4106072000, 1.4504813000, 1.5387095000, 1.7252827000, 2.1577864000, 3.4447354000", \
+                        "2.0395399000, 2.0565545000, 2.1010238000, 2.2026851000, 2.4136970000, 2.8770553000, 4.1784372000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.2475559000, 0.2574890000, 0.2859434000, 0.3605982000, 0.5721165000, 1.2859096000, 3.8506647000", \
+                        "0.2554484000, 0.2653749000, 0.2941466000, 0.3685511000, 0.5798679000, 1.2958302000, 3.8542654000", \
+                        "0.2758834000, 0.2857736000, 0.3146497000, 0.3888156000, 0.6000581000, 1.3161640000, 3.8765497000", \
+                        "0.3294652000, 0.3393116000, 0.3678590000, 0.4419961000, 0.6532940000, 1.3676463000, 3.9336538000", \
+                        "0.4754257000, 0.4854493000, 0.5142379000, 0.5879238000, 0.7980482000, 1.5118585000, 4.0770765000", \
+                        "0.7806378000, 0.7924189000, 0.8257158000, 0.9047674000, 1.1187463000, 1.8316914000, 4.3981947000", \
+                        "1.3183282000, 1.3348249000, 1.3794081000, 1.4757746000, 1.7035510000, 2.4175899000, 4.9760320000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0896533000, 0.0964460000, 0.1184888000, 0.1769985000, 0.3244754000, 0.7692204000, 2.4463833000", \
+                        "0.0890481000, 0.0969053000, 0.1183326000, 0.1745688000, 0.3236024000, 0.7690690000, 2.4517508000", \
+                        "0.0893628000, 0.0974184000, 0.1184329000, 0.1749268000, 0.3227012000, 0.7689898000, 2.4506521000", \
+                        "0.0888722000, 0.0967305000, 0.1188617000, 0.1738930000, 0.3236154000, 0.7677009000, 2.4509121000", \
+                        "0.0890048000, 0.0963731000, 0.1184879000, 0.1749352000, 0.3240243000, 0.7693204000, 2.4503344000", \
+                        "0.0926995000, 0.1004999000, 0.1224459000, 0.1781437000, 0.3272392000, 0.7704815000, 2.4517754000", \
+                        "0.1149330000, 0.1228554000, 0.1482651000, 0.2112371000, 0.3645786000, 0.8075528000, 2.4599593000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0492550000, 0.0565800000, 0.0804641000, 0.1536920000, 0.4182108000, 1.4030406000, 4.9961204000", \
+                        "0.0493202000, 0.0566502000, 0.0798578000, 0.1534369000, 0.4177705000, 1.4024211000, 4.9929025000", \
+                        "0.0492527000, 0.0564441000, 0.0796372000, 0.1531877000, 0.4178186000, 1.4061221000, 4.9939968000", \
+                        "0.0487786000, 0.0564212000, 0.0796443000, 0.1529129000, 0.4179277000, 1.4008462000, 4.9909525000", \
+                        "0.0508680000, 0.0582124000, 0.0801001000, 0.1527903000, 0.4158352000, 1.4048080000, 4.9950533000", \
+                        "0.0623411000, 0.0698619000, 0.0931680000, 0.1646359000, 0.4206465000, 1.4035699000, 4.9973070000", \
+                        "0.0959714000, 0.1046381000, 0.1294873000, 0.2010937000, 0.4345285000, 1.4039072000, 4.9956070000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.7997867000, 0.8137320000, 0.8518917000, 0.9392848000, 1.1253188000, 1.5563262000, 2.8411640000", \
+                        "0.8040878000, 0.8180319000, 0.8563545000, 0.9437132000, 1.1289449000, 1.5601360000, 2.8472862000", \
+                        "0.8202872000, 0.8342954000, 0.8725842000, 0.9604947000, 1.1452940000, 1.5767040000, 2.8632876000", \
+                        "0.8720410000, 0.8859584000, 0.9241676000, 1.0114976000, 1.1971311000, 1.6283099000, 2.9128824000", \
+                        "1.0093372000, 1.0233527000, 1.0613349000, 1.1483939000, 1.3346484000, 1.7658004000, 3.0530851000", \
+                        "1.3565153000, 1.3702971000, 1.4109642000, 1.5013031000, 1.6891694000, 2.1224844000, 3.4083014000", \
+                        "2.0531994000, 2.0709392000, 2.1191495000, 2.2275279000, 2.4438345000, 2.9102252000, 4.2145882000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.1332398000, 0.1409124000, 0.1634424000, 0.2261878000, 0.4250463000, 1.1326090000, 3.6872558000", \
+                        "0.1415670000, 0.1492220000, 0.1716829000, 0.2343986000, 0.4337810000, 1.1402903000, 3.6920207000", \
+                        "0.1649993000, 0.1726184000, 0.1950877000, 0.2576724000, 0.4569508000, 1.1639841000, 3.7159957000", \
+                        "0.2330852000, 0.2405413000, 0.2624971000, 0.3244081000, 0.5237000000, 1.2306486000, 3.7809764000", \
+                        "0.3857268000, 0.3945121000, 0.4191702000, 0.4835692000, 0.6824099000, 1.3881020000, 3.9493186000", \
+                        "0.6434335000, 0.6566291000, 0.6903625000, 0.7656967000, 0.9686701000, 1.6748234000, 4.2314774000", \
+                        "1.0254536000, 1.0472399000, 1.1020782000, 1.2110940000, 1.4351034000, 2.1404500000, 4.6938911000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0889485000, 0.0967948000, 0.1186334000, 0.1742643000, 0.3235273000, 0.7685639000, 2.4498905000", \
+                        "0.0889005000, 0.0967651000, 0.1188058000, 0.1771785000, 0.3241473000, 0.7695744000, 2.4508835000", \
+                        "0.0888427000, 0.0963062000, 0.1181337000, 0.1765351000, 0.3243929000, 0.7685033000, 2.4447611000", \
+                        "0.0888761000, 0.0967280000, 0.1186976000, 0.1740253000, 0.3232332000, 0.7675079000, 2.4508624000", \
+                        "0.0895778000, 0.0974403000, 0.1193648000, 0.1755249000, 0.3237915000, 0.7693245000, 2.4478499000", \
+                        "0.0960398000, 0.1031859000, 0.1257604000, 0.1804751000, 0.3283673000, 0.7714233000, 2.4499540000", \
+                        "0.1266354000, 0.1353654000, 0.1594446000, 0.2199199000, 0.3716775000, 0.8126186000, 2.4628013000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0358450000, 0.0416418000, 0.0613844000, 0.1320172000, 0.4013561000, 1.3896966000, 4.9851563000", \
+                        "0.0355662000, 0.0414821000, 0.0614155000, 0.1321615000, 0.4011281000, 1.3925021000, 4.9784190000", \
+                        "0.0354968000, 0.0414770000, 0.0612325000, 0.1319070000, 0.4013832000, 1.3930088000, 4.9832192000", \
+                        "0.0350589000, 0.0411613000, 0.0609903000, 0.1319116000, 0.4008212000, 1.3931140000, 4.9813558000", \
+                        "0.0449966000, 0.0505375000, 0.0686297000, 0.1353789000, 0.4007875000, 1.3908229000, 4.9879714000", \
+                        "0.0711120000, 0.0777364000, 0.0942979000, 0.1531316000, 0.4054349000, 1.3879169000, 4.9851900000", \
+                        "0.1229325000, 0.1329178000, 0.1554341000, 0.2075144000, 0.4256385000, 1.4038652000, 4.9755737000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.7350719000, 0.7490268000, 0.7871703000, 0.8745437000, 1.0606090000, 1.4917944000, 2.7770872000", \
+                        "0.7384081000, 0.7525927000, 0.7910769000, 0.8782130000, 1.0633022000, 1.4949725000, 2.7812201000", \
+                        "0.7530963000, 0.7669803000, 0.8053071000, 0.8926660000, 1.0789121000, 1.5098971000, 2.7969831000", \
+                        "0.8027216000, 0.8166891000, 0.8547591000, 0.9420420000, 1.1282483000, 1.5592623000, 2.8463043000", \
+                        "0.9447023000, 0.9586485000, 0.9972324000, 1.0839429000, 1.2702449000, 1.7016049000, 2.9873357000", \
+                        "1.3320858000, 1.3466731000, 1.3875706000, 1.4787326000, 1.6680277000, 2.1014586000, 3.3876533000", \
+                        "2.1028572000, 2.1221782000, 2.1734785000, 2.2861333000, 2.5043050000, 2.9669291000, 4.2721426000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.1327542000, 0.1403346000, 0.1626203000, 0.2247506000, 0.4228181000, 1.1270794000, 3.6856671000", \
+                        "0.1409378000, 0.1485327000, 0.1707851000, 0.2329025000, 0.4303894000, 1.1367760000, 3.6904411000", \
+                        "0.1643171000, 0.1719117000, 0.1942230000, 0.2562371000, 0.4539988000, 1.1607405000, 3.7156445000", \
+                        "0.2311741000, 0.2386968000, 0.2607999000, 0.3226425000, 0.5204238000, 1.2256558000, 3.7765306000", \
+                        "0.3762909000, 0.3852380000, 0.4101785000, 0.4751700000, 0.6742379000, 1.3799186000, 3.9402597000", \
+                        "0.6170818000, 0.6307616000, 0.6657058000, 0.7428109000, 0.9464861000, 1.6518806000, 4.2092783000", \
+                        "0.9611695000, 0.9839312000, 1.0415001000, 1.1549799000, 1.3831114000, 2.0891076000, 4.6396886000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0889716000, 0.0968281000, 0.1186253000, 0.1741428000, 0.3234989000, 0.7687275000, 2.4492497000", \
+                        "0.0890072000, 0.0964328000, 0.1196787000, 0.1746397000, 0.3234798000, 0.7704574000, 2.4462175000", \
+                        "0.0886280000, 0.0963294000, 0.1183851000, 0.1746634000, 0.3231596000, 0.7690509000, 2.4504883000", \
+                        "0.0888128000, 0.0965402000, 0.1183899000, 0.1745994000, 0.3232644000, 0.7690649000, 2.4511224000", \
+                        "0.0891232000, 0.0975136000, 0.1197074000, 0.1752725000, 0.3225186000, 0.7693364000, 2.4497389000", \
+                        "0.0973242000, 0.1047558000, 0.1279204000, 0.1834057000, 0.3281419000, 0.7709120000, 2.4482527000", \
+                        "0.1404925000, 0.1502912000, 0.1756033000, 0.2321261000, 0.3731613000, 0.8094768000, 2.4657658000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0349188000, 0.0408253000, 0.0606004000, 0.1310562000, 0.4000240000, 1.3902450000, 4.9847970000", \
+                        "0.0348722000, 0.0409094000, 0.0606422000, 0.1310413000, 0.3989871000, 1.3940057000, 4.9857554000", \
+                        "0.0348713000, 0.0409000000, 0.0605629000, 0.1309022000, 0.3991641000, 1.3932849000, 4.9845670000", \
+                        "0.0352024000, 0.0410997000, 0.0607725000, 0.1309781000, 0.3998472000, 1.3923556000, 4.9834576000", \
+                        "0.0453038000, 0.0507809000, 0.0693146000, 0.1356652000, 0.4002137000, 1.3936716000, 4.9876201000", \
+                        "0.0736206000, 0.0800964000, 0.0967890000, 0.1551010000, 0.4055933000, 1.3894840000, 4.9849733000", \
+                        "0.1289143000, 0.1401729000, 0.1634833000, 0.2152054000, 0.4299498000, 1.3945086000, 4.9817146000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.6037940000, 0.6177246000, 0.6561131000, 0.7435272000, 0.9293545000, 1.3608324000, 2.6456466000", \
+                        "0.6066636000, 0.6204962000, 0.6587969000, 0.7464082000, 0.9322851000, 1.3634918000, 2.6503448000", \
+                        "0.6190202000, 0.6330311000, 0.6709361000, 0.7580557000, 0.9445836000, 1.3760125000, 2.6635243000", \
+                        "0.6650104000, 0.6787104000, 0.7171460000, 0.8042211000, 0.9907314000, 1.4222351000, 2.7082274000", \
+                        "0.8168658000, 0.8300469000, 0.8689285000, 0.9564026000, 1.1424078000, 1.5735666000, 2.8605840000", \
+                        "1.2449071000, 1.2591024000, 1.2986452000, 1.3858845000, 1.5717103000, 2.0043200000, 3.2901770000", \
+                        "2.0187663000, 2.0374180000, 2.0952722000, 2.2100721000, 2.4185249000, 2.8643758000, 4.1652863000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.1221537000, 0.1297417000, 0.1521291000, 0.2141741000, 0.4108996000, 1.1164772000, 3.6684211000", \
+                        "0.1305436000, 0.1381515000, 0.1605264000, 0.2226099000, 0.4198334000, 1.1244601000, 3.6811507000", \
+                        "0.1544127000, 0.1619778000, 0.1842886000, 0.2462545000, 0.4432093000, 1.1476848000, 3.7112713000", \
+                        "0.2206987000, 0.2282632000, 0.2503775000, 0.3122085000, 0.5100692000, 1.2158642000, 3.7633967000", \
+                        "0.3581543000, 0.3675370000, 0.3933499000, 0.4593747000, 0.6584346000, 1.3631184000, 3.9249319000", \
+                        "0.5853016000, 0.6002727000, 0.6379534000, 0.7184478000, 0.9234348000, 1.6282279000, 4.1857091000", \
+                        "0.9106979000, 0.9351949000, 0.9987555000, 1.1214031000, 1.3576709000, 2.0655795000, 4.6149249000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0895517000, 0.0973989000, 0.1185493000, 0.1738459000, 0.3227957000, 0.7673240000, 2.4508136000", \
+                        "0.0892986000, 0.0970050000, 0.1185312000, 0.1748282000, 0.3237638000, 0.7691500000, 2.4516966000", \
+                        "0.0895792000, 0.0974366000, 0.1191613000, 0.1756365000, 0.3237069000, 0.7692136000, 2.4485793000", \
+                        "0.0888462000, 0.0963719000, 0.1179011000, 0.1750359000, 0.3223332000, 0.7692303000, 2.4492990000", \
+                        "0.0889659000, 0.0978897000, 0.1193066000, 0.1744419000, 0.3233848000, 0.7690454000, 2.4515552000", \
+                        "0.0988722000, 0.1052468000, 0.1257588000, 0.1792770000, 0.3251743000, 0.7695817000, 2.4493747000", \
+                        "0.1581335000, 0.1677864000, 0.1904251000, 0.2402845000, 0.3650514000, 0.7952072000, 2.4634190000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
+                    values("0.0345124000, 0.0403500000, 0.0600263000, 0.1302867000, 0.3991595000, 1.3910633000, 4.9894884000", \
+                        "0.0345125000, 0.0403466000, 0.0600782000, 0.1303494000, 0.3990030000, 1.3972213000, 4.9790265000", \
+                        "0.0343824000, 0.0404413000, 0.0600218000, 0.1302970000, 0.3991316000, 1.3902762000, 4.9987259000", \
+                        "0.0353911000, 0.0411523000, 0.0606413000, 0.1306716000, 0.3996120000, 1.3982960000, 4.9813500000", \
+                        "0.0479805000, 0.0534393000, 0.0714900000, 0.1369814000, 0.3997399000, 1.3959300000, 4.9795994000", \
+                        "0.0801232000, 0.0866955000, 0.1035091000, 0.1602698000, 0.4059172000, 1.3888296000, 4.9754072000", \
+                        "0.1428809000, 0.1551451000, 0.1796038000, 0.2321368000, 0.4379407000, 1.3980896000, 4.9762670000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2111oi_0 */
+
+/* removed sky130_fd_sc_hd__a2111oi_1 */
+
+/* removed sky130_fd_sc_hd__a2111oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2111oi_4") {
+        leakage_power () {
+            value : 5.9573448000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 11.753171800;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.4155550000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.8719215000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.3916170000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 3.4379048000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.2077545000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.3788989000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 5.9570389000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 15.035396900;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.4155534000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.8717958000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.3916156000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 3.4378040000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.2077540000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.3788976000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 5.9570051000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 14.263684500;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.4155532000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 3.8717820000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.3916149000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 3.4377969000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.2077540000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.3788976000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.5758992000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 5.0714832000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.2497086000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.5520983000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.2422265000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.5434105000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.1575523000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.2371297000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111oi";
+        cell_leakage_power : 2.8957380000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0085160000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082570000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0147456000, 0.0147224000, 0.0146726000, 0.0146724000, 0.0146875000, 0.0147453000, 0.0149239000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011070400, -0.011127800, -0.011269000, -0.011238100, -0.011130700, -0.010807800, -0.009877700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087740000;
+        }
+        pin ("A2") {
+            capacitance : 0.0087880000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0132000000, 0.0131758000, 0.0131238000, 0.0131198000, 0.0131245000, 0.0131540000, 0.0132530000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013108300, -0.013108200, -0.013087700, -0.013102100, -0.013122100, -0.013158200, -0.013239700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091620000;
+        }
+        pin ("B1") {
+            capacitance : 0.0085460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0147488000, 0.0147380000, 0.0147103000, 0.0147092000, 0.0147085000, 0.0147090000, 0.0147126000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011001100, -0.011086400, -0.011305700, -0.011353800, -0.011468200, -0.011769200, -0.012596200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090140000;
+        }
+        pin ("C1") {
+            capacitance : 0.0084770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079210000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0134680000, 0.0134527000, 0.0134121000, 0.0134132000, 0.0134189000, 0.0134372000, 0.0134913000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011655800, -0.011826900, -0.012288800, -0.012316400, -0.012373700, -0.012514900, -0.012892000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090330000;
+        }
+        pin ("D1") {
+            capacitance : 0.0085010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0074153000, 0.0073906000, 0.0073236000, 0.0073561000, 0.0074505000, 0.0077187000, 0.0084769000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005833000, -0.005840400, -0.005844500, -0.005853300, -0.005861200, -0.005866600, -0.005865200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091460000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0243107000, 0.0231846000, 0.0200089000, 0.0111425000, -0.013609400, -0.082191800, -0.274478700", \
+                        "0.0240677000, 0.0229205000, 0.0197148000, 0.0108613000, -0.013901200, -0.082424000, -0.274770700", \
+                        "0.0236607000, 0.0225297000, 0.0193059000, 0.0104558000, -0.014265400, -0.082823900, -0.275165800", \
+                        "0.0227939000, 0.0216742000, 0.0185206000, 0.0097028000, -0.014965900, -0.083479500, -0.275687700", \
+                        "0.0221298000, 0.0210362000, 0.0178831000, 0.0092273000, -0.015415000, -0.083785300, -0.276069400", \
+                        "0.0218288000, 0.0206834000, 0.0174909000, 0.0086141000, -0.016002700, -0.084320800, -0.276565100", \
+                        "0.0248973000, 0.0237212000, 0.0204437000, 0.0113328000, -0.013508300, -0.083323000, -0.277279600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0446263000, 0.0458357000, 0.0490466000, 0.0579480000, 0.0826270000, 0.1509748000, 0.3424548000", \
+                        "0.0442917000, 0.0454783000, 0.0487414000, 0.0577110000, 0.0823933000, 0.1511159000, 0.3427132000", \
+                        "0.0436289000, 0.0447392000, 0.0480374000, 0.0571925000, 0.0821438000, 0.1511285000, 0.3425083000", \
+                        "0.0428590000, 0.0439463000, 0.0472121000, 0.0563183000, 0.0816648000, 0.1508990000, 0.3427766000", \
+                        "0.0422542000, 0.0433791000, 0.0466527000, 0.0555530000, 0.0804453000, 0.1496380000, 0.3418171000", \
+                        "0.0420387000, 0.0432220000, 0.0464409000, 0.0553205000, 0.0800288000, 0.1487972000, 0.3411745000", \
+                        "0.0409625000, 0.0421139000, 0.0453201000, 0.0541102000, 0.0799709000, 0.1481900000, 0.3402010000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0260867000, 0.0249487000, 0.0217724000, 0.0129488000, -0.011848000, -0.080380500, -0.272645100", \
+                        "0.0257050000, 0.0245631000, 0.0214043000, 0.0125608000, -0.012208200, -0.080741900, -0.273044300", \
+                        "0.0252103000, 0.0240697000, 0.0208766000, 0.0119784000, -0.012716700, -0.081287800, -0.273544100", \
+                        "0.0246999000, 0.0235574000, 0.0203372000, 0.0114784000, -0.013257600, -0.081777200, -0.274118100", \
+                        "0.0242035000, 0.0230606000, 0.0199409000, 0.0111914000, -0.013342800, -0.081909000, -0.274151500", \
+                        "0.0240634000, 0.0229151000, 0.0197376000, 0.0108690000, -0.014014400, -0.082505200, -0.274485900", \
+                        "0.0270882000, 0.0259517000, 0.0227251000, 0.0137282000, -0.011214000, -0.081013000, -0.274601700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0557355000, 0.0568776000, 0.0600935000, 0.0689278000, 0.0935666000, 0.1622357000, 0.3541038000", \
+                        "0.0554065000, 0.0565652000, 0.0597573000, 0.0686441000, 0.0934051000, 0.1620500000, 0.3537063000", \
+                        "0.0549684000, 0.0561031000, 0.0592861000, 0.0682877000, 0.0930619000, 0.1619277000, 0.3534562000", \
+                        "0.0542849000, 0.0554686000, 0.0587451000, 0.0677176000, 0.0925654000, 0.1614953000, 0.3533914000", \
+                        "0.0537720000, 0.0549666000, 0.0582095000, 0.0671881000, 0.0920428000, 0.1611864000, 0.3528801000", \
+                        "0.0536987000, 0.0548445000, 0.0580182000, 0.0669301000, 0.0917243000, 0.1606201000, 0.3526708000", \
+                        "0.0526792000, 0.0538172000, 0.0570379000, 0.0657799000, 0.0915167000, 0.1602024000, 0.3523185000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0169006000, 0.0157691000, 0.0125681000, 0.0037116000, -0.020879000, -0.089629100, -0.282563200", \
+                        "0.0169085000, 0.0157725000, 0.0126029000, 0.0037503000, -0.020862300, -0.089601200, -0.282481500", \
+                        "0.0168585000, 0.0157208000, 0.0125409000, 0.0036967000, -0.020836500, -0.089534700, -0.282405700", \
+                        "0.0161855000, 0.0150667000, 0.0119890000, 0.0033126000, -0.021120000, -0.089815400, -0.282656500", \
+                        "0.0154418000, 0.0143096000, 0.0112027000, 0.0025058000, -0.021717800, -0.090078500, -0.282713400", \
+                        "0.0166654000, 0.0155675000, 0.0124166000, 0.0035770000, -0.021111700, -0.090298500, -0.282851800", \
+                        "0.0212675000, 0.0201033000, 0.0167466000, 0.0076143000, -0.017757600, -0.087637700, -0.281736400");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0462282000, 0.0473870000, 0.0506220000, 0.0595358000, 0.0842053000, 0.1531178000, 0.3449777000", \
+                        "0.0457860000, 0.0470753000, 0.0502257000, 0.0591789000, 0.0841371000, 0.1528621000, 0.3444657000", \
+                        "0.0451748000, 0.0463469000, 0.0496556000, 0.0587103000, 0.0837378000, 0.1525522000, 0.3445710000", \
+                        "0.0444999000, 0.0457010000, 0.0489755000, 0.0579604000, 0.0829581000, 0.1520777000, 0.3442792000", \
+                        "0.0439958000, 0.0451699000, 0.0483672000, 0.0573508000, 0.0823364000, 0.1516375000, 0.3435185000", \
+                        "0.0439807000, 0.0451195000, 0.0483085000, 0.0572022000, 0.0819902000, 0.1509512000, 0.3430236000", \
+                        "0.0433488000, 0.0444666000, 0.0477061000, 0.0563223000, 0.0820625000, 0.1511353000, 0.3434612000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0128544000, 0.0117021000, 0.0085558000, -0.000284300, -0.024959600, -0.094076200, -0.287377000", \
+                        "0.0129522000, 0.0118145000, 0.0086725000, -0.000134400, -0.024765700, -0.093857300, -0.287147300", \
+                        "0.0130964000, 0.0120212000, 0.0089092000, 0.0002131000, -0.024305800, -0.093303800, -0.286503500", \
+                        "0.0124051000, 0.0113332000, 0.0083167000, -0.000226300, -0.024404600, -0.093243600, -0.286351900", \
+                        "0.0121416000, 0.0110895000, 0.0079927000, -0.000838800, -0.025219400, -0.093570500, -0.286329700", \
+                        "0.0124679000, 0.0113239000, 0.0081547000, -0.000629900, -0.024998400, -0.094096900, -0.286685900", \
+                        "0.0167672000, 0.0155967000, 0.0122596000, 0.0030800000, -0.022307300, -0.092248000, -0.285981800");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0398743000, 0.0410063000, 0.0441926000, 0.0531301000, 0.0780759000, 0.1469592000, 0.3382889000", \
+                        "0.0394442000, 0.0406503000, 0.0438227000, 0.0528552000, 0.0778157000, 0.1466517000, 0.3383001000", \
+                        "0.0388124000, 0.0400121000, 0.0432215000, 0.0523037000, 0.0773362000, 0.1464838000, 0.3383399000", \
+                        "0.0381874000, 0.0393719000, 0.0426058000, 0.0515888000, 0.0767671000, 0.1461205000, 0.3379520000", \
+                        "0.0377157000, 0.0389222000, 0.0420621000, 0.0510574000, 0.0758947000, 0.1452789000, 0.3373677000", \
+                        "0.0376628000, 0.0387958000, 0.0420152000, 0.0508884000, 0.0758827000, 0.1448509000, 0.3366604000", \
+                        "0.0378624000, 0.0389596000, 0.0421402000, 0.0517159000, 0.0762535000, 0.1446783000, 0.3368744000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0015254000, 0.0004033000, -0.002738500, -0.011668300, -0.036649500, -0.106080100, -0.299660900", \
+                        "0.0011586000, 8.560000e-05, -0.002962400, -0.011702100, -0.036472800, -0.105758300, -0.299297100", \
+                        "0.0003104000, -0.000716100, -0.003662700, -0.012106700, -0.036471500, -0.105475300, -0.298851500", \
+                        "-0.000557300, -0.001769500, -0.004629100, -0.012928900, -0.036908000, -0.105454700, -0.298562800", \
+                        "-0.000937600, -0.002005900, -0.004978900, -0.013353200, -0.037665900, -0.105902400, -0.298637200", \
+                        "-0.000661000, -0.001802800, -0.004996000, -0.013779500, -0.038028700, -0.106285400, -0.299143800", \
+                        "0.0036632000, 0.0024014000, -0.001011600, -0.010395400, -0.036138500, -0.105941700, -0.298757800");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
+                    values("0.0383563000, 0.0395268000, 0.0428730000, 0.0519822000, 0.0767513000, 0.1455011000, 0.3371860000", \
+                        "0.0378316000, 0.0390316000, 0.0423935000, 0.0515711000, 0.0765657000, 0.1456286000, 0.3371941000", \
+                        "0.0371856000, 0.0383621000, 0.0416596000, 0.0507167000, 0.0760997000, 0.1451814000, 0.3373392000", \
+                        "0.0365133000, 0.0376676000, 0.0409308000, 0.0498122000, 0.0751607000, 0.1442302000, 0.3367395000", \
+                        "0.0361072000, 0.0371942000, 0.0404451000, 0.0494365000, 0.0743920000, 0.1431620000, 0.3358870000", \
+                        "0.0378649000, 0.0378390000, 0.0410314000, 0.0496445000, 0.0744958000, 0.1428914000, 0.3350052000", \
+                        "0.0414819000, 0.0425673000, 0.0457482000, 0.0535166000, 0.0765905000, 0.1442977000, 0.3352185000");
+                }
+            }
+            max_capacitance : 0.0595190000;
+            max_transition : 1.4955890000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0729741000, 0.0770508000, 0.0880346000, 0.1166462000, 0.1890668000, 0.3729741000, 0.8563263000", \
+                        "0.0803901000, 0.0843408000, 0.0950152000, 0.1234611000, 0.1955517000, 0.3789821000, 0.8625309000", \
+                        "0.1025281000, 0.1064878000, 0.1168197000, 0.1446356000, 0.2163206000, 0.3990825000, 0.8818988000", \
+                        "0.1684415000, 0.1721979000, 0.1822495000, 0.2073511000, 0.2763288000, 0.4581848000, 0.9399883000", \
+                        "0.3040261000, 0.3099919000, 0.3257226000, 0.3643022000, 0.4497915000, 0.6323879000, 1.1111891000", \
+                        "0.5232179000, 0.5332494000, 0.5598470000, 0.6253452000, 0.7704364000, 1.0636874000, 1.5998830000", \
+                        "0.8305628000, 0.8480344000, 0.8944983000, 1.0099673000, 1.2669932000, 1.7877101000, 2.7131670000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.4082741000, 0.4228209000, 0.4623216000, 0.5687691000, 0.8622663000, 1.6766560000, 3.9626338000", \
+                        "0.4142550000, 0.4282345000, 0.4670732000, 0.5761883000, 0.8728168000, 1.6868126000, 3.9623562000", \
+                        "0.4305722000, 0.4454620000, 0.4855437000, 0.5950341000, 0.8931702000, 1.7113191000, 3.9872825000", \
+                        "0.4904998000, 0.5050342000, 0.5437489000, 0.6531488000, 0.9553559000, 1.7792704000, 4.0545309000", \
+                        "0.6480690000, 0.6617650000, 0.7015032000, 0.8092494000, 1.1085661000, 1.9287787000, 4.2105759000", \
+                        "0.9773994000, 0.9939084000, 1.0418777000, 1.1643781000, 1.4819441000, 2.3053943000, 4.5899013000", \
+                        "1.5792010000, 1.6055082000, 1.6800232000, 1.8507113000, 2.2677724000, 3.2316079000, 5.5598579000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0930822000, 0.0978921000, 0.1106637000, 0.1447510000, 0.2342407000, 0.4717112000, 1.1275356000", \
+                        "0.0916396000, 0.0962528000, 0.1091115000, 0.1433601000, 0.2331704000, 0.4709204000, 1.1271883000", \
+                        "0.0877477000, 0.0922774000, 0.1056295000, 0.1409111000, 0.2310889000, 0.4694669000, 1.1267084000", \
+                        "0.0988088000, 0.1017464000, 0.1123438000, 0.1423554000, 0.2279713000, 0.4683113000, 1.1261976000", \
+                        "0.1571289000, 0.1618872000, 0.1743207000, 0.2062875000, 0.2778545000, 0.4804005000, 1.1253894000", \
+                        "0.2883574000, 0.2960280000, 0.3167187000, 0.3648923000, 0.4692677000, 0.6855808000, 1.2028522000", \
+                        "0.5613787000, 0.5756640000, 0.6127515000, 0.7000408000, 0.8789829000, 1.2242767000, 1.8252604000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.2466673000, 0.2643128000, 0.3141385000, 0.4534002000, 0.8399452000, 1.9096722000, 4.8916582000", \
+                        "0.2473122000, 0.2639520000, 0.3133198000, 0.4530646000, 0.8392851000, 1.9110160000, 4.8899160000", \
+                        "0.2459659000, 0.2654486000, 0.3140383000, 0.4530430000, 0.8388674000, 1.9102382000, 4.9094977000", \
+                        "0.2463411000, 0.2659599000, 0.3139370000, 0.4531182000, 0.8424790000, 1.9128479000, 4.8920916000", \
+                        "0.2501214000, 0.2678006000, 0.3154977000, 0.4543803000, 0.8387124000, 1.9108661000, 4.9123718000", \
+                        "0.3127820000, 0.3307453000, 0.3792065000, 0.5141749000, 0.8719107000, 1.9146618000, 4.8886042000", \
+                        "0.5053361000, 0.5247731000, 0.5797746000, 0.7233678000, 1.1162989000, 2.1154922000, 4.9359636000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0840468000, 0.0880472000, 0.0986994000, 0.1271443000, 0.1993640000, 0.3831248000, 0.8662402000", \
+                        "0.0923041000, 0.0963382000, 0.1069780000, 0.1352293000, 0.2072714000, 0.3907892000, 0.8730434000", \
+                        "0.1138145000, 0.1176224000, 0.1281955000, 0.1560424000, 0.2279028000, 0.4111498000, 0.8930753000", \
+                        "0.1695463000, 0.1735170000, 0.1839716000, 0.2109214000, 0.2813740000, 0.4640260000, 0.9465054000", \
+                        "0.2919230000, 0.2970994000, 0.3111401000, 0.3464386000, 0.4283823000, 0.6132088000, 1.0956875000", \
+                        "0.5226712000, 0.5310232000, 0.5529630000, 0.6075577000, 0.7285839000, 0.9821443000, 1.5149920000", \
+                        "0.8766222000, 0.8917407000, 0.9318981000, 1.0315097000, 1.2522062000, 1.6908070000, 2.4785944000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.4910363000, 0.5056382000, 0.5449600000, 0.6513623000, 0.9461086000, 1.7629502000, 4.0351238000", \
+                        "0.4969729000, 0.5110775000, 0.5499129000, 0.6564685000, 0.9515509000, 1.7686059000, 4.0408903000", \
+                        "0.5167382000, 0.5309124000, 0.5694833000, 0.6773506000, 0.9735098000, 1.7912912000, 4.0649550000", \
+                        "0.5753646000, 0.5895490000, 0.6295813000, 0.7374885000, 1.0347612000, 1.8553586000, 4.1285411000", \
+                        "0.7254875000, 0.7391879000, 0.7787606000, 0.8866244000, 1.1828100000, 2.0031727000, 4.2789772000", \
+                        "1.0432731000, 1.0597299000, 1.1047562000, 1.2251526000, 1.5361581000, 2.3558480000, 4.6319886000", \
+                        "1.6240194000, 1.6479821000, 1.7100080000, 1.8685522000, 2.2654454000, 3.2146579000, 5.5335114000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0916338000, 0.0962351000, 0.1088940000, 0.1434490000, 0.2334482000, 0.4711381000, 1.1267963000", \
+                        "0.0901815000, 0.0951707000, 0.1076681000, 0.1424299000, 0.2323758000, 0.4706043000, 1.1262580000", \
+                        "0.0882613000, 0.0930431000, 0.1061086000, 0.1409189000, 0.2313457000, 0.4697698000, 1.1263613000", \
+                        "0.0939617000, 0.0981695000, 0.1098057000, 0.1418702000, 0.2295483000, 0.4692410000, 1.1266351000", \
+                        "0.1338735000, 0.1385032000, 0.1505283000, 0.1814535000, 0.2602318000, 0.4766300000, 1.1245829000", \
+                        "0.2340120000, 0.2398810000, 0.2557359000, 0.2943137000, 0.3901136000, 0.6024477000, 1.1779451000", \
+                        "0.4727996000, 0.4822914000, 0.5074497000, 0.5722816000, 0.7088753000, 0.9825368000, 1.5883351000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.3114653000, 0.3297464000, 0.3786198000, 0.5170480000, 0.9035569000, 1.9768778000, 4.9525344000", \
+                        "0.3110215000, 0.3287052000, 0.3788772000, 0.5177364000, 0.9056258000, 1.9747872000, 4.9537121000", \
+                        "0.3108144000, 0.3288211000, 0.3789048000, 0.5173645000, 0.9041771000, 1.9769852000, 4.9553157000", \
+                        "0.3112932000, 0.3297706000, 0.3786349000, 0.5174193000, 0.9041993000, 1.9764204000, 4.9547610000", \
+                        "0.3123247000, 0.3307564000, 0.3798685000, 0.5184663000, 0.9047252000, 1.9770288000, 4.9506270000", \
+                        "0.3691892000, 0.3874119000, 0.4349285000, 0.5702138000, 0.9343135000, 1.9811981000, 4.9561053000", \
+                        "0.5488609000, 0.5693604000, 0.6249552000, 0.7732141000, 1.1675333000, 2.1776265000, 4.9947082000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0389825000, 0.0412367000, 0.0467603000, 0.0615394000, 0.0991990000, 0.1959925000, 0.4550262000", \
+                        "0.0476003000, 0.0496177000, 0.0553275000, 0.0700163000, 0.1073385000, 0.2040889000, 0.4629321000", \
+                        "0.0725372000, 0.0743857000, 0.0795834000, 0.0936428000, 0.1306026000, 0.2268736000, 0.4857432000", \
+                        "0.1324870000, 0.1352824000, 0.1424766000, 0.1600815000, 0.1980946000, 0.2930622000, 0.5517320000", \
+                        "0.2372403000, 0.2418415000, 0.2543092000, 0.2852866000, 0.3520723000, 0.4790728000, 0.7387794000", \
+                        "0.4020166000, 0.4099962000, 0.4333648000, 0.4902413000, 0.6134848000, 0.8473229000, 1.2469324000", \
+                        "0.6107438000, 0.6273640000, 0.6705843000, 0.7772509000, 1.0118129000, 1.4537882000, 2.1970683000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.4571158000, 0.4718141000, 0.5111140000, 0.6181529000, 0.9136266000, 1.7302462000, 4.0023291000", \
+                        "0.4597072000, 0.4747754000, 0.5130055000, 0.6216850000, 0.9182243000, 1.7360646000, 4.0085126000", \
+                        "0.4737789000, 0.4886132000, 0.5290697000, 0.6378271000, 0.9359095000, 1.7559911000, 4.0301149000", \
+                        "0.5281270000, 0.5424555000, 0.5828868000, 0.6908288000, 0.9899347000, 1.8118314000, 4.0880237000", \
+                        "0.6735105000, 0.6878577000, 0.7265837000, 0.8343462000, 1.1313194000, 1.9529220000, 4.2317478000", \
+                        "0.9891229000, 1.0065327000, 1.0540916000, 1.1817909000, 1.5045625000, 2.3253519000, 4.6027737000", \
+                        "1.5690699000, 1.5988908000, 1.6777600000, 1.8663990000, 2.3141480000, 3.3147254000, 5.6425371000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0570747000, 0.0592170000, 0.0653032000, 0.0826439000, 0.1297936000, 0.2584024000, 0.6202902000", \
+                        "0.0558130000, 0.0580128000, 0.0642729000, 0.0818375000, 0.1293260000, 0.2582236000, 0.6201311000", \
+                        "0.0551726000, 0.0571873000, 0.0630288000, 0.0800842000, 0.1280802000, 0.2579112000, 0.6202827000", \
+                        "0.0781710000, 0.0798502000, 0.0844579000, 0.0967949000, 0.1355445000, 0.2571551000, 0.6196590000", \
+                        "0.1436571000, 0.1462473000, 0.1531578000, 0.1704697000, 0.2113093000, 0.3068805000, 0.6250533000", \
+                        "0.2863287000, 0.2908742000, 0.3024155000, 0.3310676000, 0.3975707000, 0.5327108000, 0.8057253000", \
+                        "0.5974666000, 0.6062775000, 0.6295832000, 0.6849838000, 0.8014954000, 1.0301671000, 1.4437741000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.3115599000, 0.3294175000, 0.3788564000, 0.5186832000, 0.9052700000, 1.9748827000, 4.9518776000", \
+                        "0.3110169000, 0.3284254000, 0.3784600000, 0.5182038000, 0.9054171000, 1.9767148000, 4.9546056000", \
+                        "0.3113536000, 0.3298530000, 0.3787741000, 0.5173948000, 0.9051230000, 1.9773270000, 4.9520144000", \
+                        "0.3113191000, 0.3299059000, 0.3788984000, 0.5176417000, 0.9052247000, 1.9771784000, 4.9513211000", \
+                        "0.3162760000, 0.3336904000, 0.3815891000, 0.5192839000, 0.9051520000, 1.9774822000, 4.9520299000", \
+                        "0.4047112000, 0.4213970000, 0.4706658000, 0.6029428000, 0.9548863000, 1.9827926000, 4.9527920000", \
+                        "0.6883987000, 0.7081538000, 0.7644098000, 0.9103442000, 1.2838599000, 2.2401168000, 4.9999462000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0371896000, 0.0389369000, 0.0435642000, 0.0558434000, 0.0883244000, 0.1751184000, 0.4132330000", \
+                        "0.0453168000, 0.0469917000, 0.0516065000, 0.0639223000, 0.0964761000, 0.1830091000, 0.4214154000", \
+                        "0.0689473000, 0.0705754000, 0.0750889000, 0.0872924000, 0.1195236000, 0.2065136000, 0.4446746000", \
+                        "0.1218818000, 0.1246389000, 0.1318160000, 0.1492010000, 0.1862345000, 0.2725106000, 0.5109422000", \
+                        "0.2074101000, 0.2119779000, 0.2255321000, 0.2580533000, 0.3267190000, 0.4538714000, 0.6981248000", \
+                        "0.3312587000, 0.3409985000, 0.3665186000, 0.4273622000, 0.5571690000, 0.7960034000, 1.1931329000", \
+                        "0.4527382000, 0.4712164000, 0.5198048000, 0.6361763000, 0.8859082000, 1.3458362000, 2.0900828000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.3832321000, 0.3972214000, 0.4360049000, 0.5432159000, 0.8393765000, 1.6564080000, 3.9285508000", \
+                        "0.3850251000, 0.3994353000, 0.4382131000, 0.5463295000, 0.8437878000, 1.6618785000, 3.9346095000", \
+                        "0.3969704000, 0.4118831000, 0.4514577000, 0.5604414000, 0.8596926000, 1.6796262000, 3.9538386000", \
+                        "0.4491505000, 0.4628155000, 0.5023955000, 0.6109033000, 0.9097059000, 1.7319240000, 4.0089425000", \
+                        "0.5948408000, 0.6090125000, 0.6481935000, 0.7570667000, 1.0547174000, 1.8758111000, 4.1545829000", \
+                        "0.9264393000, 0.9446142000, 0.9970916000, 1.1338133000, 1.4715170000, 2.2955748000, 4.5737183000", \
+                        "1.5056960000, 1.5377516000, 1.6277716000, 1.8592116000, 2.3661639000, 3.4203025000, 5.7569398000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0382998000, 0.0403236000, 0.0463126000, 0.0628737000, 0.1075734000, 0.2269101000, 0.5601721000", \
+                        "0.0380043000, 0.0397988000, 0.0459189000, 0.0626883000, 0.1075785000, 0.2269315000, 0.5596590000", \
+                        "0.0388615000, 0.0408634000, 0.0464565000, 0.0624275000, 0.1072531000, 0.2270579000, 0.5607794000", \
+                        "0.0643438000, 0.0661098000, 0.0712659000, 0.0838564000, 0.1188779000, 0.2277343000, 0.5593748000", \
+                        "0.1318356000, 0.1344519000, 0.1411305000, 0.1589310000, 0.1988288000, 0.2867921000, 0.5705590000", \
+                        "0.2771998000, 0.2816315000, 0.2933737000, 0.3229441000, 0.3874989000, 0.5153568000, 0.7642509000", \
+                        "0.5913144000, 0.6003534000, 0.6240329000, 0.6830910000, 0.7974454000, 1.0145259000, 1.4070266000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.3107426000, 0.3287485000, 0.3778186000, 0.5182501000, 0.9051538000, 1.9775817000, 4.9554996000", \
+                        "0.3112165000, 0.3287326000, 0.3781902000, 0.5182208000, 0.9050116000, 1.9770430000, 4.9592650000", \
+                        "0.3104293000, 0.3294682000, 0.3783203000, 0.5183932000, 0.9044610000, 1.9766657000, 4.9514819000", \
+                        "0.3105096000, 0.3285548000, 0.3784035000, 0.5182552000, 0.9049947000, 1.9775750000, 4.9559130000", \
+                        "0.3247513000, 0.3418655000, 0.3884615000, 0.5215900000, 0.9043590000, 1.9771416000, 4.9632418000", \
+                        "0.4450090000, 0.4621714000, 0.5103738000, 0.6386532000, 0.9748826000, 1.9855622000, 4.9559898000", \
+                        "0.7917104000, 0.8176989000, 0.8810031000, 1.0429161000, 1.4172279000, 2.3121034000, 5.0063460000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0275300000, 0.0290516000, 0.0330822000, 0.0440184000, 0.0734499000, 0.1544151000, 0.3800152000", \
+                        "0.0354502000, 0.0369912000, 0.0411951000, 0.0523594000, 0.0818946000, 0.1630078000, 0.3884436000", \
+                        "0.0578807000, 0.0598566000, 0.0646663000, 0.0759785000, 0.1055927000, 0.1874194000, 0.4126187000", \
+                        "0.0979676000, 0.1021038000, 0.1112575000, 0.1318713000, 0.1722918000, 0.2548199000, 0.4782030000", \
+                        "0.1620050000, 0.1691358000, 0.1857758000, 0.2253133000, 0.3023737000, 0.4346213000, 0.6703167000", \
+                        "0.2418473000, 0.2555034000, 0.2898630000, 0.3676306000, 0.5155004000, 0.7655950000, 1.1646397000", \
+                        "0.2812277000, 0.3075268000, 0.3731572000, 0.5231028000, 0.8175564000, 1.3091582000, 2.0658568000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.2509157000, 0.2645794000, 0.3049255000, 0.4138299000, 0.7104328000, 1.5288089000, 3.8003005000", \
+                        "0.2500766000, 0.2652819000, 0.3057372000, 0.4155343000, 0.7132335000, 1.5326277000, 3.8063934000", \
+                        "0.2619973000, 0.2767130000, 0.3162493000, 0.4245838000, 0.7259195000, 1.5486976000, 3.8240695000", \
+                        "0.3121260000, 0.3260635000, 0.3649465000, 0.4711349000, 0.7703732000, 1.5957138000, 3.8748733000", \
+                        "0.4789346000, 0.4919420000, 0.5276727000, 0.6284034000, 0.9214194000, 1.7399250000, 4.0205475000", \
+                        "0.8114639000, 0.8346713000, 0.8934444000, 1.0376977000, 1.3652696000, 2.1817539000, 4.4563424000", \
+                        "1.3804762000, 1.4157397000, 1.5141168000, 1.7555418000, 2.2968967000, 3.4035732000, 5.7002105000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.0229983000, 0.0249704000, 0.0306606000, 0.0463866000, 0.0899307000, 0.2031417000, 0.5139167000", \
+                        "0.0229647000, 0.0249759000, 0.0305490000, 0.0463756000, 0.0898500000, 0.2031759000, 0.5138969000", \
+                        "0.0289227000, 0.0300572000, 0.0347150000, 0.0483748000, 0.0898813000, 0.2032714000, 0.5138313000", \
+                        "0.0593610000, 0.0606654000, 0.0650302000, 0.0764067000, 0.1061713000, 0.2055253000, 0.5139930000", \
+                        "0.1333398000, 0.1352954000, 0.1415032000, 0.1558806000, 0.1926544000, 0.2709234000, 0.5261410000", \
+                        "0.2933293000, 0.2962568000, 0.3044362000, 0.3271405000, 0.3852006000, 0.5055001000, 0.7348203000", \
+                        "0.6394619000, 0.6459768000, 0.6654295000, 0.7120992000, 0.8089574000, 1.0062513000, 1.3677902000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
+                    values("0.3043223000, 0.3231174000, 0.3747800000, 0.5167691000, 0.9041525000, 1.9763231000, 4.9546061000", \
+                        "0.3029989000, 0.3219780000, 0.3742514000, 0.5162323000, 0.9051866000, 1.9776947000, 4.9659499000", \
+                        "0.3013517000, 0.3192968000, 0.3719756000, 0.5156100000, 0.9049110000, 1.9750899000, 4.9504774000", \
+                        "0.2898075000, 0.3108350000, 0.3641647000, 0.5120588000, 0.9043726000, 1.9750988000, 4.9508855000", \
+                        "0.3176186000, 0.3330670000, 0.3786119000, 0.5104701000, 0.8960904000, 1.9770126000, 4.9522670000", \
+                        "0.4686752000, 0.4919818000, 0.5450259000, 0.6812808000, 1.0042882000, 1.9783275000, 4.9525641000", \
+                        "0.8091304000, 0.8363896000, 0.9172789000, 1.1196936000, 1.5414124000, 2.4387579000, 5.0159947000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211o_1 */
+
+/* removed sky130_fd_sc_hd__a211o_2 */
+
+    cell ("sky130_fd_sc_hd__a211o_4") {
+        leakage_power () {
+            value : 5.9596097000;
+            when : "!A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 13.602013700;
+            when : "!A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 3.8645007000;
+            when : "!A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 5.8863354000;
+            when : "!A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 5.9594871000;
+            when : "!A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 15.386801200;
+            when : "!A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 3.8644994000;
+            when : "!A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 5.8862311000;
+            when : "!A1&A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 5.9595511000;
+            when : "A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 14.823367400;
+            when : "A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 3.8644998000;
+            when : "A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 5.8862826000;
+            when : "A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 3.9901362000;
+            when : "A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 7.0588029000;
+            when : "A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 3.7780192000;
+            when : "A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 3.9953769000;
+            when : "A1&A2&B1&!C1";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__a211o";
+        cell_leakage_power : 6.8603450000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043210000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065458000, 0.0065642000, 0.0066608000, 0.0066407000, 0.0066286000, 0.0066393000, 0.0067144000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005119800, -0.005218900, -0.005450100, -0.005462500, -0.005449700, -0.005365700, -0.005081400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044370000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047120000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045360000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0058189000, 0.0058386000, 0.0059395000, 0.0059159000, 0.0058949000, 0.0058811000, 0.0058877000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005717700, -0.005798700, -0.005979200, -0.006009600, -0.006047300, -0.006105700, -0.006222600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048890000;
+        }
+        pin ("B1") {
+            capacitance : 0.0047390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0058319000, 0.0058600000, 0.0059682000, 0.0059547000, 0.0059453000, 0.0059475000, 0.0059827000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005117200, -0.005292200, -0.005742300, -0.005775900, -0.005827600, -0.005930400, -0.006177100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0049780000;
+        }
+        pin ("C1") {
+            capacitance : 0.0043960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041060000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0037943000, 0.0038153000, 0.0039031000, 0.0039020000, 0.0039277000, 0.0040288000, 0.0043423000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002500600, -0.002564600, -0.002702600, -0.002727100, -0.002753500, -0.002785200, -0.002831900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046860000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0263053000, 0.0251469000, 0.0202243000, -0.000186700, -0.086209700, -0.421490300, -1.676166300", \
+                        "0.0262571000, 0.0248907000, 0.0199688000, -0.000338200, -0.086399000, -0.421727400, -1.676261300", \
+                        "0.0261038000, 0.0247512000, 0.0198110000, -0.000505300, -0.086550000, -0.421866700, -1.676403500", \
+                        "0.0256641000, 0.0243226000, 0.0194197000, -0.000905300, -0.086962600, -0.422258600, -1.676788300", \
+                        "0.0253249000, 0.0239830000, 0.0190338000, -0.001249500, -0.087320000, -0.422694200, -1.677106400", \
+                        "0.0278081000, 0.0260785000, 0.0196157000, -0.001991600, -0.087762400, -0.422868700, -1.677323300", \
+                        "0.0338119000, 0.0320025000, 0.0254333000, 0.0014343000, -0.088044500, -0.423503400, -1.677431100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0284230000, 0.0303820000, 0.0376876000, 0.0629636000, 0.1536581000, 0.4870778000, 1.7301242000", \
+                        "0.0284171000, 0.0303591000, 0.0375481000, 0.0630188000, 0.1536402000, 0.4871776000, 1.7319231000", \
+                        "0.0281478000, 0.0301025000, 0.0372426000, 0.0627406000, 0.1533119000, 0.4867525000, 1.7321372000", \
+                        "0.0279545000, 0.0299121000, 0.0371146000, 0.0625458000, 0.1530440000, 0.4886088000, 1.7332522000", \
+                        "0.0281043000, 0.0299592000, 0.0369771000, 0.0619035000, 0.1519842000, 0.4860029000, 1.7291322000", \
+                        "0.0295126000, 0.0312695000, 0.0378334000, 0.0621675000, 0.1516376000, 0.4846480000, 1.7289734000", \
+                        "0.0315099000, 0.0331399000, 0.0396095000, 0.0639800000, 0.1531198000, 0.4855519000, 1.7269813000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0311109000, 0.0297733000, 0.0249062000, 0.0045359000, -0.081550100, -0.416803700, -1.671014800", \
+                        "0.0311425000, 0.0297322000, 0.0248522000, 0.0044277000, -0.081677200, -0.417042000, -1.671293600", \
+                        "0.0310256000, 0.0296298000, 0.0246948000, 0.0043419000, -0.081741000, -0.417075600, -1.671363800", \
+                        "0.0306477000, 0.0293029000, 0.0244624000, 0.0041659000, -0.081998900, -0.417252500, -1.671532700", \
+                        "0.0304808000, 0.0291370000, 0.0242474000, 0.0038895000, -0.082279200, -0.417486900, -1.671735400", \
+                        "0.0327739000, 0.0310117000, 0.0245565000, 0.0031648000, -0.082602900, -0.417666600, -1.671877800", \
+                        "0.0391040000, 0.0373066000, 0.0307201000, 0.0066761000, -0.082832400, -0.418259900, -1.671977400");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0290596000, 0.0309924000, 0.0382433000, 0.0636844000, 0.1542839000, 0.4870784000, 1.7358118000", \
+                        "0.0290407000, 0.0309950000, 0.0382244000, 0.0636746000, 0.1542912000, 0.4889814000, 1.7308963000", \
+                        "0.0287239000, 0.0306790000, 0.0378113000, 0.0633233000, 0.1538992000, 0.4874049000, 1.7319002000", \
+                        "0.0284532000, 0.0304198000, 0.0377147000, 0.0631171000, 0.1536005000, 0.4871385000, 1.7301920000", \
+                        "0.0284432000, 0.0303742000, 0.0374874000, 0.0623670000, 0.1530688000, 0.4867104000, 1.7338585000", \
+                        "0.0301718000, 0.0318664000, 0.0385758000, 0.0631394000, 0.1524329000, 0.4857707000, 1.7295078000", \
+                        "0.0319350000, 0.0337469000, 0.0401445000, 0.0643395000, 0.1538162000, 0.4865644000, 1.7277582000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0262576000, 0.0248700000, 0.0201262000, -0.000241600, -0.086324300, -0.421673800, -1.675918500", \
+                        "0.0261397000, 0.0247524000, 0.0198570000, -0.000456100, -0.086538600, -0.421808200, -1.676161700", \
+                        "0.0259087000, 0.0244828000, 0.0197275000, -0.000600100, -0.086712000, -0.421962600, -1.676221400", \
+                        "0.0256379000, 0.0242344000, 0.0194071000, -0.000879400, -0.087009600, -0.422256500, -1.676606500", \
+                        "0.0254045000, 0.0240155000, 0.0192089000, -0.001211900, -0.087403000, -0.422539300, -1.676756400", \
+                        "0.0283490000, 0.0265764000, 0.0200504000, -0.001791200, -0.087720800, -0.422794200, -1.676901800", \
+                        "0.0351552000, 0.0332692000, 0.0265624000, 0.0023948000, -0.087363200, -0.422819700, -1.676459300");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0262696000, 0.0282386000, 0.0353510000, 0.0602797000, 0.1503476000, 0.4832100000, 1.7259075000", \
+                        "0.0264209000, 0.0284134000, 0.0355312000, 0.0605134000, 0.1505695000, 0.4834548000, 1.7308317000", \
+                        "0.0264956000, 0.0284865000, 0.0355730000, 0.0604746000, 0.1506824000, 0.4838607000, 1.7277906000", \
+                        "0.0261431000, 0.0280682000, 0.0350470000, 0.0598488000, 0.1500382000, 0.4852034000, 1.7257712000", \
+                        "0.0259793000, 0.0277731000, 0.0343783000, 0.0590497000, 0.1487116000, 0.4821420000, 1.7248208000", \
+                        "0.0265585000, 0.0282657000, 0.0349014000, 0.0594706000, 0.1485716000, 0.4812554000, 1.7248532000", \
+                        "0.0292617000, 0.0309861000, 0.0373347000, 0.0617153000, 0.1517615000, 0.4870113000, 1.7271742000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0247856000, 0.0234750000, 0.0186075000, -0.001764100, -0.087854300, -0.423248000, -1.677451800", \
+                        "0.0244788000, 0.0230887000, 0.0182547000, -0.002084800, -0.088158200, -0.423413600, -1.677694600", \
+                        "0.0243400000, 0.0229663000, 0.0181469000, -0.002193100, -0.088342200, -0.423612100, -1.677892400", \
+                        "0.0239563000, 0.0226126000, 0.0177376000, -0.002580300, -0.088728100, -0.423951400, -1.678189200", \
+                        "0.0237572000, 0.0223660000, 0.0175026000, -0.002886800, -0.089159400, -0.424266900, -1.678430900", \
+                        "0.0269793000, 0.0252047000, 0.0185922000, -0.003432600, -0.089422100, -0.424398200, -1.678421800", \
+                        "0.0359097000, 0.0339946000, 0.0271486000, 0.0026612000, -0.087260700, -0.422670000, -1.676242800");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
+                    values("0.0210540000, 0.0230604000, 0.0301699000, 0.0549880000, 0.1454525000, 0.4761925000, 1.7171332000", \
+                        "0.0212016000, 0.0231793000, 0.0302884000, 0.0551291000, 0.1448948000, 0.4784191000, 1.7181034000", \
+                        "0.0210075000, 0.0229693000, 0.0300228000, 0.0548368000, 0.1454110000, 0.4779540000, 1.7225727000", \
+                        "0.0205534000, 0.0224502000, 0.0293643000, 0.0540397000, 0.1441573000, 0.4750909000, 1.7241613000", \
+                        "0.0204057000, 0.0222211000, 0.0288985000, 0.0533663000, 0.1431011000, 0.4745162000, 1.7158391000", \
+                        "0.0207124000, 0.0224531000, 0.0290531000, 0.0535178000, 0.1424797000, 0.4745002000, 1.7183744000", \
+                        "0.0231840000, 0.0248619000, 0.0312166000, 0.0553335000, 0.1456018000, 0.4797057000, 1.7179986000");
+                }
+            }
+            max_capacitance : 0.3561500000;
+            max_transition : 1.4988980000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.5109725000, 0.5213557000, 0.5509829000, 0.6211198000, 0.7758607000, 1.1660085000, 2.4677349000", \
+                        "0.5186380000, 0.5290834000, 0.5588663000, 0.6293688000, 0.7835010000, 1.1738559000, 2.4737967000", \
+                        "0.5406153000, 0.5510919000, 0.5807664000, 0.6512342000, 0.8053566000, 1.1957711000, 2.4959474000", \
+                        "0.6014757000, 0.6119332000, 0.6416927000, 0.7120849000, 0.8665793000, 1.2565675000, 2.5585603000", \
+                        "0.7551363000, 0.7655897000, 0.7949807000, 0.8651748000, 1.0199404000, 1.4110300000, 2.7125382000", \
+                        "1.1077326000, 1.1191978000, 1.1515457000, 1.2266665000, 1.3900071000, 1.7860833000, 3.0908482000", \
+                        "1.7925392000, 1.8072244000, 1.8481238000, 1.9420048000, 2.1336199000, 2.5653089000, 3.8730690000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.1773467000, 0.1851793000, 0.2088817000, 0.2725993000, 0.4665650000, 1.1587294000, 3.7125645000", \
+                        "0.1840563000, 0.1919017000, 0.2153561000, 0.2791699000, 0.4734262000, 1.1626501000, 3.7232699000", \
+                        "0.2053073000, 0.2131485000, 0.2364226000, 0.3002284000, 0.4945268000, 1.1836397000, 3.7450016000", \
+                        "0.2674454000, 0.2752019000, 0.2984838000, 0.3618387000, 0.5555459000, 1.2474362000, 3.8016337000", \
+                        "0.4242893000, 0.4324436000, 0.4563418000, 0.5206417000, 0.7137636000, 1.4065926000, 3.9607362000", \
+                        "0.6940215000, 0.7057872000, 0.7360219000, 0.8068197000, 1.0031448000, 1.6936440000, 4.2466533000", \
+                        "1.0911674000, 1.1078176000, 1.1543394000, 1.2537076000, 1.4657329000, 2.1559614000, 4.7121285000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0626785000, 0.0683912000, 0.0862537000, 0.1349645000, 0.2659520000, 0.7137194000, 2.4826767000", \
+                        "0.0623883000, 0.0687955000, 0.0859045000, 0.1332960000, 0.2654644000, 0.7130503000, 2.4827487000", \
+                        "0.0623789000, 0.0680776000, 0.0859273000, 0.1349573000, 0.2654421000, 0.7134655000, 2.4827782000", \
+                        "0.0629147000, 0.0692887000, 0.0859151000, 0.1349193000, 0.2656760000, 0.7138787000, 2.4828450000", \
+                        "0.0622345000, 0.0682309000, 0.0865190000, 0.1341451000, 0.2664626000, 0.7116319000, 2.4804712000", \
+                        "0.0717850000, 0.0782014000, 0.0965557000, 0.1462528000, 0.2758083000, 0.7180998000, 2.4774334000", \
+                        "0.0992115000, 0.1056303000, 0.1277447000, 0.1817937000, 0.3247012000, 0.7574688000, 2.4795910000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0389587000, 0.0449169000, 0.0645884000, 0.1314327000, 0.3868649000, 1.3622722000, 5.0033155000", \
+                        "0.0385704000, 0.0447797000, 0.0646324000, 0.1313143000, 0.3866066000, 1.3637692000, 5.0006572000", \
+                        "0.0384504000, 0.0447387000, 0.0644526000, 0.1312531000, 0.3857110000, 1.3634511000, 4.9950271000", \
+                        "0.0382443000, 0.0441936000, 0.0639727000, 0.1307000000, 0.3858717000, 1.3640443000, 5.0037903000", \
+                        "0.0433041000, 0.0489272000, 0.0681382000, 0.1324518000, 0.3859096000, 1.3619319000, 5.0046380000", \
+                        "0.0629228000, 0.0690353000, 0.0871484000, 0.1479201000, 0.3908065000, 1.3629301000, 4.9962945000", \
+                        "0.1038562000, 0.1123530000, 0.1354081000, 0.1928116000, 0.4095903000, 1.3662897000, 5.0069735000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.5309918000, 0.5411960000, 0.5700483000, 0.6384157000, 0.7888027000, 1.1752769000, 2.4792366000", \
+                        "0.5377815000, 0.5479817000, 0.5770272000, 0.6446738000, 0.7962953000, 1.1825798000, 2.4826201000", \
+                        "0.5615658000, 0.5717705000, 0.6007764000, 0.6683693000, 0.8199715000, 1.2063005000, 2.5061606000", \
+                        "0.6246974000, 0.6349108000, 0.6637716000, 0.7312437000, 0.8823968000, 1.2687722000, 2.5734981000", \
+                        "0.7774474000, 0.7876492000, 0.8166534000, 0.8844448000, 1.0355524000, 1.4220951000, 2.7238660000", \
+                        "1.1278928000, 1.1390526000, 1.1709267000, 1.2427759000, 1.4012550000, 1.7920623000, 3.0973779000", \
+                        "1.7937520000, 1.8079481000, 1.8475227000, 1.9379802000, 2.1237794000, 2.5479789000, 3.8606038000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.1849760000, 0.1927907000, 0.2163868000, 0.2801471000, 0.4744116000, 1.1646858000, 3.7247199000", \
+                        "0.1929559000, 0.2008016000, 0.2243528000, 0.2881058000, 0.4823033000, 1.1716027000, 3.7322461000", \
+                        "0.2137151000, 0.2215577000, 0.2448349000, 0.3086801000, 0.5029993000, 1.1920517000, 3.7530974000", \
+                        "0.2699602000, 0.2777575000, 0.3012838000, 0.3647226000, 0.5583646000, 1.2506999000, 3.8047285000", \
+                        "0.4131861000, 0.4215042000, 0.4460059000, 0.5104407000, 0.7043133000, 1.3935713000, 3.9549417000", \
+                        "0.6903315000, 0.7003599000, 0.7300751000, 0.8021732000, 0.9998179000, 1.6897250000, 4.2501815000", \
+                        "1.1462327000, 1.1616108000, 1.2052211000, 1.2997832000, 1.5129803000, 2.2059732000, 4.7565720000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0611379000, 0.0670213000, 0.0840440000, 0.1316810000, 0.2606269000, 0.7087516000, 2.4766946000", \
+                        "0.0617446000, 0.0676864000, 0.0839601000, 0.1307532000, 0.2609201000, 0.7074561000, 2.4801592000", \
+                        "0.0613276000, 0.0675126000, 0.0839354000, 0.1309726000, 0.2609279000, 0.7077727000, 2.4809681000", \
+                        "0.0611004000, 0.0670569000, 0.0840632000, 0.1313380000, 0.2603379000, 0.7076315000, 2.4840059000", \
+                        "0.0609625000, 0.0673424000, 0.0837654000, 0.1306234000, 0.2602512000, 0.7080905000, 2.4834896000", \
+                        "0.0689706000, 0.0752426000, 0.0929076000, 0.1415852000, 0.2693344000, 0.7127277000, 2.4839533000", \
+                        "0.0958215000, 0.1021469000, 0.1233713000, 0.1763173000, 0.3132089000, 0.7479481000, 2.4820812000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0388745000, 0.0450237000, 0.0646971000, 0.1312877000, 0.3860774000, 1.3610700000, 5.0049715000", \
+                        "0.0388311000, 0.0447804000, 0.0646620000, 0.1312522000, 0.3866449000, 1.3620834000, 5.0063562000", \
+                        "0.0384649000, 0.0447506000, 0.0644049000, 0.1312657000, 0.3857185000, 1.3637397000, 4.9998015000", \
+                        "0.0384420000, 0.0443835000, 0.0641288000, 0.1310756000, 0.3866459000, 1.3623146000, 5.0041344000", \
+                        "0.0421044000, 0.0481520000, 0.0679273000, 0.1328450000, 0.3857952000, 1.3635649000, 4.9951030000", \
+                        "0.0570002000, 0.0630024000, 0.0826655000, 0.1455791000, 0.3912718000, 1.3606860000, 5.0020188000", \
+                        "0.0903178000, 0.0985189000, 0.1227846000, 0.1845336000, 0.4078051000, 1.3668403000, 4.9969442000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.4953975000, 0.5056248000, 0.5342871000, 0.6026136000, 0.7537674000, 1.1401865000, 2.4407985000", \
+                        "0.5007729000, 0.5109614000, 0.5401232000, 0.6077689000, 0.7588722000, 1.1454168000, 2.4463711000", \
+                        "0.5201241000, 0.5303167000, 0.5592946000, 0.6276116000, 0.7788003000, 1.1651965000, 2.4684388000", \
+                        "0.5760842000, 0.5862965000, 0.6153024000, 0.6826812000, 0.8338346000, 1.2203873000, 2.5248055000", \
+                        "0.7296219000, 0.7397012000, 0.7688985000, 0.8368733000, 0.9877836000, 1.3742084000, 2.6790132000", \
+                        "1.1122923000, 1.1239115000, 1.1564668000, 1.2314906000, 1.3912087000, 1.7837406000, 3.0864999000", \
+                        "1.8347704000, 1.8507641000, 1.8951796000, 1.9924513000, 2.1826549000, 2.6051934000, 3.9175867000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.1034091000, 0.1095900000, 0.1284275000, 0.1834790000, 0.3702578000, 1.0575367000, 3.6113557000", \
+                        "0.1116756000, 0.1178314000, 0.1366109000, 0.1917726000, 0.3779117000, 1.0632556000, 3.6212300000", \
+                        "0.1354770000, 0.1416492000, 0.1604103000, 0.2154060000, 0.4015467000, 1.0873148000, 3.6441659000", \
+                        "0.2015992000, 0.2077592000, 0.2264435000, 0.2813379000, 0.4677079000, 1.1549489000, 3.7031764000", \
+                        "0.3340508000, 0.3419795000, 0.3643828000, 0.4233154000, 0.6103493000, 1.2965329000, 3.8470862000", \
+                        "0.5492926000, 0.5621166000, 0.5952064000, 0.6675959000, 0.8593217000, 1.5446785000, 4.0950101000", \
+                        "0.8455783000, 0.8667541000, 0.9221467000, 1.0322281000, 1.2501186000, 1.9383553000, 4.4845714000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0617506000, 0.0665089000, 0.0849411000, 0.1312738000, 0.2607255000, 0.7067818000, 2.4775573000", \
+                        "0.0615105000, 0.0666160000, 0.0839423000, 0.1324647000, 0.2613161000, 0.7065743000, 2.4814055000", \
+                        "0.0615564000, 0.0665430000, 0.0841625000, 0.1307779000, 0.2608341000, 0.7074262000, 2.4744422000", \
+                        "0.0608389000, 0.0666851000, 0.0839847000, 0.1312484000, 0.2608460000, 0.7087917000, 2.4783671000", \
+                        "0.0610876000, 0.0668875000, 0.0856221000, 0.1314771000, 0.2603491000, 0.7084507000, 2.4774951000", \
+                        "0.0728730000, 0.0794679000, 0.0967308000, 0.1440748000, 0.2717221000, 0.7125067000, 2.4816194000", \
+                        "0.1122819000, 0.1199493000, 0.1406334000, 0.1924364000, 0.3246059000, 0.7440400000, 2.4864897000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0287996000, 0.0337957000, 0.0513223000, 0.1176404000, 0.3775916000, 1.3571501000, 4.9976121000", \
+                        "0.0286711000, 0.0336743000, 0.0513172000, 0.1175935000, 0.3780420000, 1.3576326000, 5.0005395000", \
+                        "0.0285982000, 0.0336828000, 0.0512733000, 0.1176569000, 0.3776723000, 1.3579371000, 4.9943458000", \
+                        "0.0295990000, 0.0345435000, 0.0518506000, 0.1178124000, 0.3786165000, 1.3586841000, 4.9957150000", \
+                        "0.0412200000, 0.0461573000, 0.0620485000, 0.1231046000, 0.3778820000, 1.3542464000, 4.9978834000", \
+                        "0.0686606000, 0.0747074000, 0.0904436000, 0.1427391000, 0.3830021000, 1.3556551000, 4.9899076000", \
+                        "0.1207119000, 0.1310811000, 0.1540306000, 0.2040976000, 0.4072097000, 1.3635308000, 4.9905657000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.4339625000, 0.4442308000, 0.4732031000, 0.5408820000, 0.6924536000, 1.0787297000, 2.3780711000", \
+                        "0.4379252000, 0.4480883000, 0.4771153000, 0.5455385000, 0.6958537000, 1.0824815000, 2.3863409000", \
+                        "0.4541309000, 0.4644069000, 0.4934149000, 0.5613546000, 0.7121550000, 1.0986724000, 2.4003577000", \
+                        "0.5088021000, 0.5189939000, 0.5478661000, 0.6153151000, 0.7664446000, 1.1529402000, 2.4576616000", \
+                        "0.6779504000, 0.6881722000, 0.7172655000, 0.7851959000, 0.9360810000, 1.3225125000, 2.6276916000", \
+                        "1.1103407000, 1.1224626000, 1.1557861000, 1.2284944000, 1.3849623000, 1.7768904000, 3.0816729000", \
+                        "1.8754760000, 1.8932382000, 1.9420159000, 2.0452271000, 2.2321860000, 2.6422868000, 3.9542369000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0937859000, 0.0998813000, 0.1184520000, 0.1729767000, 0.3583604000, 1.0483292000, 3.5913386000", \
+                        "0.1021852000, 0.1082693000, 0.1269127000, 0.1814420000, 0.3665118000, 1.0508258000, 3.6012824000", \
+                        "0.1262524000, 0.1323142000, 0.1508002000, 0.2052232000, 0.3908964000, 1.0746379000, 3.6359711000", \
+                        "0.1898825000, 0.1960742000, 0.2147501000, 0.2693386000, 0.4558751000, 1.1407908000, 3.7192944000", \
+                        "0.3102077000, 0.3185462000, 0.3416479000, 0.4012453000, 0.5878534000, 1.2754632000, 3.8236747000", \
+                        "0.4991279000, 0.5126214000, 0.5481261000, 0.6235722000, 0.8158000000, 1.5008076000, 4.0551065000", \
+                        "0.7348747000, 0.7581691000, 0.8184965000, 0.9371688000, 1.1630955000, 1.8507643000, 4.3973025000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0616497000, 0.0664326000, 0.0839304000, 0.1311728000, 0.2609026000, 0.7078969000, 2.4822793000", \
+                        "0.0615717000, 0.0666925000, 0.0840347000, 0.1317933000, 0.2605375000, 0.7086624000, 2.4766638000", \
+                        "0.0616698000, 0.0677821000, 0.0846168000, 0.1328105000, 0.2604159000, 0.7083449000, 2.4837572000", \
+                        "0.0610294000, 0.0668418000, 0.0839795000, 0.1312565000, 0.2607923000, 0.7088317000, 2.4786513000", \
+                        "0.0611263000, 0.0663259000, 0.0837457000, 0.1300428000, 0.2598698000, 0.7079677000, 2.4836040000", \
+                        "0.0787220000, 0.0844298000, 0.1001071000, 0.1428799000, 0.2697390000, 0.7136480000, 2.4775488000", \
+                        "0.1300615000, 0.1385401000, 0.1597811000, 0.2049953000, 0.3179196000, 0.7383788000, 2.4850557000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
+                    values("0.0278208000, 0.0328396000, 0.0503445000, 0.1167801000, 0.3782868000, 1.3614043000, 4.9975584000", \
+                        "0.0278882000, 0.0328201000, 0.0504609000, 0.1167419000, 0.3778956000, 1.3559932000, 4.9993822000", \
+                        "0.0278846000, 0.0329120000, 0.0505386000, 0.1167824000, 0.3782867000, 1.3570660000, 5.0077196000", \
+                        "0.0298988000, 0.0347728000, 0.0517826000, 0.1171827000, 0.3768914000, 1.3566931000, 5.0045137000", \
+                        "0.0437450000, 0.0479841000, 0.0637806000, 0.1239256000, 0.3774950000, 1.3551829000, 4.9960835000", \
+                        "0.0743923000, 0.0809827000, 0.0977308000, 0.1475387000, 0.3834653000, 1.3545869000, 4.9960001000", \
+                        "0.1337207000, 0.1447315000, 0.1700357000, 0.2185407000, 0.4147965000, 1.3617938000, 4.9937321000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211oi_1 */
+
+/* removed sky130_fd_sc_hd__a211oi_2 */
+
+/* removed sky130_fd_sc_hd__a211oi_4 */
+
+/* removed sky130_fd_sc_hd__a21bo_1 */
+
+/* removed sky130_fd_sc_hd__a21bo_2 */
+
+    cell ("sky130_fd_sc_hd__a21bo_4") {
+        leakage_power () {
+            value : 11.872106000;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 8.9818366000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 13.648478800;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 8.9814787000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 13.087494600;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 8.9816581000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 10.946451700;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 5.2536691000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21bo";
+        cell_leakage_power : 10.219150000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043100000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0062909000, 0.0062467000, 0.0061895000, 0.0061517000, 0.0061126000, 0.0060703000, 0.0060185000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006268700, -0.006313500, -0.006366100, -0.006406400, -0.006446100, -0.006484400, -0.006518400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045920000;
+        }
+        pin ("A2") {
+            capacitance : 0.0048230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046340000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0068254000, 0.0067882000, 0.0067525000, 0.0067128000, 0.0066697000, 0.0066175000, 0.0065391000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006832800, -0.006873000, -0.006912600, -0.006953200, -0.006993800, -0.007034500, -0.007075300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050130000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0023890000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0122458000, 0.0121045000, 0.0117877000, 0.0118031000, 0.0119275000, 0.0123591000, 0.0136565000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0057616000, 0.0056374000, 0.0053267000, 0.0053721000, 0.0055393000, 0.0060492000, 0.0075247000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024900000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (!B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0233776000, 0.0219589000, 0.0170857000, -0.000896600, -0.074032500, -0.345129700, -1.318534500", \
+                        "0.0233238000, 0.0218597000, 0.0170283000, -0.000931700, -0.074090000, -0.345194200, -1.318617200", \
+                        "0.0230913000, 0.0216551000, 0.0168259000, -0.001193300, -0.074332500, -0.345419000, -1.318796700", \
+                        "0.0226701000, 0.0211761000, 0.0163626000, -0.001671600, -0.074742500, -0.345774300, -1.319179500", \
+                        "0.0223060000, 0.0207981000, 0.0159182000, -0.002208100, -0.075287200, -0.346214900, -1.319526000", \
+                        "0.0260748000, 0.0246803000, 0.0186380000, -0.002172300, -0.075860600, -0.346435200, -1.319620100", \
+                        "0.0274543000, 0.0257091000, 0.0196973000, -0.001294600, -0.076255000, -0.347029600, -1.319442000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0277360000, 0.0295342000, 0.0359833000, 0.0580918000, 0.1342678000, 0.4036398000, 1.3683533000", \
+                        "0.0276429000, 0.0294590000, 0.0359231000, 0.0579635000, 0.1341125000, 0.4035311000, 1.3670931000", \
+                        "0.0273866000, 0.0291887000, 0.0356684000, 0.0577858000, 0.1339678000, 0.4036365000, 1.3701588000", \
+                        "0.0270642000, 0.0288973000, 0.0353514000, 0.0574062000, 0.1335325000, 0.4026843000, 1.3671242000", \
+                        "0.0266764000, 0.0284675000, 0.0348624000, 0.0562944000, 0.1326325000, 0.4023815000, 1.3670414000", \
+                        "0.0277087000, 0.0293793000, 0.0353837000, 0.0568582000, 0.1321824000, 0.4012761000, 1.3692897000", \
+                        "0.0303449000, 0.0319305000, 0.0377975000, 0.0590395000, 0.1340737000, 0.4029916000, 1.3689462000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0271343000, 0.0256840000, 0.0208426000, 0.0027625000, -0.070355600, -0.341302500, -1.314512500", \
+                        "0.0270566000, 0.0255715000, 0.0207292000, 0.0026525000, -0.070483200, -0.341422900, -1.314642300", \
+                        "0.0268942000, 0.0254084000, 0.0205661000, 0.0025073000, -0.070640200, -0.341562900, -1.314816300", \
+                        "0.0267177000, 0.0252412000, 0.0203850000, 0.0022690000, -0.070893000, -0.341795300, -1.315024900", \
+                        "0.0265644000, 0.0250625000, 0.0200763000, 0.0018648000, -0.071320600, -0.342096200, -1.315255200", \
+                        "0.0298474000, 0.0282197000, 0.0224928000, 0.0018021000, -0.071879200, -0.342311900, -1.315373300", \
+                        "0.0314609000, 0.0304727000, 0.0244939000, 0.0033001000, -0.071905100, -0.342732100, -1.315052700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0282832000, 0.0301162000, 0.0365939000, 0.0586454000, 0.1348360000, 0.4041013000, 1.3676188000", \
+                        "0.0281812000, 0.0300214000, 0.0364646000, 0.0585108000, 0.1346897000, 0.4039019000, 1.3675459000", \
+                        "0.0279279000, 0.0297579000, 0.0362260000, 0.0582733000, 0.1344050000, 0.4038010000, 1.3673124000", \
+                        "0.0275540000, 0.0293968000, 0.0358476000, 0.0579289000, 0.1340481000, 0.4036965000, 1.3659460000", \
+                        "0.0271680000, 0.0289546000, 0.0353088000, 0.0571144000, 0.1333010000, 0.4033885000, 1.3702813000", \
+                        "0.0279955000, 0.0296790000, 0.0357681000, 0.0572078000, 0.1327372000, 0.4014730000, 1.3667312000", \
+                        "0.0295847000, 0.0312337000, 0.0370952000, 0.0584578000, 0.1334756000, 0.4023188000, 1.3650532000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0241262000, 0.0226737000, 0.0178050000, -0.000263100, -0.073471200, -0.344305300, -1.317606200", \
+                        "0.0242646000, 0.0226475000, 0.0177741000, -0.000237800, -0.073427400, -0.344260500, -1.317429400", \
+                        "0.0241145000, 0.0226318000, 0.0177761000, -0.000237600, -0.073414800, -0.344273600, -1.317456700", \
+                        "0.0234692000, 0.0220353000, 0.0172102000, -0.000837700, -0.074017900, -0.344882600, -1.318048800", \
+                        "0.0229380000, 0.0214808000, 0.0165652000, -0.001426300, -0.074617900, -0.345509400, -1.318635400", \
+                        "0.0269539000, 0.0254162000, 0.0198821000, -0.000377100, -0.075259000, -0.346182100, -1.319279300", \
+                        "0.0272145000, 0.0256674000, 0.0199499000, -0.000343700, -0.075101700, -0.346274400, -1.319537300");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
+                    values("0.0247716000, 0.0266476000, 0.0331025000, 0.0549267000, 0.1305714000, 0.4001508000, 1.3609289000", \
+                        "0.0248132000, 0.0266977000, 0.0331314000, 0.0549715000, 0.1305843000, 0.4003509000, 1.3609576000", \
+                        "0.0249035000, 0.0267621000, 0.0332154000, 0.0550497000, 0.1305247000, 0.3977814000, 1.3606047000", \
+                        "0.0245402000, 0.0263963000, 0.0328451000, 0.0546627000, 0.1300924000, 0.3974347000, 1.3601527000", \
+                        "0.0238160000, 0.0256622000, 0.0320995000, 0.0538766000, 0.1294133000, 0.3969951000, 1.3653623000", \
+                        "0.0234099000, 0.0252034000, 0.0314549000, 0.0531348000, 0.1291449000, 0.3972283000, 1.3598472000", \
+                        "0.0233763000, 0.0251697000, 0.0313679000, 0.0529909000, 0.1295478000, 0.3996002000, 1.3596320000");
+                }
+            }
+            max_capacitance : 0.3112890000;
+            max_transition : 1.4987760000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.3198421000, 0.3279313000, 0.3506729000, 0.4043746000, 0.5256305000, 0.8575642000, 1.9901991000", \
+                        "0.3275967000, 0.3357554000, 0.3585220000, 0.4120547000, 0.5337733000, 0.8652739000, 1.9950226000", \
+                        "0.3501299000, 0.3582828000, 0.3809956000, 0.4347455000, 0.5560389000, 0.8881103000, 2.0210575000", \
+                        "0.4108570000, 0.4190502000, 0.4418846000, 0.4950748000, 0.6163768000, 0.9486699000, 2.0846616000", \
+                        "0.5700390000, 0.5781986000, 0.6007714000, 0.6541075000, 0.7761778000, 1.1085527000, 2.2374467000", \
+                        "0.9163783000, 0.9270801000, 0.9540988000, 1.0167052000, 1.1517520000, 1.4932078000, 2.6231877000", \
+                        "1.5512780000, 1.5660853000, 1.6053876000, 1.6913243000, 1.8604029000, 2.2240938000, 3.3611827000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.1694390000, 0.1775059000, 0.2011536000, 0.2665682000, 0.4695942000, 1.1802488000, 3.7107675000", \
+                        "0.1761277000, 0.1841042000, 0.2078261000, 0.2732141000, 0.4760621000, 1.1842132000, 3.7098249000", \
+                        "0.1970837000, 0.2048849000, 0.2286212000, 0.2940303000, 0.4974213000, 1.2060878000, 3.7385983000", \
+                        "0.2574932000, 0.2656286000, 0.2891589000, 0.3543278000, 0.5569446000, 1.2670451000, 3.7954830000", \
+                        "0.4023470000, 0.4109904000, 0.4358431000, 0.5014761000, 0.7052975000, 1.4168285000, 3.9473167000", \
+                        "0.6449765000, 0.6563616000, 0.6872636000, 0.7604593000, 0.9668017000, 1.6753821000, 4.2096771000", \
+                        "0.9878000000, 1.0051819000, 1.0519703000, 1.1512227000, 1.3657754000, 2.0717619000, 4.5974460000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0453381000, 0.0502556000, 0.0649384000, 0.1046836000, 0.2225341000, 0.6378669000, 2.1859670000", \
+                        "0.0454333000, 0.0503644000, 0.0651709000, 0.1053648000, 0.2225437000, 0.6381492000, 2.1960953000", \
+                        "0.0457784000, 0.0506998000, 0.0653501000, 0.1050724000, 0.2223168000, 0.6380318000, 2.1922091000", \
+                        "0.0455994000, 0.0506393000, 0.0645247000, 0.1048315000, 0.2231028000, 0.6378951000, 2.1975268000", \
+                        "0.0465295000, 0.0512628000, 0.0667016000, 0.1057591000, 0.2229811000, 0.6378724000, 2.1921391000", \
+                        "0.0636292000, 0.0681640000, 0.0839305000, 0.1261679000, 0.2415783000, 0.6459697000, 2.1908755000", \
+                        "0.1061546000, 0.1119817000, 0.1319504000, 0.1787648000, 0.2941220000, 0.6790859000, 2.1999782000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0382586000, 0.0446442000, 0.0665445000, 0.1405248000, 0.4153742000, 1.4197584000, 4.9996780000", \
+                        "0.0382757000, 0.0448641000, 0.0662439000, 0.1401901000, 0.4151295000, 1.4185003000, 5.0000475000", \
+                        "0.0382212000, 0.0446163000, 0.0665043000, 0.1404782000, 0.4161661000, 1.4186203000, 5.0007962000", \
+                        "0.0380174000, 0.0445436000, 0.0664459000, 0.1403423000, 0.4156796000, 1.4198800000, 5.0015081000", \
+                        "0.0439551000, 0.0504530000, 0.0713344000, 0.1439641000, 0.4154692000, 1.4187879000, 5.0004179000", \
+                        "0.0650413000, 0.0712974000, 0.0904153000, 0.1569990000, 0.4208477000, 1.4147437000, 5.0015574000", \
+                        "0.1095184000, 0.1185528000, 0.1426547000, 0.2023671000, 0.4367058000, 1.4229328000, 4.9929292000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.3320424000, 0.3399078000, 0.3616381000, 0.4132639000, 0.5321150000, 0.8621250000, 1.9941770000", \
+                        "0.3395964000, 0.3474881000, 0.3690335000, 0.4208463000, 0.5397281000, 0.8695858000, 2.0018596000", \
+                        "0.3630889000, 0.3709835000, 0.3925481000, 0.4443757000, 0.5632610000, 0.8926350000, 2.0283555000", \
+                        "0.4250367000, 0.4329736000, 0.4548512000, 0.5064693000, 0.6252948000, 0.9550504000, 2.0876110000", \
+                        "0.5851127000, 0.5929046000, 0.6147902000, 0.6663545000, 0.7853851000, 1.1156810000, 2.2451498000", \
+                        "0.9351857000, 0.9449604000, 0.9713816000, 1.0314323000, 1.1624931000, 1.5006525000, 2.6346563000", \
+                        "1.5630119000, 1.5767014000, 1.6138254000, 1.6955818000, 1.8577999000, 2.2205220000, 3.3583598000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.1786468000, 0.1866785000, 0.2103865000, 0.2757878000, 0.4783673000, 1.1860997000, 3.7110182000", \
+                        "0.1865017000, 0.1945683000, 0.2182371000, 0.2836291000, 0.4862040000, 1.1941380000, 3.7195660000", \
+                        "0.2068045000, 0.2149397000, 0.2386527000, 0.3040335000, 0.5067779000, 1.2148613000, 3.7401704000", \
+                        "0.2619973000, 0.2700552000, 0.2937011000, 0.3589275000, 0.5622912000, 1.2709935000, 3.8010075000", \
+                        "0.3971344000, 0.4058341000, 0.4305989000, 0.4978637000, 0.7018996000, 1.4109333000, 3.9448378000", \
+                        "0.6528828000, 0.6637598000, 0.6939695000, 0.7674986000, 0.9743720000, 1.6816525000, 4.2129380000", \
+                        "1.0573996000, 1.0739967000, 1.1177762000, 1.2140561000, 1.4322353000, 2.1414350000, 4.6653321000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0439162000, 0.0487593000, 0.0633965000, 0.1018827000, 0.2184237000, 0.6343246000, 2.1976043000", \
+                        "0.0436405000, 0.0483655000, 0.0628323000, 0.1021332000, 0.2184680000, 0.6353609000, 2.1977389000", \
+                        "0.0436195000, 0.0483525000, 0.0629398000, 0.1022084000, 0.2184307000, 0.6344830000, 2.1922519000", \
+                        "0.0436332000, 0.0484258000, 0.0632996000, 0.1024069000, 0.2182986000, 0.6354063000, 2.1980640000", \
+                        "0.0444426000, 0.0496097000, 0.0634311000, 0.1024902000, 0.2180524000, 0.6352952000, 2.1935733000", \
+                        "0.0591754000, 0.0647796000, 0.0796282000, 0.1204469000, 0.2356116000, 0.6414560000, 2.2001307000", \
+                        "0.0999139000, 0.1080407000, 0.1267842000, 0.1709265000, 0.2843117000, 0.6695116000, 2.1991033000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0379362000, 0.0445131000, 0.0664597000, 0.1404787000, 0.4152320000, 1.4182943000, 4.9985460000", \
+                        "0.0381999000, 0.0447851000, 0.0663344000, 0.1402826000, 0.4151897000, 1.4183671000, 4.9997171000", \
+                        "0.0381389000, 0.0448507000, 0.0662103000, 0.1402482000, 0.4150400000, 1.4185739000, 4.9997975000", \
+                        "0.0380930000, 0.0447744000, 0.0663839000, 0.1404262000, 0.4160803000, 1.4188459000, 5.0013171000", \
+                        "0.0429764000, 0.0492237000, 0.0716039000, 0.1434517000, 0.4163789000, 1.4184021000, 4.9998246000", \
+                        "0.0581601000, 0.0644775000, 0.0863699000, 0.1557138000, 0.4202953000, 1.4160670000, 4.9994489000", \
+                        "0.0960564000, 0.1046968000, 0.1297158000, 0.1936984000, 0.4357575000, 1.4207094000, 5.0028244000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.3573511000, 0.3654291000, 0.3873251000, 0.4389309000, 0.5577897000, 0.8872728000, 2.0231028000", \
+                        "0.3656626000, 0.3735429000, 0.3953513000, 0.4472317000, 0.5660084000, 0.8955264000, 2.0317101000", \
+                        "0.3882299000, 0.3960462000, 0.4178184000, 0.4697789000, 0.5886199000, 0.9184088000, 2.0509472000", \
+                        "0.4493068000, 0.4572023000, 0.4789834000, 0.5306386000, 0.6495759000, 0.9790746000, 2.1149424000", \
+                        "0.5774208000, 0.5852984000, 0.6069916000, 0.6586388000, 0.7775128000, 1.1077307000, 2.2384496000", \
+                        "0.8005728000, 0.8083770000, 0.8301445000, 0.8819417000, 1.0008623000, 1.3305119000, 2.4642677000", \
+                        "1.1623262000, 1.1702826000, 1.1922580000, 1.2434755000, 1.3631601000, 1.6939945000, 2.8265428000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.2530907000, 0.2603120000, 0.2817135000, 0.3427106000, 0.5418852000, 1.2495008000, 3.7767474000", \
+                        "0.2607481000, 0.2680282000, 0.2893615000, 0.3503944000, 0.5493946000, 1.2585717000, 3.7764716000", \
+                        "0.2835049000, 0.2907327000, 0.3120252000, 0.3731129000, 0.5725652000, 1.2772283000, 3.8017216000", \
+                        "0.3517092000, 0.3589664000, 0.3802431000, 0.4413127000, 0.6408916000, 1.3455903000, 3.8694767000", \
+                        "0.5272071000, 0.5345397000, 0.5560383000, 0.6171889000, 0.8171583000, 1.5222265000, 4.0528882000", \
+                        "0.8457281000, 0.8536165000, 0.8761998000, 0.9385790000, 1.1384746000, 1.8443765000, 4.3680815000", \
+                        "1.3689863000, 1.3792395000, 1.4054280000, 1.4718693000, 1.6739483000, 2.3808491000, 4.9026490000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0440369000, 0.0484883000, 0.0625172000, 0.1024969000, 0.2186437000, 0.6342605000, 2.1907904000", \
+                        "0.0442511000, 0.0491371000, 0.0633021000, 0.1024261000, 0.2186140000, 0.6345123000, 2.1973190000", \
+                        "0.0436342000, 0.0482012000, 0.0627134000, 0.1024365000, 0.2183144000, 0.6354076000, 2.1980120000", \
+                        "0.0440025000, 0.0486008000, 0.0629190000, 0.1026086000, 0.2187448000, 0.6344999000, 2.1922109000", \
+                        "0.0441595000, 0.0483256000, 0.0625085000, 0.1021339000, 0.2179369000, 0.6349206000, 2.1988487000", \
+                        "0.0439982000, 0.0492359000, 0.0629015000, 0.1026151000, 0.2186526000, 0.6341066000, 2.1942599000", \
+                        "0.0456686000, 0.0503789000, 0.0650814000, 0.1043383000, 0.2199973000, 0.6364163000, 2.1954720000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
+                    values("0.0336103000, 0.0395651000, 0.0598540000, 0.1334533000, 0.4104894000, 1.4175797000, 4.9955999000", \
+                        "0.0335985000, 0.0396023000, 0.0598488000, 0.1335731000, 0.4105421000, 1.4194174000, 4.9882791000", \
+                        "0.0337724000, 0.0396150000, 0.0598484000, 0.1335263000, 0.4101811000, 1.4118609000, 4.9949338000", \
+                        "0.0336804000, 0.0396298000, 0.0598543000, 0.1335751000, 0.4097123000, 1.4118398000, 4.9952225000", \
+                        "0.0345085000, 0.0404297000, 0.0604900000, 0.1338489000, 0.4104005000, 1.4116134000, 5.0027764000", \
+                        "0.0388914000, 0.0446371000, 0.0640285000, 0.1358734000, 0.4109751000, 1.4104047000, 4.9969566000", \
+                        "0.0519537000, 0.0570703000, 0.0749767000, 0.1421127000, 0.4130387000, 1.4096108000, 4.9850271000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21boi_0 */
+
+/* removed sky130_fd_sc_hd__a21boi_1 */
+
+/* removed sky130_fd_sc_hd__a21boi_2 */
+
+    cell ("sky130_fd_sc_hd__a21boi_4") {
+        leakage_power () {
+            value : 11.785963300;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 6.4047394000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 15.742740400;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 6.4044077000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 14.419757800;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 6.4044721000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 12.986557600;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 1.3773741000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 18.768000000;
+        cell_footprint : "sky130_fd_sc_hd__a21boi";
+        cell_leakage_power : 9.4407520000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086310000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0124683000, 0.0124342000, 0.0123930000, 0.0123661000, 0.0123455000, 0.0123424000, 0.0123886000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012473400, -0.012505200, -0.012534000, -0.012567600, -0.012601800, -0.012637000, -0.012675500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089080000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092700000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0088730000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0133699000, 0.0133448000, 0.0133323000, 0.0133027000, 0.0132774000, 0.0132644000, 0.0132861000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013444200, -0.013465500, -0.013464600, -0.013497800, -0.013530800, -0.013563000, -0.013592700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096680000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0024940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0179933000, 0.0178230000, 0.0174395000, 0.0174698000, 0.0176514000, 0.0182592000, 0.0200679000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0102639000, 0.0101407000, 0.0098038000, 0.0099064000, 0.0102058000, 0.0110596000, 0.0134751000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025930000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&B1_N) | (!A2&B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0151972000, 0.0138541000, 0.0096040000, -0.003612900, -0.044987300, -0.175186700, -0.583568800", \
+                        "0.0148008000, 0.0134617000, 0.0092817000, -0.003893400, -0.045281600, -0.175482200, -0.583639200", \
+                        "0.0140853000, 0.0127723000, 0.0086421000, -0.004421600, -0.045661100, -0.175759900, -0.584034100", \
+                        "0.0133242000, 0.0120575000, 0.0079463000, -0.005010400, -0.046085400, -0.176064200, -0.584337700", \
+                        "0.0126753000, 0.0113446000, 0.0071689000, -0.005828900, -0.046740400, -0.176394700, -0.584324800", \
+                        "0.0139256000, 0.0125537000, 0.0082603000, -0.005112600, -0.046942700, -0.176816600, -0.584388300", \
+                        "0.0179290000, 0.0164507000, 0.0119947000, -0.001879000, -0.044411200, -0.175577700, -0.584468600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0229377000, 0.0243498000, 0.0288312000, 0.0422813000, 0.0838881000, 0.2125355000, 0.6163902000", \
+                        "0.0222887000, 0.0238074000, 0.0283419000, 0.0420075000, 0.0835598000, 0.2126143000, 0.6171511000", \
+                        "0.0215446000, 0.0230182000, 0.0275504000, 0.0413904000, 0.0832312000, 0.2127644000, 0.6168781000", \
+                        "0.0210816000, 0.0225029000, 0.0268443000, 0.0405507000, 0.0824554000, 0.2124425000, 0.6166851000", \
+                        "0.0206641000, 0.0220651000, 0.0264473000, 0.0397819000, 0.0817030000, 0.2115344000, 0.6166300000", \
+                        "0.0197067000, 0.0210785000, 0.0254290000, 0.0395697000, 0.0812672000, 0.2112989000, 0.6154352000", \
+                        "0.0208741000, 0.0221545000, 0.0262521000, 0.0394114000, 0.0801772000, 0.2123589000, 0.6155979000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0159950000, 0.0146422000, 0.0104267000, -0.002763300, -0.044180400, -0.174390400, -0.582449400", \
+                        "0.0155988000, 0.0142507000, 0.0100789000, -0.003111600, -0.044501500, -0.174659100, -0.582905500", \
+                        "0.0149029000, 0.0135859000, 0.0094641000, -0.003664000, -0.044933000, -0.175064900, -0.583189100", \
+                        "0.0141123000, 0.0128234000, 0.0086742000, -0.004270700, -0.045416400, -0.175339400, -0.583460800", \
+                        "0.0139712000, 0.0126351000, 0.0082561000, -0.004931900, -0.045791400, -0.175463100, -0.583436100", \
+                        "0.0140440000, 0.0127167000, 0.0084638000, -0.004741300, -0.046279100, -0.176217700, -0.583917500", \
+                        "0.0163742000, 0.0150012000, 0.0105907000, -0.002776300, -0.044910900, -0.175736700, -0.584110800");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0315644000, 0.0329346000, 0.0371897000, 0.0504797000, 0.0918632000, 0.2209465000, 0.6254179000", \
+                        "0.0311183000, 0.0325072000, 0.0368233000, 0.0502262000, 0.0916603000, 0.2209533000, 0.6250643000", \
+                        "0.0304727000, 0.0319166000, 0.0362737000, 0.0497888000, 0.0913556000, 0.2205734000, 0.6248531000", \
+                        "0.0300196000, 0.0314050000, 0.0357175000, 0.0491838000, 0.0909708000, 0.2203540000, 0.6246468000", \
+                        "0.0296211000, 0.0310143000, 0.0353638000, 0.0487098000, 0.0903739000, 0.2198452000, 0.6241971000", \
+                        "0.0285525000, 0.0299137000, 0.0345452000, 0.0485278000, 0.0901608000, 0.2197007000, 0.6244097000", \
+                        "0.0298197000, 0.0311996000, 0.0353334000, 0.0484673000, 0.0892557000, 0.2202710000, 0.6246690000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0058929000, 0.0048156000, 0.0013855000, -0.010445700, -0.050816100, -0.180775800, -0.589259200", \
+                        "0.0059339000, 0.0048964000, 0.0014391000, -0.010397000, -0.050725900, -0.180722900, -0.589238000", \
+                        "0.0061485000, 0.0051183000, 0.0016210000, -0.010242200, -0.050531900, -0.180523400, -0.588981200", \
+                        "0.0057058000, 0.0046181000, 0.0010532000, -0.010802200, -0.051048300, -0.180898100, -0.589294500", \
+                        "0.0048789000, 0.0037441000, 0.0001272000, -0.011902400, -0.051957500, -0.181423400, -0.589627900", \
+                        "0.0058975000, 0.0046153000, 0.0004897000, -0.012301100, -0.052887500, -0.182056500, -0.589988400", \
+                        "0.0064202000, 0.0050169000, 0.0006664000, -0.012517200, -0.053606100, -0.182884900, -0.590237300");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
+                    values("0.0238439000, 0.0252894000, 0.0296976000, 0.0433511000, 0.0852031000, 0.2144253000, 0.6187482000", \
+                        "0.0238833000, 0.0253249000, 0.0297482000, 0.0434204000, 0.0852015000, 0.2146028000, 0.6187886000", \
+                        "0.0240373000, 0.0254819000, 0.0298553000, 0.0434862000, 0.0853458000, 0.2145691000, 0.6191246000", \
+                        "0.0233978000, 0.0248118000, 0.0291813000, 0.0427911000, 0.0847244000, 0.2141466000, 0.6185695000", \
+                        "0.0227217000, 0.0241805000, 0.0285110000, 0.0420705000, 0.0835462000, 0.2134694000, 0.6180117000", \
+                        "0.0223682000, 0.0237221000, 0.0279918000, 0.0409331000, 0.0829908000, 0.2126257000, 0.6174425000", \
+                        "0.0227455000, 0.0240897000, 0.0284531000, 0.0417792000, 0.0833097000, 0.2123725000, 0.6162916000");
+                }
+            }
+            max_capacitance : 0.1345920000;
+            max_transition : 1.4926360000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0522010000, 0.0559335000, 0.0669289000, 0.0992110000, 0.1932249000, 0.4788579000, 1.3648067000", \
+                        "0.0586414000, 0.0623592000, 0.0735655000, 0.1055587000, 0.1997306000, 0.4853332000, 1.3709534000", \
+                        "0.0788108000, 0.0825087000, 0.0936625000, 0.1261325000, 0.2204632000, 0.5060642000, 1.3918547000", \
+                        "0.1327438000, 0.1375638000, 0.1505361000, 0.1857680000, 0.2794366000, 0.5642233000, 1.4503728000", \
+                        "0.2221666000, 0.2304975000, 0.2542687000, 0.3143364000, 0.4455669000, 0.7364005000, 1.6226460000", \
+                        "0.3471892000, 0.3621188000, 0.4048806000, 0.5122261000, 0.7469544000, 1.1922980000, 2.0980651000", \
+                        "0.4627493000, 0.4892058000, 0.5683038000, 0.7669408000, 1.2003792000, 2.0044562000, 3.4074321000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.1386400000, 0.1479955000, 0.1755044000, 0.2576511000, 0.5128837000, 1.2948750000, 3.7520151000", \
+                        "0.1439440000, 0.1533551000, 0.1818271000, 0.2654223000, 0.5185247000, 1.3046242000, 3.7669574000", \
+                        "0.1648329000, 0.1739922000, 0.2022943000, 0.2868012000, 0.5421279000, 1.3347936000, 3.7845616000", \
+                        "0.2275296000, 0.2365468000, 0.2641438000, 0.3474101000, 0.6052576000, 1.3986378000, 3.8502366000", \
+                        "0.3606231000, 0.3730365000, 0.4093975000, 0.5065496000, 0.7645014000, 1.5605286000, 4.0249257000", \
+                        "0.6064012000, 0.6290740000, 0.6921820000, 0.8473870000, 1.1942649000, 2.0112669000, 4.4774553000", \
+                        "1.0041973000, 1.0483521000, 1.1748068000, 1.4854015000, 2.0794964000, 3.2246870000, 5.7686900000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0508847000, 0.0548270000, 0.0668895000, 0.1051228000, 0.2259132000, 0.6106932000, 1.8149506000", \
+                        "0.0506907000, 0.0545943000, 0.0667549000, 0.1050173000, 0.2259733000, 0.6107754000, 1.8149142000", \
+                        "0.0491178000, 0.0529779000, 0.0652729000, 0.1044740000, 0.2262382000, 0.6103067000, 1.8135174000", \
+                        "0.0683936000, 0.0724243000, 0.0839128000, 0.1138048000, 0.2258039000, 0.6095446000, 1.8170876000", \
+                        "0.1167307000, 0.1229359000, 0.1399415000, 0.1826713000, 0.2827723000, 0.6169839000, 1.8159895000", \
+                        "0.2258617000, 0.2367555000, 0.2691244000, 0.3437780000, 0.4929976000, 0.8040970000, 1.8357592000", \
+                        "0.4546609000, 0.4800356000, 0.5381365000, 0.6759168000, 0.9447075000, 1.4206943000, 2.3586915000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0790224000, 0.0904928000, 0.1260154000, 0.2358044000, 0.5804222000, 1.6373245000, 4.9448405000", \
+                        "0.0791918000, 0.0906756000, 0.1260686000, 0.2359379000, 0.5772758000, 1.6369611000, 4.9511963000", \
+                        "0.0794191000, 0.0905383000, 0.1261603000, 0.2363716000, 0.5766608000, 1.6433720000, 4.9466120000", \
+                        "0.0810906000, 0.0921972000, 0.1267697000, 0.2360633000, 0.5767733000, 1.6431720000, 4.9501203000", \
+                        "0.1141579000, 0.1257774000, 0.1612025000, 0.2589519000, 0.5806419000, 1.6404081000, 4.9522813000", \
+                        "0.2152724000, 0.2301692000, 0.2731731000, 0.3885876000, 0.6910286000, 1.6582732000, 4.9495852000", \
+                        "0.4979180000, 0.5203650000, 0.5855819000, 0.7470645000, 1.1009137000, 2.0154161000, 5.0013820000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0626384000, 0.0663329000, 0.0774992000, 0.1095945000, 0.2037356000, 0.4893262000, 1.3749069000", \
+                        "0.0702607000, 0.0740063000, 0.0852024000, 0.1172933000, 0.2115473000, 0.4969467000, 1.3827126000", \
+                        "0.0903225000, 0.0940778000, 0.1054160000, 0.1379241000, 0.2322076000, 0.5176585000, 1.4046414000", \
+                        "0.1405209000, 0.1449312000, 0.1575570000, 0.1920590000, 0.2867848000, 0.5726809000, 1.4596839000", \
+                        "0.2382232000, 0.2450689000, 0.2642465000, 0.3138506000, 0.4328234000, 0.7271680000, 1.6135318000", \
+                        "0.3980082000, 0.4103061000, 0.4456026000, 0.5358099000, 0.7315313000, 1.1285693000, 2.0497852000", \
+                        "0.5920566000, 0.6175772000, 0.6868759000, 0.8618440000, 1.2422539000, 1.9328696000, 3.1876641000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.1751150000, 0.1830217000, 0.2091842000, 0.2876049000, 0.5293724000, 1.2806305000, 3.6249306000", \
+                        "0.1811395000, 0.1896067000, 0.2159922000, 0.2947707000, 0.5370683000, 1.2881733000, 3.6334951000", \
+                        "0.2028105000, 0.2119715000, 0.2380534000, 0.3176887000, 0.5604804000, 1.3124843000, 3.6582604000", \
+                        "0.2650793000, 0.2736585000, 0.2998618000, 0.3792605000, 0.6231293000, 1.3763294000, 3.7220194000", \
+                        "0.4058417000, 0.4158189000, 0.4479797000, 0.5369468000, 0.7813848000, 1.5352221000, 3.8827452000", \
+                        "0.6781068000, 0.6956341000, 0.7448501000, 0.8776215000, 1.1990934000, 1.9797199000, 4.3304405000", \
+                        "1.1272338000, 1.1607052000, 1.2595173000, 1.5102556000, 2.0529436000, 3.1420504000, 5.5882556000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0506611000, 0.0545083000, 0.0665460000, 0.1049485000, 0.2261401000, 0.6100331000, 1.8145989000", \
+                        "0.0505810000, 0.0544072000, 0.0665682000, 0.1048634000, 0.2261347000, 0.6101167000, 1.8130453000", \
+                        "0.0497771000, 0.0536780000, 0.0660452000, 0.1046910000, 0.2260591000, 0.6100552000, 1.8137730000", \
+                        "0.0601739000, 0.0639119000, 0.0748601000, 0.1089550000, 0.2260821000, 0.6099777000, 1.8150403000", \
+                        "0.0965019000, 0.1008533000, 0.1147981000, 0.1529744000, 0.2597889000, 0.6136253000, 1.8125448000", \
+                        "0.1889171000, 0.1964423000, 0.2188816000, 0.2755661000, 0.4068843000, 0.7337412000, 1.8307414000", \
+                        "0.4079939000, 0.4218510000, 0.4617650000, 0.5630530000, 0.7677449000, 1.1788285000, 2.1802107000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.1036936000, 0.1148519000, 0.1493758000, 0.2549620000, 0.5808986000, 1.5926244000, 4.7608295000", \
+                        "0.1037388000, 0.1147251000, 0.1492060000, 0.2548564000, 0.5807299000, 1.5949502000, 4.7529118000", \
+                        "0.1037592000, 0.1148645000, 0.1493155000, 0.2548779000, 0.5808298000, 1.5943170000, 4.7597646000", \
+                        "0.1043378000, 0.1153385000, 0.1496122000, 0.2548648000, 0.5807792000, 1.5926789000, 4.7567554000", \
+                        "0.1350122000, 0.1461341000, 0.1793687000, 0.2740545000, 0.5835313000, 1.5950596000, 4.7570250000", \
+                        "0.2322957000, 0.2464448000, 0.2876358000, 0.3998081000, 0.6909548000, 1.6125645000, 4.7571813000", \
+                        "0.5055492000, 0.5282354000, 0.5882806000, 0.7432022000, 1.1006271000, 1.9826543000, 4.8117279000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.1956568000, 0.2003138000, 0.2123037000, 0.2407553000, 0.3036709000, 0.4623831000, 0.9347518000", \
+                        "0.2029966000, 0.2073913000, 0.2194778000, 0.2482233000, 0.3108270000, 0.4700210000, 0.9420335000", \
+                        "0.2253840000, 0.2298688000, 0.2419444000, 0.2707284000, 0.3338494000, 0.4920002000, 0.9642954000", \
+                        "0.2899494000, 0.2944047000, 0.3065448000, 0.3346786000, 0.3979305000, 0.5570734000, 1.0293922000", \
+                        "0.4638860000, 0.4688022000, 0.4815101000, 0.5119809000, 0.5774609000, 0.7355979000, 1.2077780000", \
+                        "0.7660316000, 0.7731201000, 0.7913837000, 0.8316546000, 0.9112590000, 1.0830892000, 1.5588215000", \
+                        "1.2125315000, 1.2233150000, 1.2527180000, 1.3105226000, 1.4236486000, 1.6262145000, 2.1070301000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.2194333000, 0.2279815000, 0.2548897000, 0.3353627000, 0.5798146000, 1.3333186000, 3.6778289000", \
+                        "0.2274921000, 0.2360555000, 0.2630173000, 0.3436377000, 0.5878291000, 1.3409168000, 3.6850494000", \
+                        "0.2509710000, 0.2594819000, 0.2862923000, 0.3667328000, 0.6112201000, 1.3647846000, 3.7078800000", \
+                        "0.3166246000, 0.3253160000, 0.3518068000, 0.4318526000, 0.6764835000, 1.4299802000, 3.7764325000", \
+                        "0.4728218000, 0.4815675000, 0.5079548000, 0.5880650000, 0.8306691000, 1.5856617000, 3.9336334000", \
+                        "0.7531167000, 0.7629442000, 0.7905455000, 0.8697612000, 1.1135638000, 1.8660553000, 4.2123061000", \
+                        "1.2264867000, 1.2380027000, 1.2710761000, 1.3596575000, 1.5997137000, 2.3494930000, 4.6953375000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.0579159000, 0.0591914000, 0.0646139000, 0.0843835000, 0.1464815000, 0.3436362000, 0.9873988000", \
+                        "0.0578594000, 0.0591498000, 0.0646079000, 0.0845185000, 0.1464344000, 0.3432309000, 0.9880619000", \
+                        "0.0577074000, 0.0591903000, 0.0646050000, 0.0845978000, 0.1462156000, 0.3436613000, 0.9877622000", \
+                        "0.0578100000, 0.0593340000, 0.0648890000, 0.0846260000, 0.1463097000, 0.3434569000, 0.9890389000", \
+                        "0.0682893000, 0.0693455000, 0.0738900000, 0.0914232000, 0.1500017000, 0.3449399000, 0.9887101000", \
+                        "0.1137537000, 0.1141017000, 0.1166596000, 0.1300109000, 0.1818484000, 0.3623781000, 0.9941444000", \
+                        "0.1981887000, 0.1981345000, 0.1996438000, 0.2124819000, 0.2560549000, 0.4135838000, 1.0086686000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
+                    values("0.1037974000, 0.1149494000, 0.1492035000, 0.2550009000, 0.5816522000, 1.5946700000, 4.7530482000", \
+                        "0.1038163000, 0.1149470000, 0.1491594000, 0.2547994000, 0.5809162000, 1.5949944000, 4.7603059000", \
+                        "0.1037162000, 0.1149426000, 0.1490959000, 0.2548833000, 0.5805859000, 1.5964273000, 4.7598716000", \
+                        "0.1039654000, 0.1148833000, 0.1491763000, 0.2549647000, 0.5814638000, 1.5955196000, 4.7592867000", \
+                        "0.1067196000, 0.1179022000, 0.1513507000, 0.2558291000, 0.5806412000, 1.5952324000, 4.7534185000", \
+                        "0.1206225000, 0.1305903000, 0.1624260000, 0.2628134000, 0.5847774000, 1.5953424000, 4.7557092000", \
+                        "0.1675826000, 0.1781829000, 0.2089222000, 0.2975609000, 0.5957665000, 1.6007837000, 4.7593347000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21o_1 */
+
+/* removed sky130_fd_sc_hd__a21o_2 */
+
+    cell ("sky130_fd_sc_hd__a21o_4") {
+        leakage_power () {
+            value : 7.7473716000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 10.850840200;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 7.7470883000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 12.618379200;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 7.7471807000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 12.059526700;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 3.9900629000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 7.0989961000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__a21o";
+        cell_leakage_power : 8.7324310000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042980000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0071605000, 0.0071254000, 0.0070855000, 0.0070546000, 0.0070266000, 0.0070065000, 0.0070087000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005832300, -0.005880900, -0.005952700, -0.005974500, -0.005970400, -0.005894100, -0.005613600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045870000;
+        }
+        pin ("A2") {
+            capacitance : 0.0048310000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046340000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0067746000, 0.0067469000, 0.0067291000, 0.0066935000, 0.0066530000, 0.0065997000, 0.0065093000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006804500, -0.006836700, -0.006862400, -0.006898600, -0.006935200, -0.006973500, -0.007015800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050290000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044640000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0059064000, 0.0058653000, 0.0057792000, 0.0057915000, 0.0058559000, 0.0060670000, 0.0066911000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003034500, -0.003048600, -0.003035900, -0.003065600, -0.003096800, -0.003131900, -0.003178200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047360000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0226105000, 0.0210737000, 0.0160527000, -0.003588900, -0.085258600, -0.393500800, -1.526363300", \
+                        "0.0226500000, 0.0211532000, 0.0160234000, -0.003637600, -0.085288000, -0.393563200, -1.526430100", \
+                        "0.0223498000, 0.0208097000, 0.0156559000, -0.003933000, -0.085546700, -0.393707700, -1.526694600", \
+                        "0.0218928000, 0.0203178000, 0.0153424000, -0.004385500, -0.085966500, -0.394212300, -1.526970500", \
+                        "0.0214705000, 0.0199618000, 0.0148318000, -0.005022800, -0.086592100, -0.394667200, -1.527414900", \
+                        "0.0250414000, 0.0233643000, 0.0172582000, -0.005177600, -0.087260300, -0.394978200, -1.527559900", \
+                        "0.0265335000, 0.0247559000, 0.0184942000, -0.004500500, -0.087913600, -0.395584800, -1.527369200");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0275775000, 0.0295040000, 0.0363371000, 0.0601421000, 0.1445658000, 0.4504896000, 1.5770734000", \
+                        "0.0274785000, 0.0293945000, 0.0362395000, 0.0600723000, 0.1444916000, 0.4502924000, 1.5766866000", \
+                        "0.0272170000, 0.0290941000, 0.0359229000, 0.0599168000, 0.1444307000, 0.4520916000, 1.5735514000", \
+                        "0.0268706000, 0.0287892000, 0.0356229000, 0.0594970000, 0.1437985000, 0.4496842000, 1.5721964000", \
+                        "0.0263947000, 0.0282919000, 0.0350785000, 0.0583482000, 0.1429563000, 0.4513218000, 1.5718855000", \
+                        "0.0274993000, 0.0292392000, 0.0355768000, 0.0588774000, 0.1422941000, 0.4500251000, 1.5718677000", \
+                        "0.0298523000, 0.0314157000, 0.0376234000, 0.0606797000, 0.1439865000, 0.4487006000, 1.5710196000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0271413000, 0.0256393000, 0.0206191000, 0.0008370000, -0.080694300, -0.388708700, -1.521266100", \
+                        "0.0270926000, 0.0256075000, 0.0204343000, 0.0007797000, -0.080769200, -0.388838300, -1.521479100", \
+                        "0.0269313000, 0.0254157000, 0.0202558000, 0.0005954000, -0.080949700, -0.389046000, -1.521664200", \
+                        "0.0267087000, 0.0251878000, 0.0200628000, 0.0003162000, -0.081210600, -0.389273200, -1.521889900", \
+                        "0.0264925000, 0.0249095000, 0.0197610000, -0.000124800, -0.081695800, -0.389567000, -1.522147000", \
+                        "0.0296110000, 0.0279451000, 0.0219392000, -0.000524100, -0.082298900, -0.389838700, -1.522252400", \
+                        "0.0314529000, 0.0297007000, 0.0233121000, 0.0004432000, -0.082942900, -0.390444700, -1.522028300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0284338000, 0.0303716000, 0.0371962000, 0.0612197000, 0.1454512000, 0.4514671000, 1.5784797000", \
+                        "0.0283599000, 0.0302257000, 0.0371307000, 0.0610717000, 0.1453663000, 0.4510815000, 1.5731822000", \
+                        "0.0281054000, 0.0300148000, 0.0368670000, 0.0608235000, 0.1451554000, 0.4511721000, 1.5782993000", \
+                        "0.0276867000, 0.0296280000, 0.0364740000, 0.0604281000, 0.1446893000, 0.4506403000, 1.5767861000", \
+                        "0.0272576000, 0.0291275000, 0.0359365000, 0.0595317000, 0.1438809000, 0.4502692000, 1.5761061000", \
+                        "0.0282871000, 0.0299876000, 0.0364704000, 0.0596674000, 0.1436181000, 0.4486597000, 1.5764851000", \
+                        "0.0296580000, 0.0313041000, 0.0375620000, 0.0610701000, 0.1447572000, 0.4503166000, 1.5751040000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0238978000, 0.0224103000, 0.0173506000, -0.002334300, -0.083864100, -0.391932400, -1.524538600", \
+                        "0.0237663000, 0.0222816000, 0.0171835000, -0.002527000, -0.084039200, -0.392093700, -1.524653800", \
+                        "0.0235760000, 0.0220271000, 0.0167995000, -0.002828200, -0.084334900, -0.392363900, -1.524966300", \
+                        "0.0230438000, 0.0215390000, 0.0164816000, -0.003314800, -0.084834200, -0.392836200, -1.525383500", \
+                        "0.0227244000, 0.0212155000, 0.0160266000, -0.003945400, -0.085581600, -0.393301000, -1.525767700", \
+                        "0.0267575000, 0.0250455000, 0.0188709000, -0.003733600, -0.085926100, -0.393378100, -1.525588900", \
+                        "0.0305531000, 0.0287048000, 0.0222086000, -0.000932300, -0.084564900, -0.392417000, -1.523833800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
+                    values("0.0208925000, 0.0228868000, 0.0298212000, 0.0534325000, 0.1370501000, 0.4420214000, 1.5619256000", \
+                        "0.0208753000, 0.0228601000, 0.0297900000, 0.0534013000, 0.1371133000, 0.4423571000, 1.5619716000", \
+                        "0.0206023000, 0.0225752000, 0.0294332000, 0.0530245000, 0.1368945000, 0.4422123000, 1.5663906000", \
+                        "0.0198703000, 0.0217664000, 0.0284657000, 0.0520075000, 0.1361288000, 0.4422456000, 1.5664549000", \
+                        "0.0195537000, 0.0213378000, 0.0277254000, 0.0511269000, 0.1347667000, 0.4397651000, 1.5608197000", \
+                        "0.0202222000, 0.0223738000, 0.0287278000, 0.0516251000, 0.1348991000, 0.4417695000, 1.5623233000", \
+                        "0.0234505000, 0.0253605000, 0.0312370000, 0.0542470000, 0.1384036000, 0.4459868000, 1.5670913000");
+                }
+            }
+            max_capacitance : 0.3588970000;
+            max_transition : 1.5006290000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.3086209000, 0.3167104000, 0.3396621000, 0.3947378000, 0.5233466000, 0.8922567000, 2.1974949000", \
+                        "0.3166216000, 0.3247000000, 0.3475220000, 0.4028605000, 0.5313712000, 0.9002825000, 2.2113478000", \
+                        "0.3391595000, 0.3472717000, 0.3704488000, 0.4255024000, 0.5542203000, 0.9233176000, 2.2283153000", \
+                        "0.4026087000, 0.4106614000, 0.4336491000, 0.4886385000, 0.6169705000, 0.9864499000, 2.2959330000", \
+                        "0.5678630000, 0.5759125000, 0.5989548000, 0.6537024000, 0.7823224000, 1.1519876000, 2.4568381000", \
+                        "0.9244248000, 0.9346287000, 0.9627847000, 1.0274807000, 1.1699876000, 1.5480857000, 2.8512610000", \
+                        "1.5749952000, 1.5899502000, 1.6309812000, 1.7211392000, 1.9005573000, 2.3070712000, 3.6129393000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.1663343000, 0.1741093000, 0.1970206000, 0.2601734000, 0.4573390000, 1.1604687000, 3.7339478000", \
+                        "0.1729223000, 0.1806612000, 0.2035793000, 0.2667469000, 0.4641746000, 1.1666406000, 3.7393915000", \
+                        "0.1932269000, 0.2009607000, 0.2238171000, 0.2871425000, 0.4848740000, 1.1904489000, 3.7615360000", \
+                        "0.2542856000, 0.2619855000, 0.2849611000, 0.3478032000, 0.5452405000, 1.2494413000, 3.8161234000", \
+                        "0.3994150000, 0.4077663000, 0.4319309000, 0.4956503000, 0.6939861000, 1.4003447000, 3.9728119000", \
+                        "0.6414075000, 0.6531650000, 0.6836929000, 0.7558354000, 0.9570720000, 1.6604114000, 4.2354857000", \
+                        "0.9825151000, 0.9997949000, 1.0469721000, 1.1467503000, 1.3586118000, 2.0586219000, 4.6267115000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0445060000, 0.0495585000, 0.0636347000, 0.1062995000, 0.2332855000, 0.7040643000, 2.5052544000", \
+                        "0.0439292000, 0.0489245000, 0.0639896000, 0.1061351000, 0.2330030000, 0.7040327000, 2.5106199000", \
+                        "0.0443298000, 0.0490804000, 0.0647218000, 0.1062651000, 0.2325257000, 0.7044302000, 2.4985261000", \
+                        "0.0445046000, 0.0493529000, 0.0636130000, 0.1063594000, 0.2338200000, 0.7040906000, 2.5103055000", \
+                        "0.0452739000, 0.0499851000, 0.0650784000, 0.1065355000, 0.2336198000, 0.7042008000, 2.4984148000", \
+                        "0.0616490000, 0.0674029000, 0.0835521000, 0.1268226000, 0.2531255000, 0.7113768000, 2.5015732000", \
+                        "0.1064436000, 0.1126705000, 0.1330256000, 0.1826664000, 0.3059228000, 0.7398885000, 2.4997491000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0363674000, 0.0424817000, 0.0625861000, 0.1318824000, 0.3962246000, 1.3823281000, 4.9998317000", \
+                        "0.0362201000, 0.0423488000, 0.0623997000, 0.1318006000, 0.3956976000, 1.3821541000, 4.9983684000", \
+                        "0.0360537000, 0.0419912000, 0.0628010000, 0.1321065000, 0.3966821000, 1.3829238000, 4.9983386000", \
+                        "0.0360160000, 0.0422191000, 0.0626135000, 0.1317560000, 0.3954707000, 1.3864213000, 5.0004112000", \
+                        "0.0418688000, 0.0482489000, 0.0679236000, 0.1357114000, 0.3968921000, 1.3856721000, 4.9924256000", \
+                        "0.0639460000, 0.0700888000, 0.0878006000, 0.1498168000, 0.4018161000, 1.3833618000, 4.9953268000", \
+                        "0.1091170000, 0.1176172000, 0.1412547000, 0.1980286000, 0.4193401000, 1.3875604000, 5.0051745000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.3308134000, 0.3386632000, 0.3609973000, 0.4152405000, 0.5428105000, 0.9112331000, 2.2238116000", \
+                        "0.3383455000, 0.3463161000, 0.3685614000, 0.4228954000, 0.5504470000, 0.9191317000, 2.2280919000", \
+                        "0.3623092000, 0.3702719000, 0.3926769000, 0.4468295000, 0.5743830000, 0.9431217000, 2.2517332000", \
+                        "0.4270745000, 0.4350995000, 0.4576098000, 0.5116260000, 0.6391851000, 1.0079509000, 2.3164441000", \
+                        "0.5932091000, 0.6011805000, 0.6236043000, 0.6776559000, 0.8053431000, 1.1739663000, 2.4871578000", \
+                        "0.9605605000, 0.9703941000, 0.9973848000, 1.0607342000, 1.2004683000, 1.5766056000, 2.8849512000", \
+                        "1.6299813000, 1.6437876000, 1.6832938000, 1.7690981000, 1.9415951000, 2.3432041000, 3.6609500000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.1753712000, 0.1831099000, 0.2059681000, 0.2693024000, 0.4668573000, 1.1702407000, 3.7451069000", \
+                        "0.1832356000, 0.1909996000, 0.2139565000, 0.2771334000, 0.4745836000, 1.1783510000, 3.7442121000", \
+                        "0.2037401000, 0.2114954000, 0.2344530000, 0.2976717000, 0.4951677000, 1.1986963000, 3.7738404000", \
+                        "0.2588539000, 0.2665972000, 0.2894944000, 0.3526384000, 0.5498241000, 1.2527652000, 3.8256918000", \
+                        "0.3941193000, 0.4025320000, 0.4269776000, 0.4919158000, 0.6902193000, 1.3941739000, 3.9692689000", \
+                        "0.6500909000, 0.6607603000, 0.6905626000, 0.7626790000, 0.9647347000, 1.6685568000, 4.2417567000", \
+                        "1.0523261000, 1.0687273000, 1.1128702000, 1.2086429000, 1.4246617000, 2.1298274000, 4.6964463000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0435619000, 0.0482379000, 0.0632236000, 0.1049055000, 0.2314757000, 0.7032827000, 2.5004681000", \
+                        "0.0439530000, 0.0489926000, 0.0633196000, 0.1054336000, 0.2305718000, 0.7035506000, 2.5078442000", \
+                        "0.0437082000, 0.0485314000, 0.0641738000, 0.1054655000, 0.2309315000, 0.7035187000, 2.5082962000", \
+                        "0.0436484000, 0.0490249000, 0.0631486000, 0.1054286000, 0.2306393000, 0.7035567000, 2.5085678000", \
+                        "0.0442489000, 0.0490833000, 0.0642306000, 0.1054119000, 0.2309649000, 0.7037436000, 2.5015807000", \
+                        "0.0597112000, 0.0643989000, 0.0813079000, 0.1244030000, 0.2483645000, 0.7094665000, 2.5032352000", \
+                        "0.0996305000, 0.1071782000, 0.1273441000, 0.1733206000, 0.2991132000, 0.7328006000, 2.5036755000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0358512000, 0.0423038000, 0.0628532000, 0.1318654000, 0.3962349000, 1.3849801000, 5.0048894000", \
+                        "0.0363829000, 0.0424202000, 0.0626414000, 0.1319901000, 0.3952202000, 1.3863539000, 4.9993409000", \
+                        "0.0360151000, 0.0423433000, 0.0625506000, 0.1320012000, 0.3962718000, 1.3852376000, 5.0047007000", \
+                        "0.0361605000, 0.0422935000, 0.0624695000, 0.1320583000, 0.3963211000, 1.3815360000, 4.9985638000", \
+                        "0.0411060000, 0.0472969000, 0.0675162000, 0.1349654000, 0.3960934000, 1.3866288000, 5.0003326000", \
+                        "0.0566003000, 0.0626304000, 0.0831600000, 0.1483590000, 0.4008290000, 1.3834480000, 5.0021125000", \
+                        "0.0943566000, 0.1029931000, 0.1271083000, 0.1909639000, 0.4172117000, 1.3906275000, 4.9897451000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.2895145000, 0.2975389000, 0.3198384000, 0.3740518000, 0.5016108000, 0.8702452000, 2.1793667000", \
+                        "0.2945842000, 0.3025411000, 0.3248541000, 0.3790654000, 0.5066962000, 0.8748485000, 2.1880706000", \
+                        "0.3121221000, 0.3200884000, 0.3423399000, 0.3966397000, 0.5241518000, 0.8927862000, 2.2060687000", \
+                        "0.3706049000, 0.3783944000, 0.4011827000, 0.4550009000, 0.5824968000, 0.9514854000, 2.2574107000", \
+                        "0.5462312000, 0.5541768000, 0.5764500000, 0.6300047000, 0.7576088000, 1.1267328000, 2.4353146000", \
+                        "0.9122955000, 0.9230796000, 0.9522352000, 1.0152070000, 1.1522817000, 1.5295019000, 2.8454883000", \
+                        "1.5238018000, 1.5403284000, 1.5834253000, 1.6737229000, 1.8408404000, 2.2283777000, 3.5437382000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0968187000, 0.1034187000, 0.1233331000, 0.1808304000, 0.3729920000, 1.0830750000, 3.6382595000", \
+                        "0.1050676000, 0.1116863000, 0.1315769000, 0.1890640000, 0.3817654000, 1.0956906000, 3.6475427000", \
+                        "0.1282457000, 0.1348527000, 0.1546152000, 0.2120294000, 0.4050855000, 1.1183624000, 3.6750785000", \
+                        "0.1907756000, 0.1974910000, 0.2173338000, 0.2749153000, 0.4682369000, 1.1685718000, 3.7442094000", \
+                        "0.3074608000, 0.3162708000, 0.3402771000, 0.4023283000, 0.5967599000, 1.2975944000, 3.8619263000", \
+                        "0.4914176000, 0.5063501000, 0.5420266000, 0.6184375000, 0.8167008000, 1.5179989000, 4.0836339000", \
+                        "0.7291815000, 0.7532422000, 0.8137666000, 0.9308626000, 1.1571061000, 1.8604442000, 4.4222768000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0437670000, 0.0491500000, 0.0636669000, 0.1055400000, 0.2313187000, 0.7035716000, 2.5080549000", \
+                        "0.0437839000, 0.0491084000, 0.0636253000, 0.1052470000, 0.2316426000, 0.7028099000, 2.5007321000", \
+                        "0.0439355000, 0.0485128000, 0.0639377000, 0.1054481000, 0.2306502000, 0.7037065000, 2.5010165000", \
+                        "0.0436639000, 0.0483251000, 0.0629622000, 0.1048641000, 0.2307213000, 0.7026749000, 2.5028937000", \
+                        "0.0445588000, 0.0498889000, 0.0640175000, 0.1058407000, 0.2310163000, 0.7034958000, 2.5060383000", \
+                        "0.0708822000, 0.0758590000, 0.0890866000, 0.1267232000, 0.2473818000, 0.7110564000, 2.5080626000", \
+                        "0.1222569000, 0.1308253000, 0.1481019000, 0.1888827000, 0.2967126000, 0.7282267000, 2.5187135000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
+                    values("0.0289077000, 0.0342947000, 0.0530603000, 0.1227259000, 0.3907447000, 1.3823759000, 4.9981264000", \
+                        "0.0289038000, 0.0343193000, 0.0530567000, 0.1227680000, 0.3909532000, 1.3880155000, 4.9942925000", \
+                        "0.0290588000, 0.0343979000, 0.0532000000, 0.1228105000, 0.3909161000, 1.3879394000, 4.9909006000", \
+                        "0.0311494000, 0.0363068000, 0.0543747000, 0.1232216000, 0.3920110000, 1.3819834000, 5.0149525000", \
+                        "0.0450388000, 0.0497766000, 0.0660927000, 0.1295621000, 0.3899326000, 1.3843305000, 4.9953996000", \
+                        "0.0780522000, 0.0835595000, 0.0993415000, 0.1516856000, 0.3962775000, 1.3764823000, 4.9991731000", \
+                        "0.1396635000, 0.1510341000, 0.1731209000, 0.2215551000, 0.4244926000, 1.3878269000, 4.9896114000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21oi_1 */
+
+/* removed sky130_fd_sc_hd__a21oi_2 */
+
+    cell ("sky130_fd_sc_hd__a21oi_4") {
+        leakage_power () {
+            value : 5.6487348000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 3.8882142000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 5.6484275000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 7.7894020000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 5.6484528000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 6.4881576000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.5688275000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 5.5930274000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21oi";
+        cell_leakage_power : 5.1591550000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086260000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083480000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0144275000, 0.0144092000, 0.0143892000, 0.0143738000, 0.0143621000, 0.0143603000, 0.0143869000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011138200, -0.011182100, -0.011268100, -0.011256400, -0.011186100, -0.010950600, -0.010249300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089030000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0088810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0135293000, 0.0135044000, 0.0134691000, 0.0134499000, 0.0134302000, 0.0134095000, 0.0133860000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013437900, -0.013467400, -0.013512900, -0.013533100, -0.013552800, -0.013570800, -0.013583700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096760000;
+        }
+        pin ("B1") {
+            capacitance : 0.0086290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081140000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0108565000, 0.0108128000, 0.0106938000, 0.0107403000, 0.0108759000, 0.0112619000, 0.0123538000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005884600, -0.005907600, -0.005931300, -0.005954000, -0.005976600, -0.005998900, -0.006020200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091440000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0137485000, 0.0123863000, 0.0081364000, -0.005153100, -0.047107900, -0.179516200, -0.596403600", \
+                        "0.0133995000, 0.0120629000, 0.0078315000, -0.005476300, -0.047383000, -0.179827900, -0.596712400", \
+                        "0.0127801000, 0.0114425000, 0.0072780000, -0.005910800, -0.047695200, -0.180090400, -0.596823500", \
+                        "0.0117267000, 0.0104033000, 0.0063117000, -0.006633600, -0.048276700, -0.180438900, -0.597121100", \
+                        "0.0111968000, 0.0098519000, 0.0056320000, -0.007488400, -0.049002400, -0.180925600, -0.597358700", \
+                        "0.0122165000, 0.0108364000, 0.0064875000, -0.007055100, -0.049459700, -0.181626900, -0.597913100", \
+                        "0.0156213000, 0.0141503000, 0.0095608000, -0.004245200, -0.047580700, -0.181029000, -0.598549700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0208409000, 0.0223140000, 0.0267346000, 0.0402744000, 0.0821943000, 0.2131956000, 0.6280286000", \
+                        "0.0202213000, 0.0217390000, 0.0262827000, 0.0400701000, 0.0822329000, 0.2135202000, 0.6266010000", \
+                        "0.0194225000, 0.0209306000, 0.0254448000, 0.0394483000, 0.0818810000, 0.2141572000, 0.6267420000", \
+                        "0.0188862000, 0.0202992000, 0.0247428000, 0.0385429000, 0.0815465000, 0.2136248000, 0.6265142000", \
+                        "0.0185424000, 0.0199867000, 0.0243151000, 0.0378340000, 0.0801135000, 0.2127996000, 0.6264209000", \
+                        "0.0175168000, 0.0189105000, 0.0231756000, 0.0376413000, 0.0804258000, 0.2126139000, 0.6252146000", \
+                        "0.0186663000, 0.0199557000, 0.0242134000, 0.0375269000, 0.0788720000, 0.2121311000, 0.6259912000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0158443000, 0.0144894000, 0.0102251000, -0.003041200, -0.044998900, -0.177351000, -0.594298300", \
+                        "0.0154815000, 0.0141314000, 0.0099124000, -0.003369700, -0.045330900, -0.177731700, -0.594579700", \
+                        "0.0148591000, 0.0135317000, 0.0093583000, -0.003864800, -0.045716600, -0.178109900, -0.594858000", \
+                        "0.0140078000, 0.0126787000, 0.0085293000, -0.004496100, -0.046193100, -0.178392900, -0.595145800", \
+                        "0.0136682000, 0.0123067000, 0.0079492000, -0.005055800, -0.046533000, -0.178608400, -0.595139800", \
+                        "0.0140456000, 0.0126841000, 0.0083782000, -0.004945100, -0.047070800, -0.179282800, -0.595544300", \
+                        "0.0164793000, 0.0150496000, 0.0106050000, -0.003080900, -0.045706800, -0.178827300, -0.595750400");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0311996000, 0.0326056000, 0.0369429000, 0.0503797000, 0.0924399000, 0.2237383000, 0.6365240000", \
+                        "0.0307775000, 0.0321765000, 0.0365479000, 0.0500718000, 0.0921451000, 0.2235122000, 0.6362913000", \
+                        "0.0301932000, 0.0315948000, 0.0360494000, 0.0496848000, 0.0918668000, 0.2233513000, 0.6362699000", \
+                        "0.0296780000, 0.0311130000, 0.0354533000, 0.0491240000, 0.0914878000, 0.2233105000, 0.6362252000", \
+                        "0.0293273000, 0.0306916000, 0.0350158000, 0.0486055000, 0.0909462000, 0.2226802000, 0.6356211000", \
+                        "0.0282833000, 0.0296093000, 0.0340979000, 0.0483882000, 0.0908912000, 0.2227230000, 0.6357909000", \
+                        "0.0297051000, 0.0309898000, 0.0351654000, 0.0484273000, 0.0898746000, 0.2229394000, 0.6355303000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0003314000, -0.000876000, -0.004896300, -0.018121400, -0.060342600, -0.193219500, -0.610461500", \
+                        "-0.000283500, -0.001446600, -0.005209900, -0.018119600, -0.060129600, -0.192898800, -0.610123700", \
+                        "-0.001229200, -0.002387600, -0.006093800, -0.018522800, -0.060105300, -0.192660100, -0.609774800", \
+                        "-0.002168000, -0.003298600, -0.007136000, -0.019621300, -0.060646200, -0.192736300, -0.609668400", \
+                        "-0.001852700, -0.003182300, -0.007316600, -0.020219000, -0.061681400, -0.193290100, -0.609811000", \
+                        "-0.000769900, -0.002147400, -0.006593400, -0.020197900, -0.062142000, -0.194273400, -0.610377700", \
+                        "0.0047459000, 0.0032402000, -0.001719300, -0.016228600, -0.059882400, -0.193264800, -0.610620800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
+                    values("0.0249701000, 0.0264406000, 0.0308665000, 0.0446508000, 0.0867315000, 0.2184121000, 0.6310214000", \
+                        "0.0243340000, 0.0258134000, 0.0303718000, 0.0442285000, 0.0865599000, 0.2180935000, 0.6312647000", \
+                        "0.0237370000, 0.0251737000, 0.0296509000, 0.0434606000, 0.0862104000, 0.2180158000, 0.6309333000", \
+                        "0.0231396000, 0.0245600000, 0.0289609000, 0.0427194000, 0.0850911000, 0.2176669000, 0.6306474000", \
+                        "0.0229293000, 0.0243201000, 0.0286496000, 0.0420398000, 0.0844765000, 0.2164124000, 0.6303552000", \
+                        "0.0243467000, 0.0258252000, 0.0298621000, 0.0425338000, 0.0842626000, 0.2156975000, 0.6288812000", \
+                        "0.0275068000, 0.0288339000, 0.0328765000, 0.0457729000, 0.0869011000, 0.2167221000, 0.6283863000");
+                }
+            }
+            max_capacitance : 0.1382550000;
+            max_transition : 1.4973610000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0528950000, 0.0566619000, 0.0678310000, 0.1007129000, 0.1964654000, 0.4888133000, 1.3998117000", \
+                        "0.0593893000, 0.0630900000, 0.0743615000, 0.1071680000, 0.2029127000, 0.4954891000, 1.4071198000", \
+                        "0.0795819000, 0.0833120000, 0.0945104000, 0.1273420000, 0.2236117000, 0.5161925000, 1.4278339000", \
+                        "0.1327488000, 0.1384812000, 0.1523844000, 0.1866063000, 0.2818989000, 0.5748756000, 1.4857805000", \
+                        "0.2222504000, 0.2307469000, 0.2548806000, 0.3155183000, 0.4485399000, 0.7469659000, 1.6575764000", \
+                        "0.3473618000, 0.3625686000, 0.4059861000, 0.5161561000, 0.7526076000, 1.2073467000, 2.1245690000", \
+                        "0.4634802000, 0.4908256000, 0.5717987000, 0.7718310000, 1.2126554000, 2.0287685000, 3.4485941000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.1263754000, 0.1353891000, 0.1626717000, 0.2440678000, 0.4949178000, 1.2859128000, 3.7565315000", \
+                        "0.1318387000, 0.1411417000, 0.1688283000, 0.2514166000, 0.5039062000, 1.2903235000, 3.7525099000", \
+                        "0.1534789000, 0.1623308000, 0.1900130000, 0.2738727000, 0.5280938000, 1.3255638000, 3.7789536000", \
+                        "0.2183751000, 0.2272308000, 0.2541218000, 0.3365801000, 0.5968751000, 1.3817266000, 3.8453383000", \
+                        "0.3544372000, 0.3672150000, 0.4030524000, 0.4999203000, 0.7567071000, 1.5497973000, 4.0260337000", \
+                        "0.6013106000, 0.6243468000, 0.6881555000, 0.8442174000, 1.1936396000, 2.0083584000, 4.4927355000", \
+                        "0.9918648000, 1.0433009000, 1.1703315000, 1.4781130000, 2.0823545000, 3.2291028000, 5.7854002000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0468552000, 0.0508571000, 0.0635581000, 0.1032224000, 0.2284124000, 0.6225730000, 1.8617127000", \
+                        "0.0464654000, 0.0506607000, 0.0632259000, 0.1032515000, 0.2285064000, 0.6231820000, 1.8624169000", \
+                        "0.0451955000, 0.0491589000, 0.0620800000, 0.1026967000, 0.2283040000, 0.6216251000, 1.8628199000", \
+                        "0.0632944000, 0.0667411000, 0.0787003000, 0.1116782000, 0.2280607000, 0.6232729000, 1.8619438000", \
+                        "0.1110189000, 0.1176997000, 0.1356012000, 0.1814612000, 0.2847758000, 0.6285523000, 1.8612140000", \
+                        "0.2197579000, 0.2315641000, 0.2640014000, 0.3416118000, 0.4968108000, 0.8115513000, 1.8797615000", \
+                        "0.4456220000, 0.4687277000, 0.5323697000, 0.6808698000, 0.9428855000, 1.4324336000, 2.3909701000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0746876000, 0.0858015000, 0.1204916000, 0.2289885000, 0.5675747000, 1.6328983000, 4.9626538000", \
+                        "0.0747640000, 0.0859226000, 0.1204877000, 0.2291036000, 0.5675220000, 1.6268973000, 4.9560338000", \
+                        "0.0749478000, 0.0859562000, 0.1205284000, 0.2289225000, 0.5666447000, 1.6359836000, 4.9553641000", \
+                        "0.0772287000, 0.0875401000, 0.1214064000, 0.2288122000, 0.5716701000, 1.6291335000, 4.9508220000", \
+                        "0.1137045000, 0.1248613000, 0.1582229000, 0.2528193000, 0.5712656000, 1.6309968000, 4.9500151000", \
+                        "0.2195853000, 0.2341604000, 0.2758925000, 0.3864463000, 0.6863004000, 1.6471235000, 4.9507167000", \
+                        "0.5033583000, 0.5246896000, 0.5888596000, 0.7432341000, 1.1019312000, 2.0075608000, 5.0003153000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0633341000, 0.0670504000, 0.0782765000, 0.1109452000, 0.2069670000, 0.4992422000, 1.4096821000", \
+                        "0.0710118000, 0.0747871000, 0.0860818000, 0.1187418000, 0.2148456000, 0.5071604000, 1.4182011000", \
+                        "0.0912328000, 0.0950639000, 0.1063345000, 0.1392254000, 0.2354467000, 0.5279022000, 1.4401271000", \
+                        "0.1414112000, 0.1456522000, 0.1583478000, 0.1933039000, 0.2899991000, 0.5830502000, 1.4940548000", \
+                        "0.2390334000, 0.2460362000, 0.2650264000, 0.3163241000, 0.4367963000, 0.7372436000, 1.6498767000", \
+                        "0.3989864000, 0.4116592000, 0.4478511000, 0.5390291000, 0.7380368000, 1.1409798000, 2.0868325000", \
+                        "0.5935959000, 0.6180117000, 0.6895142000, 0.8679374000, 1.2516325000, 1.9529067000, 3.2300280000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.1632221000, 0.1719395000, 0.1978892000, 0.2768564000, 0.5218867000, 1.2846724000, 3.6747969000", \
+                        "0.1698516000, 0.1783097000, 0.2046713000, 0.2839806000, 0.5291260000, 1.2926584000, 3.6847652000", \
+                        "0.1920500000, 0.2008099000, 0.2273856000, 0.3074153000, 0.5529360000, 1.3178127000, 3.7087566000", \
+                        "0.2558957000, 0.2646183000, 0.2906254000, 0.3707852000, 0.6173707000, 1.3834561000, 3.7742924000", \
+                        "0.4006603000, 0.4112076000, 0.4426433000, 0.5322015000, 0.7790406000, 1.5445436000, 3.9373091000", \
+                        "0.6749782000, 0.6917621000, 0.7417730000, 0.8764821000, 1.2003032000, 1.9928499000, 4.3880433000", \
+                        "1.1229039000, 1.1565454000, 1.2555917000, 1.5085867000, 2.0546673000, 3.1526735000, 5.6434675000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0463760000, 0.0504896000, 0.0633664000, 0.1031133000, 0.2283530000, 0.6224988000, 1.8617689000", \
+                        "0.0464114000, 0.0505016000, 0.0631296000, 0.1030819000, 0.2284772000, 0.6225693000, 1.8601010000", \
+                        "0.0456028000, 0.0497955000, 0.0626645000, 0.1030458000, 0.2285311000, 0.6223202000, 1.8610606000", \
+                        "0.0555409000, 0.0593575000, 0.0712197000, 0.1071148000, 0.2284774000, 0.6224015000, 1.8625362000", \
+                        "0.0908617000, 0.0959061000, 0.1100961000, 0.1515879000, 0.2617296000, 0.6274857000, 1.8636439000", \
+                        "0.1829898000, 0.1909245000, 0.2131174000, 0.2734731000, 0.4059842000, 0.7451240000, 1.8761210000", \
+                        "0.4012054000, 0.4166279000, 0.4588453000, 0.5607969000, 0.7680329000, 1.1901656000, 2.2172808000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.1010809000, 0.1119851000, 0.1460110000, 0.2518866000, 0.5810197000, 1.6098994000, 4.8366058000", \
+                        "0.1010570000, 0.1118975000, 0.1459527000, 0.2516175000, 0.5799999000, 1.6092168000, 4.8361981000", \
+                        "0.1012175000, 0.1119347000, 0.1461559000, 0.2518547000, 0.5807103000, 1.6105133000, 4.8338333000", \
+                        "0.1016136000, 0.1122953000, 0.1460164000, 0.2515854000, 0.5801646000, 1.6107428000, 4.8357607000", \
+                        "0.1348920000, 0.1452446000, 0.1773297000, 0.2711680000, 0.5833273000, 1.6107746000, 4.8360325000", \
+                        "0.2355895000, 0.2486652000, 0.2888746000, 0.3989249000, 0.6914589000, 1.6284940000, 4.8391917000", \
+                        "0.5098392000, 0.5322269000, 0.5939992000, 0.7493646000, 1.1033061000, 1.9942068000, 4.8870077000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0223788000, 0.0241819000, 0.0296355000, 0.0456613000, 0.0947166000, 0.2484272000, 0.7296410000", \
+                        "0.0300592000, 0.0320273000, 0.0375766000, 0.0540448000, 0.1032639000, 0.2566508000, 0.7381969000", \
+                        "0.0497580000, 0.0526502000, 0.0602218000, 0.0773260000, 0.1270339000, 0.2806518000, 0.7617874000", \
+                        "0.0822377000, 0.0872815000, 0.1016819000, 0.1340196000, 0.1944773000, 0.3472194000, 0.8286894000", \
+                        "0.1294363000, 0.1399515000, 0.1677084000, 0.2292879000, 0.3439088000, 0.5387714000, 1.0186528000", \
+                        "0.1822396000, 0.2025508000, 0.2562218000, 0.3766336000, 0.5966496000, 0.9616837000, 1.5581154000", \
+                        "0.1829610000, 0.2190823000, 0.3222619000, 0.5537150000, 0.9832470000, 1.6829291000, 2.7871987000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.1183170000, 0.1274663000, 0.1536574000, 0.2342506000, 0.4797767000, 1.2433896000, 3.6343706000", \
+                        "0.1217455000, 0.1307988000, 0.1579392000, 0.2387920000, 0.4853586000, 1.2497680000, 3.6414196000", \
+                        "0.1396814000, 0.1481540000, 0.1746832000, 0.2552877000, 0.5036707000, 1.2693544000, 3.6616341000", \
+                        "0.2001341000, 0.2082847000, 0.2342194000, 0.3145528000, 0.5599085000, 1.3275290000, 3.7210051000", \
+                        "0.3425296000, 0.3555538000, 0.3939124000, 0.4909163000, 0.7367123000, 1.5011988000, 3.8961301000", \
+                        "0.5872316000, 0.6105781000, 0.6782751000, 0.8464178000, 1.2175252000, 2.0015953000, 4.3862594000", \
+                        "0.9996514000, 1.0440283000, 1.1605175000, 1.4578808000, 2.1098647000, 3.3457785000, 5.8077076000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.0163188000, 0.0187811000, 0.0265025000, 0.0507439000, 0.1203899000, 0.3312375000, 0.9934231000", \
+                        "0.0163242000, 0.0188296000, 0.0266107000, 0.0507908000, 0.1203623000, 0.3312448000, 0.9923267000", \
+                        "0.0258465000, 0.0270133000, 0.0320897000, 0.0523677000, 0.1203982000, 0.3312489000, 0.9924032000", \
+                        "0.0577605000, 0.0593302000, 0.0647332000, 0.0811031000, 0.1313125000, 0.3311587000, 0.9928017000", \
+                        "0.1284868000, 0.1306193000, 0.1377471000, 0.1597834000, 0.2165772000, 0.3676594000, 0.9937512000", \
+                        "0.2781421000, 0.2818017000, 0.2957564000, 0.3311460000, 0.4208279000, 0.6114263000, 1.0891701000", \
+                        "0.5916128000, 0.5986878000, 0.6271786000, 0.7026682000, 0.8650055000, 1.1794566000, 1.7695611000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
+                    values("0.1011402000, 0.1120657000, 0.1459344000, 0.2516562000, 0.5799958000, 1.6100425000, 4.8373869000", \
+                        "0.1009495000, 0.1119033000, 0.1458594000, 0.2517199000, 0.5802688000, 1.6082827000, 4.8421844000", \
+                        "0.1005745000, 0.1115129000, 0.1457625000, 0.2517370000, 0.5810110000, 1.6093177000, 4.8359485000", \
+                        "0.1051662000, 0.1147685000, 0.1463115000, 0.2509443000, 0.5805180000, 1.6100248000, 4.8350990000", \
+                        "0.1668944000, 0.1787768000, 0.2120233000, 0.2946342000, 0.5846998000, 1.6105500000, 4.8363442000", \
+                        "0.3042696000, 0.3223811000, 0.3767074000, 0.4986927000, 0.7720835000, 1.6316413000, 4.8352136000", \
+                        "0.5591441000, 0.5960248000, 0.6941047000, 0.9180267000, 1.3567137000, 2.1854782000, 4.8950222000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a221o_1 */
+
+/* removed sky130_fd_sc_hd__a221o_2 */
+
+/* removed sky130_fd_sc_hd__a221o_4 */
+
+/* removed sky130_fd_sc_hd__a221oi_1 */
+
+/* removed sky130_fd_sc_hd__a221oi_2 */
+
+/* removed sky130_fd_sc_hd__a221oi_4 */
+
+/* removed sky130_fd_sc_hd__a222oi_1 */
+
+/* removed sky130_fd_sc_hd__a22o_1 */
+
+/* removed sky130_fd_sc_hd__a22o_2 */
+
+/* removed sky130_fd_sc_hd__a22o_4 */
+
+/* removed sky130_fd_sc_hd__a22oi_1 */
+
+/* removed sky130_fd_sc_hd__a22oi_2 */
+
+    cell ("sky130_fd_sc_hd__a22oi_4") {
+        leakage_power () {
+            value : 3.7496255000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.5155188000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.6861425000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 3.0033693000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.9836870000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 3.7496237000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.6854834000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 6.2374510000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.2374439000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 3.0033687000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.6854373000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 5.4912137000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 4.9828137000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 5.3013807000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.5488886000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 5.1568545000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a22oi";
+        cell_leakage_power : 5.0636440000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0146596000, 0.0146292000, 0.0145793000, 0.0145616000, 0.0145475000, 0.0145431000, 0.0145666000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010828900, -0.010881400, -0.010992900, -0.010975700, -0.010890500, -0.010613900, -0.009798000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086360000;
+        }
+        pin ("A2") {
+            capacitance : 0.0086690000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082630000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130236000, 0.0129763000, 0.0128821000, 0.0128577000, 0.0128280000, 0.0127833000, 0.0126960000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012914000, -0.012928400, -0.012932300, -0.012951600, -0.012969500, -0.012983200, -0.012985300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090750000;
+        }
+        pin ("B1") {
+            capacitance : 0.0084070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0148598000, 0.0148400000, 0.0148145000, 0.0147982000, 0.0147825000, 0.0147685000, 0.0147597000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011019200, -0.011060600, -0.011151500, -0.011130000, -0.011043400, -0.010773200, -0.009986200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087880000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080210000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130398000, 0.0130134000, 0.0129722000, 0.0129531000, 0.0129327000, 0.0129086000, 0.0128741000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012995500, -0.013008800, -0.013020200, -0.013034400, -0.013048200, -0.013060600, -0.013069100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091630000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0216524000, 0.0201994000, 0.0154609000, -1.96000e-05, -0.051235500, -0.220509400, -0.777546800", \
+                        "0.0214585000, 0.0200110000, 0.0152294000, -0.000194000, -0.051455500, -0.220618600, -0.777731600", \
+                        "0.0210486000, 0.0196422000, 0.0149220000, -0.000531700, -0.051637100, -0.220673000, -0.777605000", \
+                        "0.0200398000, 0.0186236000, 0.0140321000, -0.001225800, -0.052155100, -0.220993200, -0.777868000", \
+                        "0.0193076000, 0.0178731000, 0.0131915000, -0.002015700, -0.052765900, -0.221355300, -0.777697900", \
+                        "0.0198673000, 0.0184052000, 0.0136230000, -0.002047400, -0.053477300, -0.222201800, -0.778566200", \
+                        "0.0223404000, 0.0208768000, 0.0158978000, -0.000178200, -0.052211400, -0.222829000, -0.779136000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0322132000, 0.0336961000, 0.0385544000, 0.0543508000, 0.1056576000, 0.2734049000, 0.8259975000", \
+                        "0.0319972000, 0.0334725000, 0.0384127000, 0.0542766000, 0.1058883000, 0.2736562000, 0.8251894000", \
+                        "0.0313121000, 0.0328502000, 0.0378374000, 0.0538780000, 0.1057673000, 0.2738810000, 0.8256002000", \
+                        "0.0304863000, 0.0320051000, 0.0369611000, 0.0529836000, 0.1050054000, 0.2733775000, 0.8251343000", \
+                        "0.0299399000, 0.0314415000, 0.0362785000, 0.0521755000, 0.1041440000, 0.2727022000, 0.8249737000", \
+                        "0.0293082000, 0.0310620000, 0.0361556000, 0.0519750000, 0.1036502000, 0.2721409000, 0.8250517000", \
+                        "0.0298275000, 0.0312411000, 0.0360730000, 0.0514863000, 0.1032258000, 0.2719058000, 0.8242765000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0235979000, 0.0221328000, 0.0174536000, 0.0018958000, -0.049367100, -0.218527900, -0.775419000", \
+                        "0.0233225000, 0.0218817000, 0.0171683000, 0.0016488000, -0.049591200, -0.218763900, -0.775506700", \
+                        "0.0229095000, 0.0214561000, 0.0167365000, 0.0012926000, -0.049849700, -0.218980200, -0.775867900", \
+                        "0.0221630000, 0.0207548000, 0.0160954000, 0.0007354000, -0.050236800, -0.219171000, -0.776156500", \
+                        "0.0214798000, 0.0200538000, 0.0153422000, 0.0002217000, -0.050532000, -0.219233400, -0.776044700", \
+                        "0.0219966000, 0.0205463000, 0.0157520000, 2.650000e-05, -0.051538300, -0.219950800, -0.776330200", \
+                        "0.0240504000, 0.0225632000, 0.0176505000, 0.0018684000, -0.050045900, -0.220255800, -0.776586900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0429832000, 0.0444953000, 0.0493108000, 0.0651717000, 0.1165576000, 0.2846686000, 0.8365622000", \
+                        "0.0426523000, 0.0441068000, 0.0490647000, 0.0648913000, 0.1163345000, 0.2847836000, 0.8362053000", \
+                        "0.0422238000, 0.0437258000, 0.0486627000, 0.0646289000, 0.1161616000, 0.2843385000, 0.8364622000", \
+                        "0.0417069000, 0.0431670000, 0.0481408000, 0.0640931000, 0.1159079000, 0.2841888000, 0.8364150000", \
+                        "0.0413883000, 0.0428185000, 0.0476245000, 0.0634488000, 0.1152683000, 0.2841285000, 0.8364307000", \
+                        "0.0411549000, 0.0427023000, 0.0475265000, 0.0633915000, 0.1153977000, 0.2838024000, 0.8364587000", \
+                        "0.0416959000, 0.0431590000, 0.0479505000, 0.0635525000, 0.1147779000, 0.2836338000, 0.8354166000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0089682000, 0.0075983000, 0.0029688000, -0.012616100, -0.064262700, -0.234044300, -0.791700400", \
+                        "0.0084620000, 0.0071676000, 0.0027337000, -0.012583400, -0.064016800, -0.233736900, -0.791321100", \
+                        "0.0077129000, 0.0064697000, 0.0021323000, -0.012775300, -0.063874100, -0.233383200, -0.790872000", \
+                        "0.0066528000, 0.0053729000, 0.0010483000, -0.013736900, -0.064265800, -0.233398800, -0.790767900", \
+                        "0.0061155000, 0.0047199000, 0.0001484000, -0.014938500, -0.065282900, -0.233921300, -0.790899800", \
+                        "0.0067612000, 0.0053850000, 0.0004798000, -0.015195800, -0.066326400, -0.234894000, -0.791550300", \
+                        "0.0100865000, 0.0082804000, 0.0031061000, -0.013416200, -0.066012600, -0.235880000, -0.792918000");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0229367000, 0.0245049000, 0.0294307000, 0.0453453000, 0.0967045000, 0.2645125000, 0.8162781000", \
+                        "0.0225355000, 0.0240450000, 0.0290555000, 0.0451533000, 0.0967395000, 0.2646601000, 0.8163071000", \
+                        "0.0217085000, 0.0232630000, 0.0283443000, 0.0444947000, 0.0965109000, 0.2649574000, 0.8167285000", \
+                        "0.0207397000, 0.0222481000, 0.0272380000, 0.0432658000, 0.0956153000, 0.2642770000, 0.8165032000", \
+                        "0.0203987000, 0.0218361000, 0.0265721000, 0.0423128000, 0.0944300000, 0.2633265000, 0.8165718000", \
+                        "0.0216083000, 0.0230996000, 0.0284892000, 0.0428063000, 0.0938082000, 0.2623050000, 0.8149930000", \
+                        "0.0243340000, 0.0257456000, 0.0302372000, 0.0451706000, 0.0963492000, 0.2627517000, 0.8139419000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0112149000, 0.0098052000, 0.0051523000, -0.010452200, -0.062089500, -0.231889700, -0.789455600", \
+                        "0.0108410000, 0.0095170000, 0.0050031000, -0.010379100, -0.061851500, -0.231577200, -0.789134400", \
+                        "0.0100218000, 0.0087625000, 0.0044281000, -0.010600300, -0.061729600, -0.231289100, -0.788809000", \
+                        "0.0089217000, 0.0076182000, 0.0032737000, -0.011509200, -0.062118500, -0.231280900, -0.788618200", \
+                        "0.0086943000, 0.0072086000, 0.0023717000, -0.012735600, -0.063106100, -0.231706100, -0.788603300", \
+                        "0.0084978000, 0.0070806000, 0.0023856000, -0.013141500, -0.064455800, -0.232774000, -0.789187500", \
+                        "0.0110709000, 0.0095251000, 0.0044860000, -0.011662400, -0.063684000, -0.233549600, -0.789894900");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
+                    values("0.0338876000, 0.0353775000, 0.0402621000, 0.0561444000, 0.1075595000, 0.2756413000, 0.8276040000", \
+                        "0.0334158000, 0.0349865000, 0.0398736000, 0.0558007000, 0.1074172000, 0.2754012000, 0.8273013000", \
+                        "0.0328530000, 0.0343185000, 0.0392003000, 0.0551905000, 0.1070143000, 0.2752786000, 0.8270233000", \
+                        "0.0321007000, 0.0335867000, 0.0385294000, 0.0546205000, 0.1067006000, 0.2751433000, 0.8270011000", \
+                        "0.0317137000, 0.0332179000, 0.0381524000, 0.0539388000, 0.1058792000, 0.2744865000, 0.8271498000", \
+                        "0.0327306000, 0.0341535000, 0.0387931000, 0.0543902000, 0.1057299000, 0.2745605000, 0.8258253000", \
+                        "0.0370904000, 0.0384641000, 0.0435214000, 0.0577477000, 0.1087968000, 0.2758037000, 0.8262261000");
+                }
+            }
+            max_capacitance : 0.1794530000;
+            max_transition : 1.9186580000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0859760000, 0.0901700000, 0.1033214000, 0.1445914000, 0.2713030000, 0.6778765000, 2.0025439000", \
+                        "0.0926453000, 0.0968246000, 0.1097984000, 0.1511653000, 0.2780621000, 0.6848097000, 2.0094755000", \
+                        "0.1128524000, 0.1170457000, 0.1303448000, 0.1712216000, 0.2988535000, 0.7057314000, 2.0303508000", \
+                        "0.1730028000, 0.1772915000, 0.1902735000, 0.2313418000, 0.3588191000, 0.7658815000, 2.0911299000", \
+                        "0.2930395000, 0.3003431000, 0.3226156000, 0.3841408000, 0.5310218000, 0.9367263000, 2.2619822000", \
+                        "0.4820909000, 0.4950907000, 0.5344181000, 0.6434822000, 0.8978105000, 1.4229944000, 2.7444478000", \
+                        "0.7222847000, 0.7458448000, 0.8174751000, 1.0157037000, 1.4870599000, 2.4126090000, 4.1302752000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1914829000, 0.2006840000, 0.2298996000, 0.3238548000, 0.6273023000, 1.6165379000, 4.8582587000", \
+                        "0.1991707000, 0.2084814000, 0.2379532000, 0.3326174000, 0.6367865000, 1.6265883000, 4.8685447000", \
+                        "0.2209042000, 0.2305086000, 0.2605073000, 0.3560455000, 0.6615845000, 1.6519021000, 4.8952788000", \
+                        "0.2871307000, 0.2966351000, 0.3262791000, 0.4221732000, 0.7291658000, 1.7217876000, 4.9652780000", \
+                        "0.4509845000, 0.4617323000, 0.4948224000, 0.5929057000, 0.8994757000, 1.8932036000, 5.1390456000", \
+                        "0.7747485000, 0.7923633000, 0.8430877000, 0.9880267000, 1.3598662000, 2.3623405000, 5.6096567000", \
+                        "1.3429833000, 1.3801550000, 1.4802222000, 1.7519134000, 2.3577711000, 3.6521237000, 6.9350379000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0660843000, 0.0710360000, 0.0874198000, 0.1396600000, 0.3088446000, 0.8646339000, 2.6856783000", \
+                        "0.0659752000, 0.0710538000, 0.0874685000, 0.1396233000, 0.3089498000, 0.8643098000, 2.6859346000", \
+                        "0.0658834000, 0.0709471000, 0.0871365000, 0.1393536000, 0.3091888000, 0.8641329000, 2.6836462000", \
+                        "0.0747311000, 0.0787396000, 0.0931269000, 0.1415247000, 0.3093238000, 0.8635347000, 2.6839575000", \
+                        "0.1269120000, 0.1332818000, 0.1516724000, 0.2037846000, 0.3392890000, 0.8638113000, 2.6858569000", \
+                        "0.2431553000, 0.2541375000, 0.2867182000, 0.3688131000, 0.5567790000, 0.9862563000, 2.6860441000", \
+                        "0.4925527000, 0.5127940000, 0.5726926000, 0.7268778000, 1.0342450000, 1.6371036000, 3.0016838000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1213892000, 0.1328872000, 0.1706763000, 0.2950224000, 0.6991323000, 2.0245168000, 6.3604979000", \
+                        "0.1213693000, 0.1330968000, 0.1706531000, 0.2947059000, 0.6997383000, 2.0238864000, 6.3649264000", \
+                        "0.1213722000, 0.1329787000, 0.1708222000, 0.2949506000, 0.6996267000, 2.0201636000, 6.3649339000", \
+                        "0.1216342000, 0.1328947000, 0.1710543000, 0.2947853000, 0.6990484000, 2.0239137000, 6.3617520000", \
+                        "0.1484525000, 0.1589314000, 0.1915533000, 0.3056865000, 0.6996781000, 2.0251190000, 6.3655947000", \
+                        "0.2501214000, 0.2639850000, 0.3054456000, 0.4283905000, 0.7774180000, 2.0264059000, 6.3615788000", \
+                        "0.5348902000, 0.5548296000, 0.6155584000, 0.7869843000, 1.1971487000, 2.2988393000, 6.3708550000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0962909000, 0.1005050000, 0.1138758000, 0.1547188000, 0.2818670000, 0.6886003000, 2.0120028000", \
+                        "0.1043186000, 0.1085277000, 0.1215743000, 0.1628893000, 0.2896462000, 0.6962497000, 2.0200033000", \
+                        "0.1249235000, 0.1291658000, 0.1425453000, 0.1838627000, 0.3107413000, 0.7172338000, 2.0427018000", \
+                        "0.1777544000, 0.1820255000, 0.1956566000, 0.2367119000, 0.3646555000, 0.7717318000, 2.0971627000", \
+                        "0.2928741000, 0.2988511000, 0.3175272000, 0.3708883000, 0.5141414000, 0.9230198000, 2.2501756000", \
+                        "0.4993874000, 0.5096572000, 0.5415389000, 0.6314003000, 0.8467101000, 1.3424246000, 2.6765401000", \
+                        "0.7949220000, 0.8145273000, 0.8774270000, 1.0477084000, 1.4491441000, 2.2382507000, 3.8584558000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.2273886000, 0.2367714000, 0.2654836000, 0.3588188000, 0.6580799000, 1.6353061000, 4.8227116000", \
+                        "0.2351974000, 0.2432110000, 0.2727561000, 0.3658654000, 0.6656639000, 1.6402381000, 4.8300488000", \
+                        "0.2579273000, 0.2665624000, 0.2963248000, 0.3897681000, 0.6896780000, 1.6659086000, 4.8547202000", \
+                        "0.3238213000, 0.3323876000, 0.3619244000, 0.4559027000, 0.7569743000, 1.7323173000, 4.9230575000", \
+                        "0.4838029000, 0.4939303000, 0.5260346000, 0.6202789000, 0.9212797000, 1.8978779000, 5.0897810000", \
+                        "0.8117078000, 0.8261543000, 0.8712084000, 1.0043142000, 1.3607865000, 2.3456619000, 5.5381628000", \
+                        "1.3933947000, 1.4203489000, 1.5058633000, 1.7435698000, 2.3057145000, 3.5636385000, 6.8023183000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0660351000, 0.0709837000, 0.0872475000, 0.1393744000, 0.3090093000, 0.8653774000, 2.6819771000", \
+                        "0.0658915000, 0.0710413000, 0.0874162000, 0.1393354000, 0.3093658000, 0.8649878000, 2.6845954000", \
+                        "0.0659178000, 0.0709023000, 0.0873045000, 0.1393239000, 0.3092231000, 0.8638243000, 2.6845415000", \
+                        "0.0705002000, 0.0748826000, 0.0902688000, 0.1408543000, 0.3088268000, 0.8635324000, 2.6859139000", \
+                        "0.1042275000, 0.1096330000, 0.1261747000, 0.1794297000, 0.3285906000, 0.8643629000, 2.6853455000", \
+                        "0.1977599000, 0.2075454000, 0.2314100000, 0.2980839000, 0.4666810000, 0.9465476000, 2.6861693000", \
+                        "0.4230600000, 0.4379049000, 0.4779518000, 0.5921423000, 0.8372753000, 1.3790503000, 2.9031055000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1486199000, 0.1609314000, 0.1989004000, 0.3216448000, 0.7210777000, 2.0310698000, 6.3046608000", \
+                        "0.1491294000, 0.1607624000, 0.1986535000, 0.3214112000, 0.7204840000, 2.0278959000, 6.3043104000", \
+                        "0.1491463000, 0.1605503000, 0.1989540000, 0.3218234000, 0.7203838000, 2.0297779000, 6.3090124000", \
+                        "0.1488332000, 0.1603529000, 0.1987177000, 0.3209739000, 0.7211352000, 2.0258335000, 6.2974332000", \
+                        "0.1711741000, 0.1817045000, 0.2148861000, 0.3298066000, 0.7209941000, 2.0293680000, 6.3068435000", \
+                        "0.2703850000, 0.2826416000, 0.3237459000, 0.4461422000, 0.7967086000, 2.0307238000, 6.3018200000", \
+                        "0.5512105000, 0.5715918000, 0.6284542000, 0.7940433000, 1.1991940000, 2.3177045000, 6.3284769000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0445565000, 0.0483426000, 0.0604717000, 0.0980703000, 0.2202863000, 0.6203111000, 1.9339170000", \
+                        "0.0506896000, 0.0546343000, 0.0670123000, 0.1052627000, 0.2284220000, 0.6288812000, 1.9415134000", \
+                        "0.0703771000, 0.0742094000, 0.0870974000, 0.1257864000, 0.2492472000, 0.6511393000, 1.9640669000", \
+                        "0.1131471000, 0.1198661000, 0.1387778000, 0.1863680000, 0.3084478000, 0.7100091000, 2.0238742000", \
+                        "0.1768549000, 0.1890782000, 0.2238592000, 0.3075969000, 0.4803231000, 0.8819827000, 2.1963416000", \
+                        "0.2541598000, 0.2791508000, 0.3423077000, 0.4971139000, 0.8033076000, 1.3654443000, 2.6790439000", \
+                        "0.2862882000, 0.3280992000, 0.4465474000, 0.7342249000, 1.3058525000, 2.3164404000, 4.0638253000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1355843000, 0.1452601000, 0.1749681000, 0.2699676000, 0.5749186000, 1.5678540000, 4.8209801000", \
+                        "0.1403459000, 0.1495955000, 0.1804325000, 0.2768019000, 0.5821107000, 1.5756799000, 4.8290936000", \
+                        "0.1586025000, 0.1678511000, 0.1984666000, 0.2943235000, 0.6020774000, 1.5966265000, 4.8508748000", \
+                        "0.2179660000, 0.2271216000, 0.2569872000, 0.3516015000, 0.6595411000, 1.6588726000, 4.9134110000", \
+                        "0.3749260000, 0.3882922000, 0.4276665000, 0.5311811000, 0.8404845000, 1.8367227000, 5.0945128000", \
+                        "0.6644321000, 0.6880815000, 0.7565432000, 0.9401084000, 1.3513439000, 2.3466680000, 5.6054770000", \
+                        "1.1626883000, 1.2045088000, 1.3304571000, 1.6626047000, 2.3965787000, 3.8005683000, 7.0619177000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0544389000, 0.0593658000, 0.0754247000, 0.1269240000, 0.2923495000, 0.8353223000, 2.6220754000", \
+                        "0.0544401000, 0.0593613000, 0.0754185000, 0.1269524000, 0.2925308000, 0.8364758000, 2.6195637000", \
+                        "0.0561763000, 0.0606139000, 0.0755628000, 0.1269422000, 0.2925378000, 0.8356915000, 2.6209799000", \
+                        "0.0855961000, 0.0891931000, 0.0998589000, 0.1384105000, 0.2922848000, 0.8351594000, 2.6190392000", \
+                        "0.1614818000, 0.1656478000, 0.1792115000, 0.2214096000, 0.3423463000, 0.8364305000, 2.6187539000", \
+                        "0.3294260000, 0.3348757000, 0.3560966000, 0.4176814000, 0.5796251000, 0.9786969000, 2.6213955000", \
+                        "0.6735142000, 0.6860116000, 0.7276049000, 0.8389064000, 1.0972495000, 1.6386787000, 2.9667282000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1194960000, 0.1312022000, 0.1692770000, 0.2941805000, 0.6998089000, 2.0296183000, 6.3922978000", \
+                        "0.1193530000, 0.1310776000, 0.1692660000, 0.2936541000, 0.7002625000, 2.0315987000, 6.3847865000", \
+                        "0.1190985000, 0.1306404000, 0.1691862000, 0.2939031000, 0.6995547000, 2.0319406000, 6.3930061000", \
+                        "0.1200040000, 0.1313130000, 0.1682141000, 0.2931721000, 0.7008404000, 2.0282866000, 6.3884711000", \
+                        "0.1837524000, 0.1945439000, 0.2287997000, 0.3264212000, 0.7000107000, 2.0303297000, 6.3834826000", \
+                        "0.3353430000, 0.3527457000, 0.4043692000, 0.5356018000, 0.8419483000, 2.0362687000, 6.3951924000", \
+                        "0.6299214000, 0.6624297000, 0.7634228000, 0.9930419000, 1.4693402000, 2.4443483000, 6.3977534000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0554538000, 0.0591730000, 0.0709332000, 0.1090008000, 0.2309455000, 0.6309300000, 1.9449509000", \
+                        "0.0632400000, 0.0671684000, 0.0793462000, 0.1174859000, 0.2401843000, 0.6402352000, 1.9546160000", \
+                        "0.0831466000, 0.0870566000, 0.0997555000, 0.1386202000, 0.2620248000, 0.6624604000, 1.9757003000", \
+                        "0.1264330000, 0.1316882000, 0.1476926000, 0.1910938000, 0.3159360000, 0.7174546000, 2.0312707000", \
+                        "0.2048362000, 0.2141237000, 0.2392712000, 0.3058293000, 0.4613012000, 0.8691010000, 2.1842332000", \
+                        "0.3155540000, 0.3335557000, 0.3853716000, 0.5106162000, 0.7659249000, 1.2834299000, 2.6121061000", \
+                        "0.4027073000, 0.4403810000, 0.5473144000, 0.8014610000, 1.2952629000, 2.1506694000, 3.7926435000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1748896000, 0.1835308000, 0.2125623000, 0.3058987000, 0.6056969000, 1.5803535000, 4.7705155000", \
+                        "0.1789342000, 0.1884687000, 0.2174840000, 0.3109314000, 0.6108656000, 1.5866353000, 4.7745408000", \
+                        "0.1973494000, 0.2062677000, 0.2354534000, 0.3286235000, 0.6300324000, 1.6055097000, 4.7964597000", \
+                        "0.2568591000, 0.2659754000, 0.2954660000, 0.3898160000, 0.6905069000, 1.6666906000, 4.8576467000", \
+                        "0.4253937000, 0.4362665000, 0.4704626000, 0.5664987000, 0.8660504000, 1.8410419000, 5.0330007000", \
+                        "0.7397516000, 0.7582526000, 0.8174984000, 0.9828741000, 1.3691361000, 2.3423765000, 5.5309091000", \
+                        "1.2731035000, 1.3070689000, 1.4098069000, 1.6974204000, 2.3826980000, 3.7588626000, 6.9483463000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.0542880000, 0.0591862000, 0.0753035000, 0.1267734000, 0.2923400000, 0.8356003000, 2.6196354000", \
+                        "0.0542686000, 0.0592499000, 0.0752955000, 0.1268279000, 0.2924174000, 0.8346881000, 2.6189482000", \
+                        "0.0545787000, 0.0594445000, 0.0753258000, 0.1267710000, 0.2922248000, 0.8358791000, 2.6202753000", \
+                        "0.0703294000, 0.0743501000, 0.0870568000, 0.1327295000, 0.2921909000, 0.8353991000, 2.6192870000", \
+                        "0.1200949000, 0.1246609000, 0.1389645000, 0.1833467000, 0.3219425000, 0.8382521000, 2.6209128000", \
+                        "0.2500474000, 0.2558854000, 0.2739509000, 0.3277312000, 0.4777344000, 0.9289905000, 2.6235754000", \
+                        "0.5661552000, 0.5728398000, 0.5989917000, 0.6752149000, 0.8785436000, 1.3789698000, 2.8550120000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
+                    values("0.1487845000, 0.1606984000, 0.1982331000, 0.3213350000, 0.7204566000, 2.0287108000, 6.3012484000", \
+                        "0.1487358000, 0.1609494000, 0.1986148000, 0.3216526000, 0.7217422000, 2.0283997000, 6.3009868000", \
+                        "0.1485631000, 0.1605346000, 0.1986163000, 0.3214652000, 0.7211602000, 2.0278563000, 6.3071339000", \
+                        "0.1473509000, 0.1591060000, 0.1975329000, 0.3211497000, 0.7218266000, 2.0250042000, 6.3073875000", \
+                        "0.2012020000, 0.2117993000, 0.2452027000, 0.3438723000, 0.7200434000, 2.0255215000, 6.3095631000", \
+                        "0.3546741000, 0.3721042000, 0.4239772000, 0.5494779000, 0.8562721000, 2.0349264000, 6.3008980000", \
+                        "0.6615724000, 0.6918042000, 0.7779025000, 1.0000472000, 1.4819190000, 2.4657887000, 6.3193619000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2o_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2o_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2o_4") {
+        leakage_power () {
+            value : 12.075542300;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.075734200;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.3469240000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 12.075448200;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 11.930073800;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 10.702756000;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 10.139659800;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 12.491396400;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 11.851269300;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 10.623951500;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 10.060860600;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 12.412591800;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.7828066000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.5554852000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 7.9924325000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 10.344128200;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2o";
+        cell_leakage_power : 10.716320000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0049150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0047150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0228518000, 0.0225804000, 0.0218847000, 0.0218747000, 0.0219160000, 0.0221015000, 0.0226935000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0063455000, 0.0061181000, 0.0055570000, 0.0056109000, 0.0058426000, 0.0065747000, 0.0087170000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0051150000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0044580000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0189430000, 0.0186532000, 0.0179064000, 0.0179603000, 0.0181821000, 0.0188763000, 0.0209014000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0036319000, 0.0034509000, 0.0030205000, 0.0030579000, 0.0032421000, 0.0038403000, 0.0056047000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046970000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048020000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0068237000, 0.0067845000, 0.0067564000, 0.0067103000, 0.0066632000, 0.0066128000, 0.0065537000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006831400, -0.006879000, -0.006933100, -0.006977600, -0.007022600, -0.007069300, -0.007120900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0049950000;
+        }
+        pin ("B2") {
+            capacitance : 0.0044020000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0062656000, 0.0062150000, 0.0061525000, 0.0061098000, 0.0060696000, 0.0060366000, 0.0060235000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006259000, -0.006304100, -0.006350900, -0.006395100, -0.006439400, -0.006484200, -0.006530500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045390000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(B1&B2) | (!A1_N&!A2_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0245868000, 0.0230432000, 0.0180660000, -0.000306900, -0.074897000, -0.352784000, -1.356567200", \
+                        "0.0244667000, 0.0230157000, 0.0180729000, -0.000295100, -0.074854700, -0.352778300, -1.356498700", \
+                        "0.0246392000, 0.0231837000, 0.0182410000, -5.58000e-05, -0.074637000, -0.352537100, -1.356210400", \
+                        "0.0240432000, 0.0225138000, 0.0175698000, -0.000813500, -0.075422600, -0.353318600, -1.357025000", \
+                        "0.0232562000, 0.0218175000, 0.0167949000, -0.001599500, -0.076171100, -0.354046300, -1.357643700", \
+                        "0.0271373000, 0.0256033000, 0.0200181000, -0.000436500, -0.076853000, -0.354906700, -1.358565800", \
+                        "0.0279777000, 0.0261962000, 0.0206304000, 0.0003827000, -0.076340100, -0.354610900, -1.358633000");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0252008000, 0.0271618000, 0.0337705000, 0.0562740000, 0.1335991000, 0.4108273000, 1.4062199000", \
+                        "0.0252388000, 0.0271652000, 0.0338177000, 0.0562459000, 0.1336233000, 0.4078759000, 1.4025747000", \
+                        "0.0255210000, 0.0274841000, 0.0341069000, 0.0565986000, 0.1339321000, 0.4113781000, 1.4043689000", \
+                        "0.0251611000, 0.0270994000, 0.0337475000, 0.0561884000, 0.1335463000, 0.4121049000, 1.4114153000", \
+                        "0.0245104000, 0.0264247000, 0.0330701000, 0.0554688000, 0.1328786000, 0.4071409000, 1.4023812000", \
+                        "0.0240566000, 0.0259067000, 0.0322959000, 0.0543493000, 0.1324349000, 0.4077975000, 1.4001635000", \
+                        "0.0242134000, 0.0259505000, 0.0322453000, 0.0542750000, 0.1325388000, 0.4107357000, 1.4027789000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0247793000, 0.0233052000, 0.0184340000, 3.890000e-05, -0.074596800, -0.352668500, -1.356535900", \
+                        "0.0249220000, 0.0234280000, 0.0184833000, 0.0001615000, -0.074477100, -0.352506900, -1.356351200", \
+                        "0.0249161000, 0.0234677000, 0.0184673000, 0.0001917000, -0.074448600, -0.352447000, -1.356277900", \
+                        "0.0240342000, 0.0225288000, 0.0175747000, -0.000726200, -0.075399300, -0.353355400, -1.357233500", \
+                        "0.0231879000, 0.0217140000, 0.0167140000, -0.001575500, -0.076248500, -0.354228800, -1.358091700", \
+                        "0.0274122000, 0.0259178000, 0.0202761000, -0.000191400, -0.076485900, -0.354777500, -1.358573800", \
+                        "0.0281786000, 0.0270280000, 0.0208927000, 0.0008524000, -0.075851500, -0.354125400, -1.358235300");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0247974000, 0.0267302000, 0.0333700000, 0.0558660000, 0.1332556000, 0.4099819000, 1.4070125000", \
+                        "0.0247182000, 0.0266521000, 0.0332875000, 0.0557497000, 0.1330874000, 0.4098126000, 1.4067571000", \
+                        "0.0250347000, 0.0269582000, 0.0336108000, 0.0560240000, 0.1334148000, 0.4077475000, 1.4023140000", \
+                        "0.0244397000, 0.0263702000, 0.0330379000, 0.0555010000, 0.1329269000, 0.4100479000, 1.4026042000", \
+                        "0.0237261000, 0.0256518000, 0.0322946000, 0.0547322000, 0.1320375000, 0.4084009000, 1.4100186000", \
+                        "0.0235695000, 0.0253598000, 0.0316667000, 0.0537153000, 0.1317405000, 0.4070405000, 1.4012118000", \
+                        "0.0240330000, 0.0257302000, 0.0323135000, 0.0541888000, 0.1322370000, 0.4093923000, 1.4023840000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0281363000, 0.0266771000, 0.0217308000, 0.0033863000, -0.071335900, -0.349429500, -1.353139400", \
+                        "0.0280359000, 0.0265739000, 0.0216375000, 0.0032828000, -0.071430300, -0.349510600, -1.353308200", \
+                        "0.0278963000, 0.0264233000, 0.0215038000, 0.0031023000, -0.071549700, -0.349679100, -1.353598100", \
+                        "0.0276629000, 0.0261473000, 0.0211753000, 0.0028290000, -0.071832900, -0.349935800, -1.353838000", \
+                        "0.0275656000, 0.0260486000, 0.0209537000, 0.0024221000, -0.072311200, -0.350206800, -1.354082200", \
+                        "0.0306446000, 0.0290417000, 0.0232552000, 0.0022329000, -0.072922300, -0.350533800, -1.354167100", \
+                        "0.0329716000, 0.0312444000, 0.0251882000, 0.0038261000, -0.073037200, -0.350927100, -1.353936600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0286911000, 0.0305863000, 0.0371299000, 0.0596022000, 0.1374708000, 0.4141993000, 1.4137333000", \
+                        "0.0284560000, 0.0303234000, 0.0369100000, 0.0594842000, 0.1373310000, 0.4139763000, 1.4045995000", \
+                        "0.0282894000, 0.0301339000, 0.0366289000, 0.0592291000, 0.1371874000, 0.4138993000, 1.4087575000", \
+                        "0.0279378000, 0.0298111000, 0.0363769000, 0.0588983000, 0.1367377000, 0.4135447000, 1.4091326000", \
+                        "0.0276560000, 0.0294838000, 0.0359242000, 0.0579948000, 0.1359205000, 0.4127291000, 1.4088022000", \
+                        "0.0283054000, 0.0300231000, 0.0362675000, 0.0580218000, 0.1355059000, 0.4118262000, 1.4084648000", \
+                        "0.0297167000, 0.0313571000, 0.0373581000, 0.0590734000, 0.1368627000, 0.4134897000, 1.4102485000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0242300000, 0.0227334000, 0.0178793000, -0.000430500, -0.075195300, -0.353475700, -1.357605200", \
+                        "0.0241968000, 0.0227541000, 0.0178468000, -0.000449700, -0.075227200, -0.353512200, -1.357633700", \
+                        "0.0239388000, 0.0225157000, 0.0176181000, -0.000710500, -0.075471100, -0.353754900, -1.357869100", \
+                        "0.0235535000, 0.0220974000, 0.0171961000, -0.001146400, -0.075844000, -0.354103800, -1.358323300", \
+                        "0.0232360000, 0.0216943000, 0.0166405000, -0.001759500, -0.076470200, -0.354577800, -1.358603000", \
+                        "0.0267548000, 0.0250847000, 0.0192553000, -0.001858900, -0.077110300, -0.354875700, -1.358727400", \
+                        "0.0278420000, 0.0261836000, 0.0202719000, -0.001169300, -0.077710800, -0.355487600, -1.358590400");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
+                    values("0.0281696000, 0.0300327000, 0.0365419000, 0.0591149000, 0.1369178000, 0.4136579000, 1.4120607000", \
+                        "0.0280742000, 0.0299628000, 0.0365159000, 0.0589903000, 0.1368906000, 0.4133720000, 1.4131565000", \
+                        "0.0278324000, 0.0297017000, 0.0362301000, 0.0587959000, 0.1367809000, 0.4134249000, 1.4083795000", \
+                        "0.0275120000, 0.0293570000, 0.0358995000, 0.0583791000, 0.1361866000, 0.4131263000, 1.4117969000", \
+                        "0.0271956000, 0.0289843000, 0.0354258000, 0.0574180000, 0.1352981000, 0.4122094000, 1.4081306000", \
+                        "0.0282415000, 0.0299278000, 0.0360092000, 0.0579386000, 0.1348196000, 0.4115054000, 1.4080194000", \
+                        "0.0307553000, 0.0324448000, 0.0383160000, 0.0600532000, 0.1368004000, 0.4128580000, 1.4113229000");
+                }
+            }
+            max_capacitance : 0.3204440000;
+            max_transition : 1.5017160000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3437333000, 0.3516414000, 0.3739610000, 0.4267350000, 0.5496186000, 0.8955787000, 2.0956159000", \
+                        "0.3523764000, 0.3603235000, 0.3821777000, 0.4351893000, 0.5581841000, 0.9039565000, 2.1025626000", \
+                        "0.3754660000, 0.3834330000, 0.4054122000, 0.4584808000, 0.5813784000, 0.9270562000, 2.1285815000", \
+                        "0.4332848000, 0.4412022000, 0.4633584000, 0.5161951000, 0.6392160000, 0.9853638000, 2.1827546000", \
+                        "0.5402611000, 0.5481503000, 0.5703730000, 0.6231857000, 0.7460920000, 1.0919268000, 2.2938418000", \
+                        "0.7167033000, 0.7244751000, 0.7466345000, 0.7995991000, 0.9225051000, 1.2680093000, 2.4669766000", \
+                        "0.9642748000, 0.9722105000, 0.9942340000, 1.0471914000, 1.1704983000, 1.5166177000, 2.7194187000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3381282000, 0.3455262000, 0.3673477000, 0.4293061000, 0.6304339000, 1.3472363000, 3.9335424000", \
+                        "0.3444701000, 0.3518605000, 0.3736586000, 0.4355673000, 0.6372385000, 1.3506134000, 3.9156784000", \
+                        "0.3663043000, 0.3737567000, 0.3956033000, 0.4575664000, 0.6592004000, 1.3781363000, 3.9514743000", \
+                        "0.4266572000, 0.4340689000, 0.4559213000, 0.5178710000, 0.7195872000, 1.4379040000, 4.0132635000", \
+                        "0.5791828000, 0.5866745000, 0.6085792000, 0.6705123000, 0.8723275000, 1.5854600000, 4.1540611000", \
+                        "0.8832852000, 0.8911969000, 0.9138831000, 0.9768184000, 1.1785618000, 1.8932698000, 4.4682849000", \
+                        "1.4161294000, 1.4253310000, 1.4508262000, 1.5163096000, 1.7190273000, 2.4343672000, 4.9986268000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0452091000, 0.0498691000, 0.0649607000, 0.1051866000, 0.2250911000, 0.6605484000, 2.2923059000", \
+                        "0.0451099000, 0.0498055000, 0.0643931000, 0.1046672000, 0.2248435000, 0.6605151000, 2.2990370000", \
+                        "0.0454339000, 0.0501256000, 0.0642606000, 0.1050494000, 0.2250980000, 0.6603841000, 2.2945750000", \
+                        "0.0451959000, 0.0499642000, 0.0640440000, 0.1051304000, 0.2248856000, 0.6594297000, 2.2946847000", \
+                        "0.0452987000, 0.0505555000, 0.0644584000, 0.1046666000, 0.2246211000, 0.6605072000, 2.2949436000", \
+                        "0.0452144000, 0.0497675000, 0.0642733000, 0.1050272000, 0.2250869000, 0.6572033000, 2.3037108000", \
+                        "0.0462575000, 0.0511385000, 0.0650097000, 0.1050581000, 0.2256610000, 0.6609407000, 2.2957727000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0355610000, 0.0413867000, 0.0609292000, 0.1328326000, 0.4071970000, 1.4084303000, 5.0106803000", \
+                        "0.0355021000, 0.0414455000, 0.0609622000, 0.1329157000, 0.4061463000, 1.4032761000, 4.9973679000", \
+                        "0.0358083000, 0.0413820000, 0.0609143000, 0.1329673000, 0.4069738000, 1.4090917000, 4.9880438000", \
+                        "0.0356088000, 0.0413806000, 0.0610012000, 0.1330711000, 0.4072545000, 1.4121708000, 5.0149875000", \
+                        "0.0361836000, 0.0418991000, 0.0615178000, 0.1331062000, 0.4061187000, 1.4032686000, 4.9988960000", \
+                        "0.0398774000, 0.0452104000, 0.0641734000, 0.1348771000, 0.4071252000, 1.4020672000, 4.9936966000", \
+                        "0.0496446000, 0.0551382000, 0.0723017000, 0.1393473000, 0.4095108000, 1.4052361000, 4.9931930000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3422658000, 0.3501915000, 0.3720211000, 0.4250350000, 0.5480936000, 0.8939404000, 2.0931723000", \
+                        "0.3505568000, 0.3583599000, 0.3803585000, 0.4334051000, 0.5564385000, 0.9021301000, 2.1012161000", \
+                        "0.3724132000, 0.3803590000, 0.4025420000, 0.4554541000, 0.5783958000, 0.9242034000, 2.1267739000", \
+                        "0.4242947000, 0.4321181000, 0.4541908000, 0.5071924000, 0.6302252000, 0.9763678000, 2.1785513000", \
+                        "0.5154221000, 0.5233735000, 0.5451120000, 0.5981461000, 0.7211918000, 1.0670114000, 2.2662592000", \
+                        "0.6630709000, 0.6709725000, 0.6930747000, 0.7460573000, 0.8691369000, 1.2151334000, 2.4143456000", \
+                        "0.8480305000, 0.8559831000, 0.8778722000, 0.9309113000, 1.0543507000, 1.4010140000, 2.6002716000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3102514000, 0.3176573000, 0.3394996000, 0.4014641000, 0.6026840000, 1.3191372000, 3.9059764000", \
+                        "0.3138749000, 0.3212781000, 0.3431302000, 0.4050920000, 0.6066718000, 1.3217339000, 3.8974561000", \
+                        "0.3318250000, 0.3392283000, 0.3610397000, 0.4228717000, 0.6247207000, 1.3386602000, 3.9046326000", \
+                        "0.3930261000, 0.4004597000, 0.4222506000, 0.4842339000, 0.6857112000, 1.4012929000, 3.9645012000", \
+                        "0.5616993000, 0.5691768000, 0.5911938000, 0.6532741000, 0.8548135000, 1.5734908000, 4.1497104000", \
+                        "0.8818636000, 0.8896707000, 0.9121721000, 0.9749123000, 1.1765435000, 1.8911270000, 4.4551660000", \
+                        "1.4480536000, 1.4574276000, 1.4831212000, 1.5487771000, 1.7517950000, 2.4670210000, 5.0290900000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0450940000, 0.0500164000, 0.0643134000, 0.1045648000, 0.2249066000, 0.6610163000, 2.3011466000", \
+                        "0.0450308000, 0.0495990000, 0.0651499000, 0.1047177000, 0.2248800000, 0.6610252000, 2.3030717000", \
+                        "0.0455866000, 0.0505555000, 0.0651252000, 0.1048841000, 0.2250284000, 0.6602738000, 2.2963125000", \
+                        "0.0451923000, 0.0504198000, 0.0642715000, 0.1049981000, 0.2244502000, 0.6610686000, 2.2954687000", \
+                        "0.0451960000, 0.0499573000, 0.0641822000, 0.1049652000, 0.2253684000, 0.6608528000, 2.2940683000", \
+                        "0.0452632000, 0.0503601000, 0.0641923000, 0.1050709000, 0.2252399000, 0.6590774000, 2.2915916000", \
+                        "0.0462965000, 0.0512714000, 0.0652442000, 0.1062517000, 0.2257790000, 0.6615306000, 2.3006814000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0357554000, 0.0413515000, 0.0609289000, 0.1327494000, 0.4069960000, 1.4062093000, 5.0013047000", \
+                        "0.0357448000, 0.0413327000, 0.0609076000, 0.1330145000, 0.4065726000, 1.4100286000, 4.9918021000", \
+                        "0.0355105000, 0.0414036000, 0.0610296000, 0.1328310000, 0.4067093000, 1.4034970000, 4.9924984000", \
+                        "0.0356288000, 0.0413821000, 0.0609152000, 0.1330310000, 0.4067713000, 1.4145363000, 4.9978284000", \
+                        "0.0363587000, 0.0422336000, 0.0616326000, 0.1331799000, 0.4066589000, 1.4117941000, 5.0120632000", \
+                        "0.0395731000, 0.0448533000, 0.0640003000, 0.1347599000, 0.4073064000, 1.4025395000, 4.9956879000", \
+                        "0.0505257000, 0.0559806000, 0.0728681000, 0.1396255000, 0.4079560000, 1.4081639000, 4.9923075000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3374925000, 0.3453862000, 0.3675296000, 0.4204366000, 0.5431402000, 0.8884800000, 2.0906969000", \
+                        "0.3450468000, 0.3529865000, 0.3751426000, 0.4280255000, 0.5507741000, 0.8961137000, 2.0979931000", \
+                        "0.3685615000, 0.3763930000, 0.3985779000, 0.4514680000, 0.5744066000, 0.9203950000, 2.1162174000", \
+                        "0.4312634000, 0.4390338000, 0.4610612000, 0.5140905000, 0.6369767000, 0.9828885000, 2.1812112000", \
+                        "0.5921473000, 0.6000984000, 0.6222520000, 0.6753613000, 0.7980954000, 1.1439056000, 2.3454219000", \
+                        "0.9461100000, 0.9557954000, 0.9825910000, 1.0437651000, 1.1786336000, 1.5322924000, 2.7298903000", \
+                        "1.5828773000, 1.5969414000, 1.6344377000, 1.7174057000, 1.8836681000, 2.2608568000, 3.4661451000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.1820926000, 0.1901036000, 0.2138094000, 0.2796101000, 0.4841186000, 1.2006217000, 3.7675560000", \
+                        "0.1897607000, 0.1977933000, 0.2215704000, 0.2873684000, 0.4925428000, 1.2092745000, 3.7832519000", \
+                        "0.2104569000, 0.2184844000, 0.2421119000, 0.3080246000, 0.5132049000, 1.2302532000, 3.8048724000", \
+                        "0.2658401000, 0.2738475000, 0.2975706000, 0.3632618000, 0.5678187000, 1.2853860000, 3.8544394000", \
+                        "0.4019240000, 0.4104442000, 0.4353885000, 0.5029146000, 0.7085885000, 1.4277861000, 3.9995145000", \
+                        "0.6599176000, 0.6707844000, 0.7007197000, 0.7737128000, 0.9820921000, 1.6994476000, 4.2721933000", \
+                        "1.0692672000, 1.0855509000, 1.1293564000, 1.2259979000, 1.4474812000, 2.1683573000, 4.7343116000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0454417000, 0.0503426000, 0.0648952000, 0.1050440000, 0.2247967000, 0.6600464000, 2.2949973000", \
+                        "0.0454961000, 0.0501756000, 0.0647476000, 0.1051142000, 0.2248869000, 0.6599293000, 2.2952074000", \
+                        "0.0452119000, 0.0506507000, 0.0641843000, 0.1050606000, 0.2250632000, 0.6603676000, 2.2982318000", \
+                        "0.0450340000, 0.0496165000, 0.0649723000, 0.1049590000, 0.2241859000, 0.6593157000, 2.2962148000", \
+                        "0.0462261000, 0.0505261000, 0.0645752000, 0.1054519000, 0.2247578000, 0.6605198000, 2.2939015000", \
+                        "0.0608337000, 0.0659428000, 0.0812600000, 0.1229227000, 0.2418859000, 0.6660242000, 2.2975897000", \
+                        "0.1030976000, 0.1101508000, 0.1289460000, 0.1738849000, 0.2902362000, 0.6940030000, 2.2957949000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0387098000, 0.0451879000, 0.0664530000, 0.1397344000, 0.4126518000, 1.4134155000, 5.0015267000", \
+                        "0.0388482000, 0.0458388000, 0.0668090000, 0.1397630000, 0.4134229000, 1.4114780000, 4.9902149000", \
+                        "0.0383583000, 0.0448260000, 0.0668581000, 0.1398106000, 0.4127993000, 1.4133782000, 5.0063069000", \
+                        "0.0386702000, 0.0451571000, 0.0664206000, 0.1395814000, 0.4128290000, 1.4155468000, 5.0038964000", \
+                        "0.0435850000, 0.0506436000, 0.0715918000, 0.1426052000, 0.4132417000, 1.4151048000, 5.0002735000", \
+                        "0.0586045000, 0.0653762000, 0.0873106000, 0.1560771000, 0.4175409000, 1.4129966000, 5.0030287000", \
+                        "0.0977921000, 0.1063338000, 0.1310234000, 0.1951585000, 0.4321667000, 1.4222216000, 4.9946167000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.3149336000, 0.3229814000, 0.3456248000, 0.3995207000, 0.5229304000, 0.8695581000, 2.0637410000", \
+                        "0.3228769000, 0.3309845000, 0.3535768000, 0.4074945000, 0.5310132000, 0.8776807000, 2.0718129000", \
+                        "0.3456000000, 0.3536892000, 0.3762868000, 0.4301848000, 0.5541540000, 0.9004087000, 2.0945017000", \
+                        "0.4075316000, 0.4156332000, 0.4382195000, 0.4919726000, 0.6157397000, 0.9620987000, 2.1669997000", \
+                        "0.5700665000, 0.5781256000, 0.6007032000, 0.6542122000, 0.7787118000, 1.1256928000, 2.3203766000", \
+                        "0.9224201000, 0.9324488000, 0.9601775000, 1.0235353000, 1.1613047000, 1.5168491000, 2.7115046000", \
+                        "1.5659214000, 1.5807776000, 1.6206632000, 1.7078324000, 1.8806110000, 2.2577227000, 3.4614156000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.1720572000, 0.1801008000, 0.2037733000, 0.2696199000, 0.4747722000, 1.1913472000, 3.7630339000", \
+                        "0.1788682000, 0.1867889000, 0.2105376000, 0.2763351000, 0.4809149000, 1.1975457000, 3.7654933000", \
+                        "0.1995477000, 0.2076573000, 0.2312671000, 0.2970679000, 0.5023398000, 1.2195127000, 3.7942556000", \
+                        "0.2602134000, 0.2681360000, 0.2918316000, 0.3573663000, 0.5618430000, 1.2793477000, 3.8475032000", \
+                        "0.4053740000, 0.4139742000, 0.4387076000, 0.5059152000, 0.7112033000, 1.4310310000, 4.0040398000", \
+                        "0.6509949000, 0.6622881000, 0.6930692000, 0.7665934000, 0.9735009000, 1.6916680000, 4.2674597000", \
+                        "0.9972083000, 1.0146415000, 1.0615836000, 1.1609915000, 1.3774563000, 2.0927458000, 4.6611879000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0458947000, 0.0509415000, 0.0646080000, 0.1058907000, 0.2271688000, 0.6605488000, 2.2930302000", \
+                        "0.0460816000, 0.0502737000, 0.0647523000, 0.1061140000, 0.2271316000, 0.6600069000, 2.2931814000", \
+                        "0.0460155000, 0.0502811000, 0.0647254000, 0.1061391000, 0.2267895000, 0.6597846000, 2.2932320000", \
+                        "0.0459024000, 0.0503442000, 0.0646701000, 0.1057770000, 0.2271098000, 0.6607975000, 2.3010454000", \
+                        "0.0473298000, 0.0513960000, 0.0655993000, 0.1069833000, 0.2269813000, 0.6612605000, 2.2946822000", \
+                        "0.0641071000, 0.0695735000, 0.0849488000, 0.1278291000, 0.2461529000, 0.6682204000, 2.2902935000", \
+                        "0.1088806000, 0.1164238000, 0.1350024000, 0.1821835000, 0.2998613000, 0.7012762000, 2.2949027000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
+                    values("0.0389606000, 0.0458645000, 0.0668368000, 0.1397212000, 0.4134095000, 1.4097703000, 5.0000577000", \
+                        "0.0387362000, 0.0452405000, 0.0663617000, 0.1397253000, 0.4126702000, 1.4128745000, 5.0011755000", \
+                        "0.0386141000, 0.0453127000, 0.0665785000, 0.1398070000, 0.4129967000, 1.4135529000, 5.0063345000", \
+                        "0.0386746000, 0.0452112000, 0.0663401000, 0.1396455000, 0.4128569000, 1.4144944000, 5.0035647000", \
+                        "0.0443166000, 0.0504052000, 0.0717443000, 0.1429113000, 0.4122963000, 1.4140800000, 4.9950648000", \
+                        "0.0659199000, 0.0719869000, 0.0908005000, 0.1565254000, 0.4179158000, 1.4133088000, 4.9971316000", \
+                        "0.1122804000, 0.1211268000, 0.1440700000, 0.2029918000, 0.4333827000, 1.4212604000, 4.9969078000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2oi_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2oi_4") {
+        leakage_power () {
+            value : 13.714009500;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 13.714228900;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.6027026000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 13.713894000;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 13.225483800;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 10.559684700;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 11.515231400;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 14.581282000;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 11.271081800;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.6052800000;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.5608605000;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 12.626885300;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 7.7977758000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 5.1319677000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 6.0876331000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 9.1535890000;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
+        cell_leakage_power : 10.616350000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0088390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0452224000, 0.0446790000, 0.0432042000, 0.0432288000, 0.0433542000, 0.0437635000, 0.0449724000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0091830000, 0.0087977000, 0.0077990000, 0.0079242000, 0.0083635000, 0.0096882000, 0.0135070000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092070000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0087970000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082730000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0376395000, 0.0370738000, 0.0355388000, 0.0356944000, 0.0361918000, 0.0376520000, 0.0418246000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0029671000, 0.0026713000, 0.0019131000, 0.0020292000, 0.0024313000, 0.0036396000, 0.0071189000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0093220000;
+        }
+        pin ("B1") {
+            capacitance : 0.0092250000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0088370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0134177000, 0.0133637000, 0.0132987000, 0.0132497000, 0.0131988000, 0.0131428000, 0.0130719000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013417000, -0.013455200, -0.013486500, -0.013528700, -0.013570900, -0.013613400, -0.013655900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096120000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085700000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082980000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0124272000, 0.0123772000, 0.0123176000, 0.0122759000, 0.0122396000, 0.0122184000, 0.0122396000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012415200, -0.012461500, -0.012515200, -0.012554000, -0.012586400, -0.012601200, -0.012565800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088410000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0042419000, 0.0033277000, 0.0001813000, -0.011192900, -0.052060900, -0.186258800, -0.610592100", \
+                        "0.0042778000, 0.0033572000, 0.0001888000, -0.011180300, -0.052057200, -0.186210000, -0.610537900", \
+                        "0.0050766000, 0.0040913000, 0.0009918000, -0.010428900, -0.051305400, -0.185495700, -0.609778100", \
+                        "0.0043589000, 0.0034343000, 0.0002558000, -0.011219200, -0.052025900, -0.186136600, -0.610381400", \
+                        "0.0036092000, 0.0024996000, -0.000800100, -0.012239400, -0.052818200, -0.186765900, -0.610915600", \
+                        "0.0047395000, 0.0032879000, -0.000568300, -0.013559200, -0.055145100, -0.187708200, -0.611661200", \
+                        "0.0051275000, 0.0038110000, -0.000412600, -0.013646200, -0.055501000, -0.189012200, -0.611549600");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0270173000, 0.0284765000, 0.0329134000, 0.0467128000, 0.0895202000, 0.2229440000, 0.6430333000", \
+                        "0.0270452000, 0.0284752000, 0.0329443000, 0.0467649000, 0.0896010000, 0.2229620000, 0.6431127000", \
+                        "0.0273499000, 0.0288255000, 0.0332872000, 0.0471866000, 0.0900248000, 0.2234255000, 0.6434161000", \
+                        "0.0261702000, 0.0275845000, 0.0320758000, 0.0459894000, 0.0889787000, 0.2224476000, 0.6425520000", \
+                        "0.0248051000, 0.0262207000, 0.0307375000, 0.0446740000, 0.0877285000, 0.2213923000, 0.6416447000", \
+                        "0.0244984000, 0.0258799000, 0.0301151000, 0.0437782000, 0.0863784000, 0.2200887000, 0.6403755000", \
+                        "0.0258030000, 0.0271494000, 0.0314504000, 0.0450211000, 0.0877139000, 0.2207043000, 0.6406674000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0042097000, 0.0033281000, 0.0001679000, -0.011258500, -0.052224100, -0.186336200, -0.610590400", \
+                        "0.0040573000, 0.0031263000, 1.080000e-05, -0.011406000, -0.052328800, -0.186485600, -0.610792000", \
+                        "0.0047144000, 0.0037679000, 0.0005689000, -0.010856200, -0.051610500, -0.185744800, -0.610011400", \
+                        "0.0038220000, 0.0028959000, -0.000384700, -0.011862500, -0.052622100, -0.186638300, -0.610840500", \
+                        "0.0026967000, 0.0016233000, -0.001745600, -0.013337900, -0.053885200, -0.187610500, -0.611612500", \
+                        "0.0039442000, 0.0026625000, -0.001313300, -0.014120300, -0.056009300, -0.188119600, -0.611892300", \
+                        "0.0052288000, 0.0039103000, -0.000363400, -0.013709500, -0.055714800, -0.189193500, -0.611409800");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0279635000, 0.0294049000, 0.0339295000, 0.0477959000, 0.0904764000, 0.2236679000, 0.6431938000", \
+                        "0.0280674000, 0.0294777000, 0.0340477000, 0.0479981000, 0.0906487000, 0.2237350000, 0.6437693000", \
+                        "0.0279387000, 0.0294046000, 0.0339480000, 0.0479076000, 0.0907464000, 0.2240080000, 0.6434700000", \
+                        "0.0260118000, 0.0274590000, 0.0319637000, 0.0459788000, 0.0892100000, 0.2228479000, 0.6422479000", \
+                        "0.0242277000, 0.0258060000, 0.0302942000, 0.0441511000, 0.0873377000, 0.2211415000, 0.6410755000", \
+                        "0.0243342000, 0.0257560000, 0.0301025000, 0.0438110000, 0.0863458000, 0.2202061000, 0.6402630000", \
+                        "0.0261191000, 0.0275596000, 0.0318710000, 0.0456539000, 0.0882934000, 0.2214597000, 0.6413536000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0158408000, 0.0144765000, 0.0101983000, -0.003209200, -0.045686400, -0.180129400, -0.604075500", \
+                        "0.0154802000, 0.0141286000, 0.0098717000, -0.003534400, -0.045982700, -0.180267700, -0.604316700", \
+                        "0.0148215000, 0.0135017000, 0.0092917000, -0.003968600, -0.046356000, -0.180707600, -0.604631800", \
+                        "0.0140056000, 0.0126897000, 0.0085362000, -0.004636100, -0.046764200, -0.180898900, -0.604796000", \
+                        "0.0136527000, 0.0122647000, 0.0079513000, -0.005218000, -0.047274300, -0.181120900, -0.604845100", \
+                        "0.0141283000, 0.0127622000, 0.0084260000, -0.005014300, -0.047714100, -0.181780900, -0.605243800", \
+                        "0.0165838000, 0.0151371000, 0.0106709000, -0.002816800, -0.046128600, -0.181353200, -0.605424400");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0313386000, 0.0326887000, 0.0370366000, 0.0505978000, 0.0930911000, 0.2263552000, 0.6460746000", \
+                        "0.0309175000, 0.0323264000, 0.0367262000, 0.0503465000, 0.0928925000, 0.2261084000, 0.6461639000", \
+                        "0.0303511000, 0.0317681000, 0.0362087000, 0.0499824000, 0.0926906000, 0.2259804000, 0.6460368000", \
+                        "0.0298693000, 0.0312606000, 0.0356519000, 0.0494397000, 0.0923018000, 0.2259190000, 0.6459408000", \
+                        "0.0295281000, 0.0309057000, 0.0352427000, 0.0489966000, 0.0918575000, 0.2255380000, 0.6454803000", \
+                        "0.0285594000, 0.0298853000, 0.0341742000, 0.0487295000, 0.0916898000, 0.2256259000, 0.6457662000", \
+                        "0.0299183000, 0.0313167000, 0.0355701000, 0.0488737000, 0.0909464000, 0.2252545000, 0.6462107000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0150452000, 0.0136709000, 0.0094356000, -0.003957700, -0.046450200, -0.180856400, -0.604811200", \
+                        "0.0147177000, 0.0133903000, 0.0091332000, -0.004307200, -0.046783200, -0.181186000, -0.605104200", \
+                        "0.0140548000, 0.0127375000, 0.0085348000, -0.004722100, -0.047038000, -0.181332400, -0.605422400", \
+                        "0.0130434000, 0.0117356000, 0.0076309000, -0.005493600, -0.047527000, -0.181730600, -0.605445500", \
+                        "0.0128806000, 0.0115293000, 0.0073093000, -0.006011800, -0.047820600, -0.181902400, -0.605587300", \
+                        "0.0140102000, 0.0126141000, 0.0082278000, -0.005299900, -0.048137500, -0.182370600, -0.605906500", \
+                        "0.0187442000, 0.0170692000, 0.0120752000, -0.002237100, -0.045554700, -0.180982100, -0.605757100");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
+                    values("0.0228355000, 0.0243359000, 0.0287702000, 0.0424017000, 0.0849519000, 0.2184694000, 0.6382604000", \
+                        "0.0222474000, 0.0237784000, 0.0283532000, 0.0422669000, 0.0855244000, 0.2186442000, 0.6378898000", \
+                        "0.0215000000, 0.0229714000, 0.0275470000, 0.0415935000, 0.0845789000, 0.2186618000, 0.6380940000", \
+                        "0.0210461000, 0.0224266000, 0.0268946000, 0.0407394000, 0.0840373000, 0.2177359000, 0.6379566000", \
+                        "0.0207133000, 0.0221130000, 0.0264601000, 0.0401275000, 0.0828832000, 0.2167568000, 0.6375683000", \
+                        "0.0196615000, 0.0210310000, 0.0253937000, 0.0399261000, 0.0832684000, 0.2175232000, 0.6367775000", \
+                        "0.0208380000, 0.0220720000, 0.0263705000, 0.0397873000, 0.0816559000, 0.2167033000, 0.6372003000");
+                }
+            }
+            max_capacitance : 0.1410010000;
+            max_transition : 1.4988600000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.2452457000, 0.2501045000, 0.2637092000, 0.2955096000, 0.3677333000, 0.5468135000, 1.0752774000", \
+                        "0.2510949000, 0.2560762000, 0.2697063000, 0.3016324000, 0.3738198000, 0.5532275000, 1.0816258000", \
+                        "0.2727472000, 0.2777167000, 0.2912326000, 0.3234538000, 0.3954801000, 0.5745709000, 1.1031124000", \
+                        "0.3338679000, 0.3385363000, 0.3519557000, 0.3841432000, 0.4568983000, 0.6356158000, 1.1645727000", \
+                        "0.4836332000, 0.4886635000, 0.5028455000, 0.5365189000, 0.6110244000, 0.7907214000, 1.3195587000", \
+                        "0.7787013000, 0.7853908000, 0.8026429000, 0.8435351000, 0.9297029000, 1.1225518000, 1.6565888000", \
+                        "1.2872762000, 1.2971257000, 1.3224809000, 1.3806930000, 1.4960783000, 1.7180963000, 2.2561641000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.1651093000, 0.1736216000, 0.1986024000, 0.2750996000, 0.5103474000, 1.2450883000, 3.5530554000", \
+                        "0.1734345000, 0.1817069000, 0.2069163000, 0.2832947000, 0.5186746000, 1.2538263000, 3.5615404000", \
+                        "0.1960272000, 0.2045833000, 0.2297267000, 0.3065997000, 0.5421987000, 1.2766969000, 3.5843271000", \
+                        "0.2549963000, 0.2630314000, 0.2881698000, 0.3651850000, 0.6015010000, 1.3379651000, 3.6457937000", \
+                        "0.3656833000, 0.3738774000, 0.3993369000, 0.4763679000, 0.7134575000, 1.4497250000, 3.7576799000", \
+                        "0.5436848000, 0.5522394000, 0.5773337000, 0.6545114000, 0.8914754000, 1.6283267000, 3.9359325000", \
+                        "0.7864695000, 0.7958924000, 0.8230604000, 0.8999446000, 1.1348649000, 1.8711388000, 4.1797437000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0569260000, 0.0589343000, 0.0660526000, 0.0900740000, 0.1598812000, 0.3793046000, 1.0996809000", \
+                        "0.0567592000, 0.0588417000, 0.0660814000, 0.0900808000, 0.1600350000, 0.3798565000, 1.1001784000", \
+                        "0.0568462000, 0.0590426000, 0.0661211000, 0.0899142000, 0.1601472000, 0.3792915000, 1.1006728000", \
+                        "0.0570564000, 0.0590500000, 0.0662690000, 0.0898809000, 0.1601014000, 0.3790623000, 1.0984447000", \
+                        "0.0631163000, 0.0648580000, 0.0718157000, 0.0947213000, 0.1627810000, 0.3800127000, 1.0997931000", \
+                        "0.0854237000, 0.0871021000, 0.0938415000, 0.1160055000, 0.1839339000, 0.3945533000, 1.1049847000", \
+                        "0.1418672000, 0.1435876000, 0.1503871000, 0.1736675000, 0.2389231000, 0.4347351000, 1.1161763000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0958257000, 0.1062870000, 0.1388071000, 0.2399371000, 0.5543290000, 1.5415124000, 4.6361088000", \
+                        "0.0958448000, 0.1063121000, 0.1388652000, 0.2397817000, 0.5551474000, 1.5412759000, 4.6336885000", \
+                        "0.0958196000, 0.1062805000, 0.1387754000, 0.2400379000, 0.5543032000, 1.5413447000, 4.6369006000", \
+                        "0.0955977000, 0.1060560000, 0.1386412000, 0.2397519000, 0.5544049000, 1.5416463000, 4.6401141000", \
+                        "0.0963465000, 0.1068608000, 0.1393879000, 0.2405691000, 0.5551129000, 1.5398188000, 4.6380726000", \
+                        "0.1008961000, 0.1108017000, 0.1421553000, 0.2419626000, 0.5564254000, 1.5411307000, 4.6360888000", \
+                        "0.1254283000, 0.1348765000, 0.1627553000, 0.2527684000, 0.5586416000, 1.5440548000, 4.6379047000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.2116352000, 0.2165827000, 0.2300522000, 0.2622981000, 0.3343228000, 0.5128011000, 1.0414052000", \
+                        "0.2146880000, 0.2196479000, 0.2331801000, 0.2657252000, 0.3378110000, 0.5166545000, 1.0449924000", \
+                        "0.2313553000, 0.2363023000, 0.2497315000, 0.2819810000, 0.3548974000, 0.5339706000, 1.0624368000", \
+                        "0.2917095000, 0.2965963000, 0.3099724000, 0.3421578000, 0.4144669000, 0.5937087000, 1.1224751000", \
+                        "0.4540910000, 0.4594453000, 0.4734705000, 0.5070430000, 0.5822072000, 0.7631635000, 1.2921996000", \
+                        "0.7477677000, 0.7549497000, 0.7736787000, 0.8146442000, 0.8970850000, 1.0856849000, 1.6232352000", \
+                        "1.2398108000, 1.2503438000, 1.2774394000, 1.3374303000, 1.4476341000, 1.6546509000, 2.1914384000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.1582465000, 0.1663147000, 0.1924651000, 0.2695125000, 0.5053366000, 1.2397755000, 3.5470431000", \
+                        "0.1662532000, 0.1745392000, 0.2004628000, 0.2782274000, 0.5140888000, 1.2486135000, 3.5554144000", \
+                        "0.1883707000, 0.1964062000, 0.2225434000, 0.3002358000, 0.5373711000, 1.2720739000, 3.5797203000", \
+                        "0.2407411000, 0.2488009000, 0.2745609000, 0.3525661000, 0.5906869000, 1.3274295000, 3.6348882000", \
+                        "0.3343925000, 0.3425755000, 0.3682522000, 0.4460647000, 0.6845713000, 1.4222450000, 3.7319077000", \
+                        "0.4911221000, 0.4994371000, 0.5248352000, 0.6013106000, 0.8376825000, 1.5759143000, 3.8856807000", \
+                        "0.6903096000, 0.7005643000, 0.7301914000, 0.8117517000, 1.0453045000, 1.7812752000, 4.0926280000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0568230000, 0.0587962000, 0.0661130000, 0.0898747000, 0.1599315000, 0.3791079000, 1.1006186000", \
+                        "0.0567826000, 0.0588077000, 0.0661003000, 0.0898510000, 0.1599706000, 0.3790605000, 1.0997829000", \
+                        "0.0567864000, 0.0588488000, 0.0660834000, 0.0897735000, 0.1600564000, 0.3794169000, 1.1005338000", \
+                        "0.0569970000, 0.0590529000, 0.0662549000, 0.0899429000, 0.1600252000, 0.3793023000, 1.1004886000", \
+                        "0.0649307000, 0.0667071000, 0.0734043000, 0.0963629000, 0.1644747000, 0.3807712000, 1.1000043000", \
+                        "0.0991995000, 0.0999077000, 0.1036147000, 0.1204778000, 0.1818638000, 0.3953426000, 1.1085446000", \
+                        "0.1650650000, 0.1656628000, 0.1695390000, 0.1846751000, 0.2353975000, 0.4202780000, 1.1145149000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0954632000, 0.1056750000, 0.1383412000, 0.2394188000, 0.5540637000, 1.5406506000, 4.6371880000", \
+                        "0.0951574000, 0.1057966000, 0.1381570000, 0.2392286000, 0.5536471000, 1.5393435000, 4.6345527000", \
+                        "0.0953452000, 0.1056428000, 0.1382464000, 0.2394167000, 0.5540635000, 1.5399289000, 4.6392903000", \
+                        "0.0952251000, 0.1056325000, 0.1381683000, 0.2391018000, 0.5548140000, 1.5401213000, 4.6338737000", \
+                        "0.0965165000, 0.1068119000, 0.1392144000, 0.2408355000, 0.5542076000, 1.5394240000, 4.6387409000", \
+                        "0.1034068000, 0.1130820000, 0.1434588000, 0.2425384000, 0.5559301000, 1.5416631000, 4.6481921000", \
+                        "0.1383215000, 0.1478878000, 0.1756964000, 0.2624775000, 0.5599131000, 1.5436626000, 4.6360102000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0639990000, 0.0677754000, 0.0788825000, 0.1116853000, 0.2082936000, 0.5043956000, 1.4286441000", \
+                        "0.0715526000, 0.0753301000, 0.0866436000, 0.1194467000, 0.2161346000, 0.5119660000, 1.4370358000", \
+                        "0.0917093000, 0.0954808000, 0.1068022000, 0.1397507000, 0.2366189000, 0.5330241000, 1.4573619000", \
+                        "0.1414803000, 0.1458701000, 0.1586036000, 0.1937264000, 0.2910776000, 0.5876144000, 1.5133746000", \
+                        "0.2385867000, 0.2453982000, 0.2649079000, 0.3165189000, 0.4371353000, 0.7413318000, 1.6668453000", \
+                        "0.3968676000, 0.4095289000, 0.4456119000, 0.5377296000, 0.7381402000, 1.1450400000, 2.1024644000", \
+                        "0.5871787000, 0.6118477000, 0.6844875000, 0.8630011000, 1.2510274000, 1.9558855000, 3.2457277000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.1589427000, 0.1668703000, 0.1915639000, 0.2673363000, 0.5023311000, 1.2372324000, 3.5457215000", \
+                        "0.1655035000, 0.1737004000, 0.1985092000, 0.2746492000, 0.5100425000, 1.2448808000, 3.5520251000", \
+                        "0.1879198000, 0.1959452000, 0.2213412000, 0.2980501000, 0.5342387000, 1.2706005000, 3.5771484000", \
+                        "0.2512451000, 0.2595602000, 0.2844524000, 0.3614184000, 0.5982347000, 1.3348097000, 3.6430052000", \
+                        "0.3949600000, 0.4051759000, 0.4355848000, 0.5229673000, 0.7608132000, 1.4987460000, 3.8071777000", \
+                        "0.6667713000, 0.6840093000, 0.7331813000, 0.8662486000, 1.1843788000, 1.9515181000, 4.2633657000", \
+                        "1.1099522000, 1.1434351000, 1.2420608000, 1.4943693000, 2.0365967000, 3.1157767000, 5.5408336000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0458779000, 0.0499612000, 0.0628636000, 0.1031731000, 0.2304286000, 0.6333571000, 1.8995960000", \
+                        "0.0458183000, 0.0499218000, 0.0627164000, 0.1031076000, 0.2301658000, 0.6321788000, 1.8988833000", \
+                        "0.0450141000, 0.0492309000, 0.0623212000, 0.1028605000, 0.2304103000, 0.6337379000, 1.8982043000", \
+                        "0.0549675000, 0.0589250000, 0.0711181000, 0.1073602000, 0.2305644000, 0.6320685000, 1.8998410000", \
+                        "0.0900243000, 0.0949484000, 0.1099229000, 0.1524166000, 0.2627756000, 0.6367455000, 1.8984943000", \
+                        "0.1820201000, 0.1895351000, 0.2139453000, 0.2736849000, 0.4078543000, 0.7547376000, 1.9118938000", \
+                        "0.3997340000, 0.4155148000, 0.4575814000, 0.5606268000, 0.7804150000, 1.1975174000, 2.2484770000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0957152000, 0.1061478000, 0.1383213000, 0.2393992000, 0.5535463000, 1.5385094000, 4.6338084000", \
+                        "0.0957196000, 0.1060573000, 0.1383743000, 0.2393361000, 0.5533958000, 1.5403818000, 4.6357838000", \
+                        "0.0957378000, 0.1060304000, 0.1384669000, 0.2393455000, 0.5534955000, 1.5401596000, 4.6359503000", \
+                        "0.0962681000, 0.1065064000, 0.1386007000, 0.2393794000, 0.5535014000, 1.5385209000, 4.6365214000", \
+                        "0.1296315000, 0.1395439000, 0.1704269000, 0.2596735000, 0.5572854000, 1.5407114000, 4.6367568000", \
+                        "0.2299913000, 0.2427731000, 0.2818946000, 0.3888090000, 0.6700205000, 1.5588373000, 4.6396083000", \
+                        "0.5025270000, 0.5247189000, 0.5846039000, 0.7409698000, 1.0857046000, 1.9278570000, 4.6897011000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0532475000, 0.0570174000, 0.0682112000, 0.1010934000, 0.1976453000, 0.4938444000, 1.4181833000", \
+                        "0.0598263000, 0.0635281000, 0.0748393000, 0.1076742000, 0.2043200000, 0.5002280000, 1.4245284000", \
+                        "0.0799970000, 0.0837320000, 0.0950936000, 0.1280388000, 0.2243035000, 0.5206084000, 1.4450352000", \
+                        "0.1334782000, 0.1384172000, 0.1524671000, 0.1879847000, 0.2825825000, 0.5791370000, 1.5035758000", \
+                        "0.2212243000, 0.2296774000, 0.2537077000, 0.3154029000, 0.4513736000, 0.7506968000, 1.6753270000", \
+                        "0.3458638000, 0.3611416000, 0.4045479000, 0.5147639000, 0.7536390000, 1.2095427000, 2.1581827000", \
+                        "0.4589662000, 0.4857558000, 0.5632341000, 0.7670360000, 1.2080529000, 2.0315367000, 3.4672609000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.1290109000, 0.1378367000, 0.1649783000, 0.2459804000, 0.5030694000, 1.2879311000, 3.7860106000", \
+                        "0.1342755000, 0.1435310000, 0.1711263000, 0.2537527000, 0.5098597000, 1.2974898000, 3.7794310000", \
+                        "0.1556473000, 0.1646368000, 0.1922115000, 0.2762162000, 0.5308453000, 1.3306333000, 3.8152548000", \
+                        "0.2202079000, 0.2291422000, 0.2558811000, 0.3388499000, 0.5980519000, 1.3880139000, 3.8754142000", \
+                        "0.3557998000, 0.3682104000, 0.4042385000, 0.5013547000, 0.7594635000, 1.5537921000, 4.0490833000", \
+                        "0.6015170000, 0.6247066000, 0.6891257000, 0.8463731000, 1.1982736000, 2.0174931000, 4.5171635000", \
+                        "0.9910609000, 1.0424051000, 1.1705265000, 1.4808024000, 2.0902389000, 3.2442486000, 5.8232890000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0461256000, 0.0501749000, 0.0629410000, 0.1031494000, 0.2301016000, 0.6322268000, 1.8982107000", \
+                        "0.0458896000, 0.0500045000, 0.0628038000, 0.1032287000, 0.2305228000, 0.6332717000, 1.8982504000", \
+                        "0.0446967000, 0.0486979000, 0.0616752000, 0.1026952000, 0.2303137000, 0.6316914000, 1.8985318000", \
+                        "0.0619393000, 0.0662001000, 0.0783245000, 0.1113043000, 0.2309810000, 0.6331616000, 1.8980626000", \
+                        "0.1103219000, 0.1169306000, 0.1353927000, 0.1800935000, 0.2857758000, 0.6380145000, 1.8981567000", \
+                        "0.2166521000, 0.2287194000, 0.2620246000, 0.3377420000, 0.4964611000, 0.8202187000, 1.9114335000", \
+                        "0.4401887000, 0.4647232000, 0.5276052000, 0.6792753000, 0.9491354000, 1.4367013000, 2.4147861000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
+                    values("0.0739571000, 0.0850479000, 0.1196529000, 0.2277941000, 0.5683939000, 1.6276908000, 4.9616795000", \
+                        "0.0741857000, 0.0851493000, 0.1195372000, 0.2280463000, 0.5723099000, 1.6284351000, 4.9578834000", \
+                        "0.0743707000, 0.0851978000, 0.1198103000, 0.2275127000, 0.5657681000, 1.6299991000, 4.9568536000", \
+                        "0.0766041000, 0.0868626000, 0.1205725000, 0.2278820000, 0.5666743000, 1.6258583000, 4.9505871000", \
+                        "0.1124950000, 0.1237918000, 0.1568800000, 0.2514655000, 0.5682889000, 1.6241718000, 4.9565032000", \
+                        "0.2177406000, 0.2322609000, 0.2738584000, 0.3859020000, 0.6856490000, 1.6420909000, 4.9549054000", \
+                        "0.5016036000, 0.5235906000, 0.5878916000, 0.7435672000, 1.1038501000, 2.0068978000, 4.9960946000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a311o_1 */
+
+/* removed sky130_fd_sc_hd__a311o_2 */
+
+/* removed sky130_fd_sc_hd__a311o_4 */
+
+/* removed sky130_fd_sc_hd__a311oi_1 */
+
+/* removed sky130_fd_sc_hd__a311oi_2 */
+
+/* removed sky130_fd_sc_hd__a311oi_4 */
+
+/* removed sky130_fd_sc_hd__a31o_1 */
+
+/* removed sky130_fd_sc_hd__a31o_2 */
+
+/* removed sky130_fd_sc_hd__a31o_4 */
+
+/* removed sky130_fd_sc_hd__a31oi_1 */
+
+/* removed sky130_fd_sc_hd__a31oi_2 */
+
+/* removed sky130_fd_sc_hd__a31oi_4 */
+
+/* removed sky130_fd_sc_hd__a32o_1 */
+
+/* removed sky130_fd_sc_hd__a32o_2 */
+
+    cell ("sky130_fd_sc_hd__a32o_4") {
+        leakage_power () {
+            value : 8.0570866000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 6.7192558000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1706225000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 7.7470146000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 8.1082741000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 6.7703931000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1703845000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 7.7981976000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 8.1151850000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 6.7773152000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1704271000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 7.8051094000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.4404298000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.1023357000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1697441000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.1303773000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 8.1128162000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 6.7749202000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1703685000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 7.8027167000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1315222000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 7.7934015000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1696970000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 8.8213943000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1375103000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 7.7993931000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1696952000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 8.8273824000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.1800922000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 9.5943893000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 4.0155226000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.4241006000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a32o";
+        cell_leakage_power : 8.2867840000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043540000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075041000, 0.0074688000, 0.0074298000, 0.0073980000, 0.0073690000, 0.0073475000, 0.0073473000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005479800, -0.005525600, -0.005594600, -0.005608500, -0.005587800, -0.005470200, -0.005078800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044820000;
+        }
+        pin ("A2") {
+            capacitance : 0.0043550000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066078000, 0.0065696000, 0.0065228000, 0.0065010000, 0.0065004000, 0.0065597000, 0.0067875000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006549500, -0.006584700, -0.006623900, -0.006656200, -0.006687300, -0.006715500, -0.006735200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045100000;
+        }
+        pin ("A3") {
+            capacitance : 0.0045030000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042870000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0068103000, 0.0067760000, 0.0067402000, 0.0067080000, 0.0066780000, 0.0066546000, 0.0066497000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006814200, -0.006843800, -0.006867300, -0.006900100, -0.006932700, -0.006964900, -0.006995700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047200000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044210000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042030000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075308000, 0.0074943000, 0.0074499000, 0.0074187000, 0.0073897000, 0.0073670000, 0.0073620000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005500400, -0.005543600, -0.005608100, -0.005621200, -0.005600300, -0.005484400, -0.005100700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046390000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0064582000, 0.0064202000, 0.0063734000, 0.0063404000, 0.0063078000, 0.0062765000, 0.0062489000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006440000, -0.006468100, -0.006490100, -0.006521800, -0.006553800, -0.006586200, -0.006620200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046200000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3) | (B1&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0276702000, 0.0261878000, 0.0213471000, 0.0024704000, -0.075114400, -0.368137500, -1.436650400", \
+                        "0.0277672000, 0.0262939000, 0.0214174000, 0.0025579000, -0.075049700, -0.368044100, -1.436591700", \
+                        "0.0276289000, 0.0261761000, 0.0213114000, 0.0024345000, -0.075123500, -0.368135200, -1.436663400", \
+                        "0.0272121000, 0.0257567000, 0.0208961000, 0.0020343000, -0.075544300, -0.368523100, -1.437050300", \
+                        "0.0266769000, 0.0251957000, 0.0202724000, 0.0013527000, -0.076274600, -0.369126600, -1.437603200", \
+                        "0.0281863000, 0.0265412000, 0.0205161000, 0.0002784000, -0.076848700, -0.369535900, -1.437876600", \
+                        "0.0324373000, 0.0307219000, 0.0245530000, 0.0026362000, -0.077398200, -0.370145500, -1.438015000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0305186000, 0.0323229000, 0.0389259000, 0.0625047000, 0.1435456000, 0.4348356000, 1.4953541000", \
+                        "0.0304253000, 0.0321892000, 0.0388161000, 0.0622667000, 0.1435121000, 0.4350789000, 1.4943711000", \
+                        "0.0302447000, 0.0319869000, 0.0386118000, 0.0620761000, 0.1432181000, 0.4349383000, 1.4984855000", \
+                        "0.0297673000, 0.0315389000, 0.0381604000, 0.0616446000, 0.1428011000, 0.4345687000, 1.4981895000", \
+                        "0.0294601000, 0.0311954000, 0.0377669000, 0.0612359000, 0.1422515000, 0.4337400000, 1.4935369000", \
+                        "0.0308279000, 0.0324971000, 0.0386586000, 0.0608126000, 0.1416748000, 0.4325722000, 1.4929661000", \
+                        "0.0331325000, 0.0347173000, 0.0407335000, 0.0630049000, 0.1438224000, 0.4353803000, 1.4910530000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0330048000, 0.0315360000, 0.0266280000, 0.0078299000, -0.069725600, -0.362502200, -1.430819100", \
+                        "0.0329913000, 0.0314833000, 0.0266446000, 0.0077946000, -0.069818300, -0.362563700, -1.430879800", \
+                        "0.0329722000, 0.0314261000, 0.0265665000, 0.0076069000, -0.069888900, -0.362670700, -1.431061000", \
+                        "0.0325544000, 0.0310367000, 0.0261785000, 0.0073094000, -0.070214800, -0.362971700, -1.431331900", \
+                        "0.0320858000, 0.0305792000, 0.0256612000, 0.0067089000, -0.070826500, -0.363498700, -1.431773900", \
+                        "0.0336801000, 0.0320001000, 0.0260160000, 0.0056153000, -0.071460900, -0.363953300, -1.432064400", \
+                        "0.0379798000, 0.0362661000, 0.0309100000, 0.0086680000, -0.071969800, -0.364739000, -1.432328000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0315062000, 0.0333371000, 0.0399416000, 0.0634454000, 0.1444322000, 0.4379235000, 1.4983286000", \
+                        "0.0313886000, 0.0331558000, 0.0397777000, 0.0633843000, 0.1444499000, 0.4357198000, 1.4951977000", \
+                        "0.0311851000, 0.0329385000, 0.0395722000, 0.0631808000, 0.1442617000, 0.4355369000, 1.4950483000", \
+                        "0.0308514000, 0.0326044000, 0.0392233000, 0.0628423000, 0.1439278000, 0.4352362000, 1.4947363000", \
+                        "0.0307659000, 0.0324839000, 0.0390551000, 0.0625096000, 0.1435604000, 0.4350985000, 1.4943398000", \
+                        "0.0319214000, 0.0336285000, 0.0397850000, 0.0620261000, 0.1432009000, 0.4360486000, 1.4980968000", \
+                        "0.0337411000, 0.0352777000, 0.0414125000, 0.0638308000, 0.1449599000, 0.4367392000, 1.4971399000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0366607000, 0.0351974000, 0.0301880000, 0.0112435000, -0.066453200, -0.359322600, -1.427584700", \
+                        "0.0365235000, 0.0350278000, 0.0300924000, 0.0111023000, -0.066591100, -0.359442600, -1.427685000", \
+                        "0.0361837000, 0.0347167000, 0.0299453000, 0.0109573000, -0.066733300, -0.359566600, -1.427841000", \
+                        "0.0360037000, 0.0346719000, 0.0297175000, 0.0107355000, -0.066958200, -0.359735700, -1.427972200", \
+                        "0.0357149000, 0.0342032000, 0.0292843000, 0.0103160000, -0.067349000, -0.360045800, -1.428256000", \
+                        "0.0374030000, 0.0358867000, 0.0297762000, 0.0096646000, -0.067610400, -0.360146800, -1.428269800", \
+                        "0.0424903000, 0.0407867000, 0.0345841000, 0.0125386000, -0.067613300, -0.360497100, -1.428099000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0316356000, 0.0334505000, 0.0399424000, 0.0635009000, 0.1445221000, 0.4354089000, 1.4989977000", \
+                        "0.0314832000, 0.0332309000, 0.0398653000, 0.0634256000, 0.1444249000, 0.4376316000, 1.4923057000", \
+                        "0.0312909000, 0.0330326000, 0.0396589000, 0.0632458000, 0.1442730000, 0.4354232000, 1.4986029000", \
+                        "0.0309787000, 0.0327368000, 0.0393299000, 0.0629267000, 0.1439139000, 0.4349826000, 1.4944773000", \
+                        "0.0306103000, 0.0323836000, 0.0389803000, 0.0625188000, 0.1434787000, 0.4370247000, 1.4974147000", \
+                        "0.0321313000, 0.0338300000, 0.0400594000, 0.0624542000, 0.1433753000, 0.4362855000, 1.4929687000", \
+                        "0.0335985000, 0.0353256000, 0.0413254000, 0.0639872000, 0.1452697000, 0.4363464000, 1.4971850000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0266647000, 0.0251675000, 0.0202972000, 0.0013207000, -0.076347400, -0.369043700, -1.437332900", \
+                        "0.0268238000, 0.0251361000, 0.0202802000, 0.0012995000, -0.076301600, -0.369052500, -1.437345000", \
+                        "0.0264615000, 0.0249884000, 0.0200193000, 0.0011364000, -0.076458200, -0.369215400, -1.437502800", \
+                        "0.0260360000, 0.0245534000, 0.0195934000, 0.0006804000, -0.076926200, -0.369665500, -1.437929400", \
+                        "0.0256320000, 0.0241129000, 0.0191208000, 0.0001297000, -0.077586700, -0.370148800, -1.438366400", \
+                        "0.0275971000, 0.0258986000, 0.0197993000, -0.000537400, -0.077980900, -0.370520500, -1.438568900", \
+                        "0.0337469000, 0.0318720000, 0.0255561000, 0.0032694000, -0.077047700, -0.369895200, -1.437518600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0246756000, 0.0265333000, 0.0333038000, 0.0568930000, 0.1377335000, 0.4314092000, 1.4892767000", \
+                        "0.0246724000, 0.0265648000, 0.0332792000, 0.0568864000, 0.1377350000, 0.4291941000, 1.4905460000", \
+                        "0.0246104000, 0.0264652000, 0.0332413000, 0.0567262000, 0.1376940000, 0.4314000000, 1.4854300000", \
+                        "0.0241758000, 0.0260187000, 0.0327407000, 0.0560717000, 0.1370963000, 0.4285244000, 1.4831852000", \
+                        "0.0234958000, 0.0253269000, 0.0318851000, 0.0550265000, 0.1359489000, 0.4255644000, 1.4847285000", \
+                        "0.0244806000, 0.0261717000, 0.0323949000, 0.0549551000, 0.1354036000, 0.4261064000, 1.4820526000", \
+                        "0.0263313000, 0.0278837000, 0.0340213000, 0.0561196000, 0.1371533000, 0.4275211000, 1.4839945000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0320102000, 0.0304835000, 0.0255832000, 0.0066166000, -0.070915300, -0.363457900, -1.431604000", \
+                        "0.0317699000, 0.0302908000, 0.0253874000, 0.0064708000, -0.071084400, -0.363609500, -1.431679800", \
+                        "0.0315907000, 0.0300942000, 0.0251708000, 0.0062746000, -0.071256800, -0.363782600, -1.431849700", \
+                        "0.0314257000, 0.0299600000, 0.0250196000, 0.0060731000, -0.071510500, -0.363978500, -1.432072400", \
+                        "0.0311917000, 0.0296833000, 0.0247426000, 0.0057607000, -0.071841500, -0.364267200, -1.432243400", \
+                        "0.0332822000, 0.0315935000, 0.0254141000, 0.0051268000, -0.072222100, -0.364475400, -1.432294600", \
+                        "0.0404625000, 0.0386821000, 0.0323863000, 0.0100359000, -0.070373100, -0.363269600, -1.430653000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
+                    values("0.0256069000, 0.0274804000, 0.0342433000, 0.0577441000, 0.1386841000, 0.4323322000, 1.4863430000", \
+                        "0.0256521000, 0.0274982000, 0.0342884000, 0.0577780000, 0.1387443000, 0.4302089000, 1.4951275000", \
+                        "0.0255851000, 0.0274614000, 0.0341864000, 0.0577945000, 0.1386527000, 0.4297724000, 1.4862136000", \
+                        "0.0251233000, 0.0270097000, 0.0337468000, 0.0572583000, 0.1381766000, 0.4273917000, 1.4866149000", \
+                        "0.0246446000, 0.0265142000, 0.0331000000, 0.0563590000, 0.1372509000, 0.4286226000, 1.4854272000", \
+                        "0.0260656000, 0.0277866000, 0.0340195000, 0.0565534000, 0.1369835000, 0.4275025000, 1.4929758000", \
+                        "0.0272860000, 0.0290557000, 0.0352647000, 0.0573900000, 0.1387586000, 0.4306311000, 1.4854416000");
+                }
+            }
+            max_capacitance : 0.3387550000;
+            max_transition : 1.5032630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.4307224000, 0.4400664000, 0.4658031000, 0.5274169000, 0.6666671000, 1.0395398000, 2.3056950000", \
+                        "0.4398494000, 0.4491969000, 0.4753631000, 0.5366134000, 0.6760371000, 1.0490433000, 2.3181892000", \
+                        "0.4640117000, 0.4733526000, 0.4991009000, 0.5607305000, 0.7000628000, 1.0729909000, 2.3395978000", \
+                        "0.5303863000, 0.5397154000, 0.5654652000, 0.6269698000, 0.7662830000, 1.1389688000, 2.4039493000", \
+                        "0.7013307000, 0.7107018000, 0.7368741000, 0.7981590000, 0.9375229000, 1.3107928000, 2.5801181000", \
+                        "1.1116172000, 1.1225127000, 1.1521444000, 1.2199304000, 1.3684213000, 1.7469075000, 3.0152099000", \
+                        "1.9033188000, 1.9185045000, 1.9590736000, 2.0483213000, 2.2291637000, 2.6392895000, 3.9122825000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.3035407000, 0.3173271000, 0.3541666000, 0.4413877000, 0.6622026000, 1.3737960000, 3.9355603000", \
+                        "0.3091392000, 0.3229213000, 0.3598831000, 0.4469100000, 0.6678106000, 1.3796903000, 3.9348138000", \
+                        "0.3274545000, 0.3412200000, 0.3782244000, 0.4652655000, 0.6863182000, 1.3982423000, 3.9544075000", \
+                        "0.3815371000, 0.3953374000, 0.4322137000, 0.5193120000, 0.7403794000, 1.4524139000, 4.0088728000", \
+                        "0.5439418000, 0.5576136000, 0.5944742000, 0.6813212000, 0.9019266000, 1.6127815000, 4.1727031000", \
+                        "0.8668312000, 0.8847923000, 0.9304630000, 1.0267566000, 1.2541388000, 1.9681285000, 4.5239776000", \
+                        "1.3562497000, 1.3828756000, 1.4537550000, 1.5874383000, 1.8405080000, 2.5573672000, 5.1174327000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0531939000, 0.0588659000, 0.0748311000, 0.1192706000, 0.2491166000, 0.7020965000, 2.4350231000", \
+                        "0.0529436000, 0.0586928000, 0.0743757000, 0.1207700000, 0.2491561000, 0.7020622000, 2.4306417000", \
+                        "0.0530319000, 0.0588632000, 0.0749121000, 0.1192988000, 0.2489206000, 0.7021415000, 2.4352975000", \
+                        "0.0527840000, 0.0585502000, 0.0745099000, 0.1206437000, 0.2495105000, 0.7014375000, 2.4300562000", \
+                        "0.0526801000, 0.0590388000, 0.0753808000, 0.1192434000, 0.2487290000, 0.7004057000, 2.4296696000", \
+                        "0.0648050000, 0.0703842000, 0.0873743000, 0.1332944000, 0.2619208000, 0.7064927000, 2.4372435000", \
+                        "0.1023498000, 0.1097699000, 0.1294851000, 0.1810573000, 0.3136110000, 0.7380097000, 2.4353262000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0672538000, 0.0762464000, 0.1009124000, 0.1726862000, 0.4259081000, 1.4020574000, 5.0005333000", \
+                        "0.0671776000, 0.0760265000, 0.1010896000, 0.1723647000, 0.4256096000, 1.4040428000, 4.9974216000", \
+                        "0.0679265000, 0.0760168000, 0.1012243000, 0.1723363000, 0.4259308000, 1.4044433000, 4.9956648000", \
+                        "0.0671506000, 0.0757285000, 0.1010024000, 0.1722950000, 0.4258928000, 1.4044484000, 4.9952100000", \
+                        "0.0682708000, 0.0769820000, 0.1015020000, 0.1728802000, 0.4256391000, 1.4015674000, 5.0022937000", \
+                        "0.0965234000, 0.1044838000, 0.1273420000, 0.1903836000, 0.4344458000, 1.4044074000, 5.0001945000", \
+                        "0.1553028000, 0.1706470000, 0.2002921000, 0.2551784000, 0.4641624000, 1.4118215000, 4.9972280000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.4635184000, 0.4728744000, 0.4990321000, 0.5597750000, 0.6990434000, 1.0724777000, 2.3416282000", \
+                        "0.4712944000, 0.4806461000, 0.5064802000, 0.5680231000, 0.7072937000, 1.0806648000, 2.3496851000", \
+                        "0.4946873000, 0.5039880000, 0.5300628000, 0.5909720000, 0.7306654000, 1.1040665000, 2.3757871000", \
+                        "0.5591502000, 0.5685277000, 0.5946273000, 0.6555404000, 0.7947444000, 1.1679337000, 2.4351228000", \
+                        "0.7208699000, 0.7302317000, 0.7562735000, 0.8175088000, 0.9565885000, 1.3300846000, 2.5990985000", \
+                        "1.1070480000, 1.1176332000, 1.1468680000, 1.2138568000, 1.3614866000, 1.7401521000, 3.0071570000", \
+                        "1.8394448000, 1.8544053000, 1.8923392000, 1.9784561000, 2.1558666000, 2.5624622000, 3.8378061000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.3272255000, 0.3409774000, 0.3779613000, 0.4651456000, 0.6859943000, 1.3985458000, 3.9619223000", \
+                        "0.3338123000, 0.3476107000, 0.3845101000, 0.4717885000, 0.6924701000, 1.4032197000, 3.9609787000", \
+                        "0.3536795000, 0.3675177000, 0.4043631000, 0.4916533000, 0.7123823000, 1.4231302000, 3.9811989000", \
+                        "0.4066559000, 0.4204996000, 0.4573111000, 0.5445958000, 0.7653416000, 1.4761278000, 4.0344239000", \
+                        "0.5545188000, 0.5682003000, 0.6050908000, 0.6920520000, 0.9126538000, 1.6243202000, 4.1796984000", \
+                        "0.8738454000, 0.8903444000, 0.9345300000, 1.0310949000, 1.2591993000, 1.9741002000, 4.5385100000", \
+                        "1.4106183000, 1.4348099000, 1.4994184000, 1.6262528000, 1.8791246000, 2.5982978000, 5.1548481000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0534743000, 0.0592132000, 0.0746926000, 0.1200501000, 0.2495494000, 0.7029262000, 2.4358364000", \
+                        "0.0531182000, 0.0585482000, 0.0753096000, 0.1206025000, 0.2491365000, 0.7017115000, 2.4385480000", \
+                        "0.0533082000, 0.0585525000, 0.0750347000, 0.1205493000, 0.2493162000, 0.7020451000, 2.4325955000", \
+                        "0.0537355000, 0.0590341000, 0.0748300000, 0.1199084000, 0.2495628000, 0.7025494000, 2.4390623000", \
+                        "0.0530980000, 0.0585485000, 0.0748529000, 0.1203808000, 0.2493811000, 0.7031730000, 2.4377267000", \
+                        "0.0643515000, 0.0692648000, 0.0863648000, 0.1336564000, 0.2597853000, 0.7072160000, 2.4385667000", \
+                        "0.0986351000, 0.1062421000, 0.1257871000, 0.1753043000, 0.3071177000, 0.7360620000, 2.4349134000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0670618000, 0.0763558000, 0.1004091000, 0.1728142000, 0.4253110000, 1.4048125000, 5.0001527000", \
+                        "0.0672116000, 0.0762776000, 0.1008313000, 0.1725329000, 0.4253965000, 1.4026751000, 5.0013940000", \
+                        "0.0672043000, 0.0758570000, 0.1007779000, 0.1725249000, 0.4254253000, 1.4026285000, 5.0015334000", \
+                        "0.0671688000, 0.0757611000, 0.1006101000, 0.1725365000, 0.4254632000, 1.4024848000, 5.0016444000", \
+                        "0.0691133000, 0.0771966000, 0.1018679000, 0.1729246000, 0.4258066000, 1.4037165000, 4.9991713000", \
+                        "0.0867770000, 0.0968092000, 0.1200745000, 0.1888191000, 0.4333318000, 1.4037934000, 5.0021840000", \
+                        "0.1365227000, 0.1504533000, 0.1792373000, 0.2401803000, 0.4625508000, 1.4092926000, 4.9978137000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.4836836000, 0.4931365000, 0.5192756000, 0.5808730000, 0.7201087000, 1.0946287000, 2.3684892000", \
+                        "0.4909234000, 0.5003965000, 0.5267250000, 0.5882047000, 0.7274823000, 1.1019465000, 2.3760756000", \
+                        "0.5144463000, 0.5238477000, 0.5493302000, 0.6110509000, 0.7505120000, 1.1248866000, 2.3952640000", \
+                        "0.5794620000, 0.5883681000, 0.6145102000, 0.6761534000, 0.8158558000, 1.1904521000, 2.4630855000", \
+                        "0.7394602000, 0.7488877000, 0.7750514000, 0.8364724000, 0.9758698000, 1.3506573000, 2.6223399000", \
+                        "1.1216651000, 1.1328298000, 1.1616181000, 1.2282843000, 1.3744155000, 1.7536989000, 3.0256655000", \
+                        "1.8583345000, 1.8722985000, 1.9097057000, 1.9941624000, 2.1666089000, 2.5710505000, 3.8482404000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.3360168000, 0.3496310000, 0.3864531000, 0.4736853000, 0.6942844000, 1.4069788000, 3.9673824000", \
+                        "0.3433784000, 0.3571317000, 0.3940813000, 0.4812405000, 0.7018261000, 1.4120546000, 3.9696904000", \
+                        "0.3630019000, 0.3767634000, 0.4137067000, 0.5008070000, 0.7214088000, 1.4325466000, 3.9876276000", \
+                        "0.4103794000, 0.4240397000, 0.4608877000, 0.5480822000, 0.7686989000, 1.4787405000, 4.0378016000", \
+                        "0.5321044000, 0.5459566000, 0.5832343000, 0.6704493000, 0.8913897000, 1.6038184000, 4.1674908000", \
+                        "0.7970609000, 0.8130454000, 0.8556632000, 0.9514726000, 1.1804190000, 1.8955963000, 4.4589656000", \
+                        "1.2840716000, 1.3064252000, 1.3639962000, 1.4833490000, 1.7339729000, 2.4543265000, 5.0105116000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0543652000, 0.0595954000, 0.0761283000, 0.1214264000, 0.2504941000, 0.7046471000, 2.4338671000", \
+                        "0.0539125000, 0.0603777000, 0.0766781000, 0.1214826000, 0.2505242000, 0.7037044000, 2.4348463000", \
+                        "0.0541432000, 0.0599676000, 0.0765226000, 0.1213968000, 0.2504603000, 0.7052446000, 2.4406228000", \
+                        "0.0539171000, 0.0599393000, 0.0761244000, 0.1214508000, 0.2506861000, 0.7051605000, 2.4369540000", \
+                        "0.0538968000, 0.0593429000, 0.0756255000, 0.1212116000, 0.2503666000, 0.7053098000, 2.4419375000", \
+                        "0.0632157000, 0.0693633000, 0.0857725000, 0.1319290000, 0.2598142000, 0.7087462000, 2.4426936000", \
+                        "0.0936159000, 0.0992642000, 0.1191307000, 0.1685854000, 0.3010368000, 0.7345556000, 2.4379381000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0671169000, 0.0763643000, 0.1001157000, 0.1729750000, 0.4252905000, 1.4048995000, 4.9973417000", \
+                        "0.0678880000, 0.0761086000, 0.1011642000, 0.1724488000, 0.4256809000, 1.4025634000, 4.9986499000", \
+                        "0.0678731000, 0.0760973000, 0.1011444000, 0.1723523000, 0.4259521000, 1.4042426000, 4.9965609000", \
+                        "0.0671301000, 0.0759145000, 0.1008431000, 0.1726221000, 0.4258105000, 1.4017005000, 5.0021787000", \
+                        "0.0687489000, 0.0771670000, 0.1011286000, 0.1729236000, 0.4256066000, 1.4057236000, 5.0010427000", \
+                        "0.0820378000, 0.0910875000, 0.1155751000, 0.1873982000, 0.4339074000, 1.4041036000, 4.9970676000", \
+                        "0.1187896000, 0.1313042000, 0.1598975000, 0.2282146000, 0.4605764000, 1.4078581000, 4.9970277000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.3971549000, 0.4065236000, 0.4325975000, 0.4945205000, 0.6341745000, 1.0080502000, 2.2775133000", \
+                        "0.4040125000, 0.4135404000, 0.4396333000, 0.5016231000, 0.6411078000, 1.0149526000, 2.2842412000", \
+                        "0.4236054000, 0.4330498000, 0.4593969000, 0.5205743000, 0.6602621000, 1.0345113000, 2.3039450000", \
+                        "0.4824747000, 0.4919544000, 0.5183481000, 0.5795981000, 0.7194101000, 1.0938068000, 2.3635807000", \
+                        "0.6615267000, 0.6714968000, 0.6978254000, 0.7594807000, 0.8990396000, 1.2735433000, 2.5480036000", \
+                        "1.1173051000, 1.1287309000, 1.1591076000, 1.2262018000, 1.3717345000, 1.7509538000, 3.0253435000", \
+                        "1.9222561000, 1.9398825000, 1.9855950000, 2.0798321000, 2.2538982000, 2.6478646000, 3.9254950000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.1780827000, 0.1889690000, 0.2215733000, 0.3026083000, 0.5125656000, 1.2171945000, 3.7740473000", \
+                        "0.1852671000, 0.1961452000, 0.2287130000, 0.3098068000, 0.5199010000, 1.2252606000, 3.7927403000", \
+                        "0.2068059000, 0.2177116000, 0.2503071000, 0.3313032000, 0.5414614000, 1.2458693000, 3.7957908000", \
+                        "0.2673614000, 0.2781969000, 0.3106352000, 0.3913309000, 0.6015677000, 1.3077921000, 3.8659924000", \
+                        "0.4109996000, 0.4232664000, 0.4588430000, 0.5437338000, 0.7558830000, 1.4629176000, 4.0263335000", \
+                        "0.6525466000, 0.6706670000, 0.7209903000, 0.8275727000, 1.0529632000, 1.7611010000, 4.3207828000", \
+                        "0.9904744000, 1.0183548000, 1.0978363000, 1.2662313000, 1.5393610000, 2.2525759000, 4.8045141000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0541633000, 0.0597115000, 0.0765282000, 0.1214219000, 0.2498533000, 0.7041790000, 2.4387439000", \
+                        "0.0544307000, 0.0596906000, 0.0764885000, 0.1213825000, 0.2501897000, 0.7041823000, 2.4387745000", \
+                        "0.0549921000, 0.0605799000, 0.0758074000, 0.1207268000, 0.2504722000, 0.7038249000, 2.4377180000", \
+                        "0.0549054000, 0.0605277000, 0.0758358000, 0.1209228000, 0.2503815000, 0.7043843000, 2.4378415000", \
+                        "0.0548860000, 0.0605618000, 0.0757213000, 0.1211744000, 0.2495290000, 0.7034506000, 2.4420472000", \
+                        "0.0732357000, 0.0792465000, 0.0935070000, 0.1363282000, 0.2601487000, 0.7085421000, 2.4410276000", \
+                        "0.1265848000, 0.1338922000, 0.1539004000, 0.1953057000, 0.3065871000, 0.7323787000, 2.4423612000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0493885000, 0.0585258000, 0.0855494000, 0.1574956000, 0.4103227000, 1.4006514000, 4.9881601000", \
+                        "0.0495121000, 0.0584362000, 0.0854936000, 0.1573015000, 0.4096763000, 1.3918522000, 4.9861870000", \
+                        "0.0495035000, 0.0585051000, 0.0855592000, 0.1575191000, 0.4100607000, 1.4005447000, 4.9868421000", \
+                        "0.0495277000, 0.0585709000, 0.0857612000, 0.1577409000, 0.4105607000, 1.3937107000, 4.9854516000", \
+                        "0.0600672000, 0.0691950000, 0.0955148000, 0.1641628000, 0.4115011000, 1.3917930000, 4.9918505000", \
+                        "0.0958935000, 0.1069339000, 0.1377512000, 0.1993904000, 0.4268481000, 1.3943620000, 4.9817062000", \
+                        "0.1623948000, 0.1810110000, 0.2317018000, 0.3022179000, 0.4781966000, 1.4033892000, 4.9897269000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.4180306000, 0.4274587000, 0.4536188000, 0.5145392000, 0.6545511000, 1.0293959000, 2.3036855000", \
+                        "0.4236774000, 0.4330341000, 0.4592597000, 0.5206628000, 0.6603550000, 1.0351906000, 2.3069364000", \
+                        "0.4420537000, 0.4514576000, 0.4776912000, 0.5391582000, 0.6787305000, 1.0535919000, 2.3257551000", \
+                        "0.4993638000, 0.5088124000, 0.5351783000, 0.5967124000, 0.7363521000, 1.1111728000, 2.3843592000", \
+                        "0.6770509000, 0.6864617000, 0.7127018000, 0.7742600000, 0.9137960000, 1.2888046000, 2.5644262000", \
+                        "1.1215274000, 1.1325320000, 1.1621988000, 1.2276972000, 1.3721959000, 1.7511264000, 3.0234673000", \
+                        "1.8889232000, 1.9054953000, 1.9495061000, 2.0402164000, 2.2085076000, 2.6012652000, 3.8814445000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.1885491000, 0.1994463000, 0.2320454000, 0.3130344000, 0.5230097000, 1.2273721000, 3.7771315000", \
+                        "0.1972079000, 0.2081081000, 0.2407260000, 0.3217164000, 0.5317480000, 1.2363192000, 3.7961185000", \
+                        "0.2192345000, 0.2301379000, 0.2627444000, 0.3437256000, 0.5539055000, 1.2593093000, 3.8090189000", \
+                        "0.2737231000, 0.2846190000, 0.3171603000, 0.3980567000, 0.6084136000, 1.3146477000, 3.8635997000", \
+                        "0.4056957000, 0.4175654000, 0.4525093000, 0.5366297000, 0.7485288000, 1.4573326000, 4.0042263000", \
+                        "0.6554252000, 0.6711863000, 0.7153020000, 0.8144908000, 1.0384867000, 1.7451900000, 4.3049089000", \
+                        "1.0433834000, 1.0676289000, 1.1365389000, 1.2838380000, 1.5454904000, 2.2602868000, 4.8107367000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0539741000, 0.0594669000, 0.0757569000, 0.1220618000, 0.2499951000, 0.7035565000, 2.4355906000", \
+                        "0.0538551000, 0.0597535000, 0.0757295000, 0.1211900000, 0.2498551000, 0.7051564000, 2.4420614000", \
+                        "0.0544832000, 0.0600265000, 0.0755423000, 0.1211659000, 0.2493227000, 0.7050112000, 2.4425082000", \
+                        "0.0537911000, 0.0594010000, 0.0769399000, 0.1216267000, 0.2500142000, 0.7048389000, 2.4354110000", \
+                        "0.0544660000, 0.0602022000, 0.0755392000, 0.1210359000, 0.2497999000, 0.7041949000, 2.4364932000", \
+                        "0.0702443000, 0.0754618000, 0.0900817000, 0.1336410000, 0.2595516000, 0.7089902000, 2.4423203000", \
+                        "0.1202007000, 0.1272639000, 0.1446271000, 0.1865487000, 0.3004814000, 0.7287997000, 2.4384044000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
+                    values("0.0494838000, 0.0586219000, 0.0855709000, 0.1571577000, 0.4104234000, 1.4005930000, 4.9861675000", \
+                        "0.0496098000, 0.0585043000, 0.0855949000, 0.1574955000, 0.4103003000, 1.3937936000, 5.0036721000", \
+                        "0.0495225000, 0.0586544000, 0.0855928000, 0.1574931000, 0.4097009000, 1.3913335000, 4.9897926000", \
+                        "0.0495805000, 0.0585440000, 0.0856558000, 0.1576425000, 0.4098113000, 1.3918858000, 4.9892654000", \
+                        "0.0564906000, 0.0659930000, 0.0928113000, 0.1624428000, 0.4116489000, 1.3967737000, 4.9891762000", \
+                        "0.0804669000, 0.0905691000, 0.1205973000, 0.1890335000, 0.4242226000, 1.3934431000, 4.9962732000", \
+                        "0.1359054000, 0.1527946000, 0.1971935000, 0.2693316000, 0.4668481000, 1.4025281000, 4.9872306000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a32oi_1 */
+
+/* removed sky130_fd_sc_hd__a32oi_2 */
+
+    cell ("sky130_fd_sc_hd__a32oi_4") {
+        leakage_power () {
+            value : 3.6097099000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.3756079000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4957428000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 2.8634592000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 3.7516261000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.5175264000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4955172000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 3.0053773000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 3.7166985000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.4825982000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4955811000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 2.9704492000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.9976664000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 3.7636108000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4949550000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.2514376000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 3.7119459000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.4778476000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4953751000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 2.9656966000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.2493939000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 3.0153271000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4946308000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 5.5031721000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 5.6867986000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 2.4527234000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.4946912000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 4.9405515000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.6282144000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 7.0663266000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.7154548000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.8653798000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a32oi";
+        cell_leakage_power : 4.8140970000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0084450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0147438000, 0.0147233000, 0.0147004000, 0.0146827000, 0.0146677000, 0.0146606000, 0.0146754000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010851100, -0.010888500, -0.010962400, -0.010944000, -0.010860600, -0.010593800, -0.009811000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086410000;
+        }
+        pin ("A2") {
+            capacitance : 0.0083380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080420000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0129351000, 0.0129148000, 0.0128942000, 0.0129033000, 0.0129649000, 0.0131749000, 0.0138027000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012864500, -0.012874300, -0.012870300, -0.012886800, -0.012901700, -0.012912100, -0.012910000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086330000;
+        }
+        pin ("A3") {
+            capacitance : 0.0085590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0129139000, 0.0128926000, 0.0128699000, 0.0128508000, 0.0128344000, 0.0128253000, 0.0128369000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012948600, -0.012950400, -0.012924100, -0.012941600, -0.012959300, -0.012978000, -0.012999100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089710000;
+        }
+        pin ("B1") {
+            capacitance : 0.0083210000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079020000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0146237000, 0.0146115000, 0.0146068000, 0.0145895000, 0.0145704000, 0.0145464000, 0.0145088000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011002400, -0.011038100, -0.011118500, -0.011095800, -0.011011400, -0.010753500, -0.010006300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087390000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079530000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0131520000, 0.0131281000, 0.0130945000, 0.0130756000, 0.0130565000, 0.0130365000, 0.0130139000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013110200, -0.013119800, -0.013126600, -0.013136700, -0.013144800, -0.013146800, -0.013131900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091540000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0237682000, 0.0223417000, 0.0178145000, 0.0033615000, -0.043925300, -0.197285000, -0.693060100", \
+                        "0.0235830000, 0.0221550000, 0.0176563000, 0.0030182000, -0.044200300, -0.197422700, -0.693235000", \
+                        "0.0230489000, 0.0216498000, 0.0172174000, 0.0028331000, -0.044467500, -0.197575300, -0.693314300", \
+                        "0.0221925000, 0.0208174000, 0.0163782000, 0.0020242000, -0.044933400, -0.198061600, -0.693701400", \
+                        "0.0213513000, 0.0199652000, 0.0155200000, 0.0012718000, -0.045556300, -0.198203600, -0.693681500", \
+                        "0.0213100000, 0.0198673000, 0.0151551000, 0.0004071000, -0.046299500, -0.199049100, -0.694425000", \
+                        "0.0239424000, 0.0225311000, 0.0178396000, 0.0025897000, -0.045561300, -0.198848000, -0.695225100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0327674000, 0.0342108000, 0.0388582000, 0.0537020000, 0.1011383000, 0.2532710000, 0.7445436000", \
+                        "0.0326955000, 0.0341326000, 0.0388195000, 0.0537699000, 0.1012937000, 0.2535298000, 0.7449560000", \
+                        "0.0321763000, 0.0336705000, 0.0384592000, 0.0535398000, 0.1013047000, 0.2537294000, 0.7451584000", \
+                        "0.0312465000, 0.0327377000, 0.0374585000, 0.0526915000, 0.1007539000, 0.2535566000, 0.7449638000", \
+                        "0.0305065000, 0.0319524000, 0.0365474000, 0.0517478000, 0.0997831000, 0.2528354000, 0.7453779000", \
+                        "0.0300782000, 0.0317860000, 0.0363673000, 0.0513960000, 0.0990765000, 0.2522073000, 0.7444638000", \
+                        "0.0300302000, 0.0314175000, 0.0359772000, 0.0506615000, 0.0985147000, 0.2515474000, 0.7439455000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0258326000, 0.0244406000, 0.0198665000, 0.0053038000, -0.042028600, -0.195184200, -0.691003800", \
+                        "0.0255932000, 0.0241795000, 0.0196704000, 0.0050927000, -0.042202700, -0.195541200, -0.691132800", \
+                        "0.0251945000, 0.0237649000, 0.0192791000, 0.0047907000, -0.042443900, -0.195707300, -0.691448000", \
+                        "0.0245378000, 0.0231003000, 0.0186539000, 0.0042063000, -0.042797400, -0.195904000, -0.691607000", \
+                        "0.0237498000, 0.0223906000, 0.0178760000, 0.0035909000, -0.043238400, -0.196101500, -0.691550300", \
+                        "0.0237729000, 0.0223696000, 0.0177557000, 0.0028392000, -0.044039600, -0.196679600, -0.692032100", \
+                        "0.0255350000, 0.0240598000, 0.0195034000, 0.0045594000, -0.043370100, -0.197192900, -0.692382900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0438052000, 0.0452474000, 0.0499380000, 0.0647216000, 0.1122814000, 0.2651706000, 0.7567210000", \
+                        "0.0437068000, 0.0451555000, 0.0496754000, 0.0646263000, 0.1122110000, 0.2645583000, 0.7565703000", \
+                        "0.0431130000, 0.0446862000, 0.0493286000, 0.0643105000, 0.1120437000, 0.2644504000, 0.7563549000", \
+                        "0.0422912000, 0.0437695000, 0.0485283000, 0.0636342000, 0.1116124000, 0.2643594000, 0.7562235000", \
+                        "0.0415756000, 0.0429810000, 0.0476871000, 0.0627416000, 0.1106336000, 0.2636319000, 0.7556882000", \
+                        "0.0411287000, 0.0425606000, 0.0471540000, 0.0621744000, 0.1102170000, 0.2630913000, 0.7548706000", \
+                        "0.0404800000, 0.0418574000, 0.0463487000, 0.0610045000, 0.1088941000, 0.2621189000, 0.7545163000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0256336000, 0.0242023000, 0.0196900000, 0.0051134000, -0.042188600, -0.195502900, -0.691190300", \
+                        "0.0253558000, 0.0239395000, 0.0194194000, 0.0048922000, -0.042332500, -0.195632300, -0.691487400", \
+                        "0.0249070000, 0.0235043000, 0.0189993000, 0.0044573000, -0.042774800, -0.195943700, -0.691763200", \
+                        "0.0242269000, 0.0228383000, 0.0183761000, 0.0039972000, -0.043082700, -0.196181800, -0.691867800", \
+                        "0.0235792000, 0.0221924000, 0.0177949000, 0.0034158000, -0.043536400, -0.196327000, -0.692031900", \
+                        "0.0236202000, 0.0222153000, 0.0176436000, 0.0029063000, -0.044170800, -0.197014100, -0.692333800", \
+                        "0.0251979000, 0.0237841000, 0.0191318000, 0.0042733000, -0.043512200, -0.197393800, -0.692668000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0513090000, 0.0527511000, 0.0573391000, 0.0723246000, 0.1202090000, 0.2727828000, 0.7645858000", \
+                        "0.0510148000, 0.0524436000, 0.0570787000, 0.0720313000, 0.1199174000, 0.2724661000, 0.7643452000", \
+                        "0.0506582000, 0.0521028000, 0.0567527000, 0.0717824000, 0.1195366000, 0.2723680000, 0.7646230000", \
+                        "0.0502467000, 0.0517032000, 0.0563449000, 0.0714225000, 0.1192647000, 0.2721025000, 0.7643184000", \
+                        "0.0497899000, 0.0512659000, 0.0559362000, 0.0710028000, 0.1190388000, 0.2717814000, 0.7638848000", \
+                        "0.0497485000, 0.0512059000, 0.0557802000, 0.0708296000, 0.1190222000, 0.2720025000, 0.7642030000", \
+                        "0.0499781000, 0.0515100000, 0.0560484000, 0.0706151000, 0.1184884000, 0.2718459000, 0.7634289000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0091088000, 0.0077778000, 0.0033969000, -0.011218700, -0.058861200, -0.212808500, -0.709404400", \
+                        "0.0086324000, 0.0073749000, 0.0031317000, -0.011223000, -0.058649700, -0.212515800, -0.709067500", \
+                        "0.0079014000, 0.0066498000, 0.0025516000, -0.011430300, -0.058513200, -0.212169600, -0.708651900", \
+                        "0.0069287000, 0.0056003000, 0.0015247000, -0.012349500, -0.058968600, -0.212167200, -0.708476100", \
+                        "0.0063118000, 0.0049626000, 0.0005763000, -0.013467200, -0.059900000, -0.212707100, -0.708550300", \
+                        "0.0068666000, 0.0054443000, 0.0008769000, -0.013832200, -0.061060000, -0.213540100, -0.709186300", \
+                        "0.0099928000, 0.0083671000, 0.0034311000, -0.012086700, -0.060656500, -0.214571000, -0.710485400");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0310989000, 0.0325422000, 0.0373175000, 0.0525084000, 0.1003102000, 0.2527435000, 0.7448926000", \
+                        "0.0307852000, 0.0322825000, 0.0370839000, 0.0522295000, 0.1001774000, 0.2529152000, 0.7447474000", \
+                        "0.0300560000, 0.0316356000, 0.0364826000, 0.0516783000, 0.1001058000, 0.2528256000, 0.7448690000", \
+                        "0.0291978000, 0.0306889000, 0.0354920000, 0.0508227000, 0.0990204000, 0.2524803000, 0.7445960000", \
+                        "0.0284249000, 0.0298620000, 0.0345320000, 0.0496274000, 0.0976143000, 0.2513606000, 0.7443451000", \
+                        "0.0294254000, 0.0306965000, 0.0351602000, 0.0500076000, 0.0975263000, 0.2500372000, 0.7430286000", \
+                        "0.0328635000, 0.0341950000, 0.0383081000, 0.0522783000, 0.0994713000, 0.2510802000, 0.7425549000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0112880000, 0.0099325000, 0.0054637000, -0.009200100, -0.056869000, -0.210817600, -0.707324000", \
+                        "0.0108915000, 0.0096191000, 0.0053116000, -0.009144700, -0.056646600, -0.210528400, -0.707048600", \
+                        "0.0101086000, 0.0088699000, 0.0047333000, -0.009369200, -0.056535300, -0.210226800, -0.706739500", \
+                        "0.0090046000, 0.0077671000, 0.0035979000, -0.010247200, -0.056883200, -0.210195000, -0.706479000", \
+                        "0.0085548000, 0.0071390000, 0.0025094000, -0.011557800, -0.057943600, -0.210662000, -0.706618800", \
+                        "0.0087133000, 0.0072963000, 0.0028014000, -0.011797900, -0.059255700, -0.211696300, -0.707044400", \
+                        "0.0111271000, 0.0095891000, 0.0048320000, -0.010399800, -0.058502200, -0.212373300, -0.707809200");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
+                    values("0.0417016000, 0.0431548000, 0.0478036000, 0.0628008000, 0.1105778000, 0.2632392000, 0.7551084000", \
+                        "0.0412560000, 0.0426871000, 0.0473692000, 0.0624198000, 0.1103520000, 0.2635191000, 0.7553907000", \
+                        "0.0407368000, 0.0422060000, 0.0468244000, 0.0619554000, 0.1100016000, 0.2629304000, 0.7553205000", \
+                        "0.0401809000, 0.0414066000, 0.0461418000, 0.0612750000, 0.1094861000, 0.2626527000, 0.7544066000", \
+                        "0.0395336000, 0.0410489000, 0.0457144000, 0.0608579000, 0.1089848000, 0.2622943000, 0.7549591000", \
+                        "0.0410133000, 0.0423720000, 0.0469077000, 0.0618973000, 0.1093757000, 0.2614872000, 0.7540529000", \
+                        "0.0460144000, 0.0473564000, 0.0518006000, 0.0649277000, 0.1121012000, 0.2640818000, 0.7541260000");
+                }
+            }
+            max_capacitance : 0.1583960000;
+            max_transition : 1.9116670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1369503000, 0.1429765000, 0.1624249000, 0.2230184000, 0.4067871000, 0.9860021000, 2.8390628000", \
+                        "0.1428452000, 0.1491744000, 0.1684600000, 0.2282942000, 0.4122367000, 0.9918821000, 2.8449454000", \
+                        "0.1604563000, 0.1668448000, 0.1864354000, 0.2467770000, 0.4315736000, 1.0113050000, 2.8643936000", \
+                        "0.2150149000, 0.2213832000, 0.2412392000, 0.3018280000, 0.4871819000, 1.0679705000, 2.9198564000", \
+                        "0.3535865000, 0.3620515000, 0.3876705000, 0.4588889000, 0.6458387000, 1.2264022000, 3.0796152000", \
+                        "0.5757324000, 0.5902671000, 0.6327024000, 0.7533890000, 1.0442865000, 1.6801756000, 3.5317862000", \
+                        "0.8659037000, 0.8915305000, 0.9690262000, 1.1815184000, 1.6974140000, 2.7492650000, 4.8212088000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.2060356000, 0.2153942000, 0.2449261000, 0.3372512000, 0.6301682000, 1.5677496000, 4.5870321000", \
+                        "0.2146548000, 0.2240879000, 0.2535566000, 0.3465756000, 0.6402960000, 1.5793354000, 4.5976001000", \
+                        "0.2372837000, 0.2470153000, 0.2770664000, 0.3712373000, 0.6662502000, 1.6066187000, 4.6253930000", \
+                        "0.3040165000, 0.3130365000, 0.3436743000, 0.4379734000, 0.7350383000, 1.6766745000, 4.6977315000", \
+                        "0.4711128000, 0.4817645000, 0.5137993000, 0.6096720000, 0.9060804000, 1.8499434000, 4.8715205000", \
+                        "0.8043636000, 0.8220832000, 0.8703895000, 1.0087697000, 1.3616325000, 2.3137163000, 5.3406422000", \
+                        "1.4011651000, 1.4333945000, 1.5297520000, 1.7823466000, 2.3579940000, 3.5810266000, 6.6484516000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1121909000, 0.1198374000, 0.1437913000, 0.2209412000, 0.4625606000, 1.2410163000, 3.7374650000", \
+                        "0.1123895000, 0.1197663000, 0.1438121000, 0.2202005000, 0.4629576000, 1.2403789000, 3.7358823000", \
+                        "0.1121208000, 0.1196666000, 0.1437333000, 0.2204184000, 0.4627602000, 1.2404949000, 3.7345451000", \
+                        "0.1124846000, 0.1197847000, 0.1431768000, 0.2195171000, 0.4626859000, 1.2407922000, 3.7407086000", \
+                        "0.1591579000, 0.1674162000, 0.1920321000, 0.2598619000, 0.4744848000, 1.2388751000, 3.7412820000", \
+                        "0.2855010000, 0.2983066000, 0.3363571000, 0.4348613000, 0.6659834000, 1.3026412000, 3.7382559000", \
+                        "0.5540149000, 0.5775148000, 0.6436077000, 0.8156489000, 1.1757129000, 1.9269237000, 3.9115857000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1266909000, 0.1385310000, 0.1766355000, 0.2994032000, 0.6905957000, 1.9482431000, 5.9989493000", \
+                        "0.1265611000, 0.1385236000, 0.1767536000, 0.2986818000, 0.6904535000, 1.9488184000, 5.9878613000", \
+                        "0.1267572000, 0.1386295000, 0.1766513000, 0.2993613000, 0.6902838000, 1.9491035000, 5.9953282000", \
+                        "0.1267244000, 0.1387439000, 0.1769267000, 0.2988171000, 0.6907166000, 1.9456008000, 5.9949015000", \
+                        "0.1492639000, 0.1598700000, 0.1935292000, 0.3077900000, 0.6914085000, 1.9515336000, 5.9893530000", \
+                        "0.2460833000, 0.2593971000, 0.3000805000, 0.4231868000, 0.7641469000, 1.9498501000, 6.0096239000", \
+                        "0.5240506000, 0.5431992000, 0.6036821000, 0.7654277000, 1.1661009000, 2.2309186000, 6.0029866000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1608784000, 0.1672596000, 0.1859850000, 0.2467248000, 0.4303292000, 1.0096892000, 2.8632885000", \
+                        "0.1674946000, 0.1737181000, 0.1935707000, 0.2532985000, 0.4371365000, 1.0165926000, 2.8695371000", \
+                        "0.1871518000, 0.1934875000, 0.2124715000, 0.2737582000, 0.4570592000, 1.0367128000, 2.8907632000", \
+                        "0.2382425000, 0.2443502000, 0.2640648000, 0.3245692000, 0.5094006000, 1.0897258000, 2.9431024000", \
+                        "0.3668521000, 0.3748869000, 0.3980644000, 0.4657998000, 0.6559828000, 1.2377335000, 3.0930236000", \
+                        "0.6062563000, 0.6187479000, 0.6565063000, 0.7609686000, 1.0229206000, 1.6539352000, 3.5119112000", \
+                        "0.9468698000, 0.9699108000, 1.0397432000, 1.2333162000, 1.6931208000, 2.6378202000, 4.6825295000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.2649444000, 0.2745306000, 0.3061133000, 0.4034697000, 0.7124697000, 1.7003859000, 4.8779536000", \
+                        "0.2730009000, 0.2828696000, 0.3126404000, 0.4118591000, 0.7208015000, 1.7099009000, 4.8865303000", \
+                        "0.2955221000, 0.3059873000, 0.3366275000, 0.4359569000, 0.7456291000, 1.7343391000, 4.9120406000", \
+                        "0.3621306000, 0.3719467000, 0.4040296000, 0.5032746000, 0.8143670000, 1.8044024000, 4.9833222000", \
+                        "0.5333102000, 0.5435584000, 0.5751979000, 0.6732246000, 0.9849428000, 1.9771926000, 5.1561622000", \
+                        "0.8962014000, 0.9107253000, 0.9538430000, 1.0853155000, 1.4406673000, 2.4356486000, 5.6173621000", \
+                        "1.5735990000, 1.5972743000, 1.6819389000, 1.9130879000, 2.4615021000, 3.6959249000, 6.9075590000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1122113000, 0.1201777000, 0.1438975000, 0.2202197000, 0.4627793000, 1.2399562000, 3.7351437000", \
+                        "0.1120137000, 0.1194972000, 0.1442005000, 0.2201628000, 0.4626310000, 1.2412286000, 3.7395660000", \
+                        "0.1119567000, 0.1195777000, 0.1438448000, 0.2201265000, 0.4630729000, 1.2405289000, 3.7374308000", \
+                        "0.1124407000, 0.1199555000, 0.1435359000, 0.2197650000, 0.4621077000, 1.2409933000, 3.7377212000", \
+                        "0.1439608000, 0.1517136000, 0.1750014000, 0.2457773000, 0.4698110000, 1.2406289000, 3.7356473000", \
+                        "0.2509099000, 0.2608358000, 0.2904102000, 0.3768904000, 0.6010510000, 1.2821990000, 3.7366372000", \
+                        "0.5027935000, 0.5201879000, 0.5709921000, 0.7043055000, 1.0137130000, 1.7124525000, 3.8649963000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1670018000, 0.1797580000, 0.2214486000, 0.3506762000, 0.7638990000, 2.0883975000, 6.3434613000", \
+                        "0.1678967000, 0.1806281000, 0.2209789000, 0.3508697000, 0.7642033000, 2.0893633000, 6.3550170000", \
+                        "0.1672857000, 0.1806247000, 0.2211847000, 0.3509054000, 0.7638426000, 2.0865194000, 6.3487344000", \
+                        "0.1675188000, 0.1799173000, 0.2212370000, 0.3508804000, 0.7639401000, 2.0868642000, 6.3480159000", \
+                        "0.1804974000, 0.1929419000, 0.2309743000, 0.3550355000, 0.7636852000, 2.0861770000, 6.3468928000", \
+                        "0.2763012000, 0.2902663000, 0.3328328000, 0.4592564000, 0.8227441000, 2.0889079000, 6.3398895000", \
+                        "0.5573208000, 0.5780224000, 0.6332779000, 0.7958359000, 1.2135433000, 2.3301203000, 6.3536723000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1684451000, 0.1746592000, 0.1943771000, 0.2542754000, 0.4379133000, 1.0174726000, 2.8697764000", \
+                        "0.1761632000, 0.1824263000, 0.2017905000, 0.2614360000, 0.4460856000, 1.0254187000, 2.8775473000", \
+                        "0.1958188000, 0.2019037000, 0.2215601000, 0.2820932000, 0.4657005000, 1.0455428000, 2.8981246000", \
+                        "0.2427784000, 0.2489731000, 0.2688330000, 0.3294495000, 0.5142006000, 1.0940215000, 2.9475675000", \
+                        "0.3537962000, 0.3609345000, 0.3836277000, 0.4494735000, 0.6373921000, 1.2185164000, 3.0725167000", \
+                        "0.5772260000, 0.5879852000, 0.6184117000, 0.7071918000, 0.9425062000, 1.5615824000, 3.4184315000", \
+                        "0.9409383000, 0.9596368000, 1.0161261000, 1.1755784000, 1.5514845000, 2.3793001000, 4.3884016000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.2821822000, 0.2914480000, 0.3212934000, 0.4172689000, 0.7166882000, 1.6705103000, 4.7270134000", \
+                        "0.2896097000, 0.2986107000, 0.3283978000, 0.4245927000, 0.7239014000, 1.6775930000, 4.7333080000", \
+                        "0.3123204000, 0.3223047000, 0.3527906000, 0.4493002000, 0.7487458000, 1.7020756000, 4.7589954000", \
+                        "0.3797235000, 0.3889308000, 0.4190582000, 0.5150258000, 0.8168671000, 1.7702519000, 4.8271578000", \
+                        "0.5427768000, 0.5524461000, 0.5834458000, 0.6795370000, 0.9794817000, 1.9345050000, 4.9911231000", \
+                        "0.8878283000, 0.9014843000, 0.9414199000, 1.0670024000, 1.4065961000, 2.3665667000, 5.4264340000", \
+                        "1.5262838000, 1.5520860000, 1.6251437000, 1.8285675000, 2.3458793000, 3.5384684000, 6.6370500000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1119706000, 0.1195239000, 0.1442428000, 0.2201887000, 0.4630414000, 1.2412292000, 3.7399071000", \
+                        "0.1121359000, 0.1196909000, 0.1438063000, 0.2204052000, 0.4625141000, 1.2409447000, 3.7401331000", \
+                        "0.1122466000, 0.1198599000, 0.1441764000, 0.2201940000, 0.4628999000, 1.2411788000, 3.7379673000", \
+                        "0.1123255000, 0.1198641000, 0.1434739000, 0.2202261000, 0.4624337000, 1.2411288000, 3.7357122000", \
+                        "0.1329467000, 0.1404844000, 0.1656470000, 0.2378056000, 0.4686386000, 1.2407252000, 3.7371350000", \
+                        "0.2068014000, 0.2153990000, 0.2414223000, 0.3234375000, 0.5582703000, 1.2736611000, 3.7366155000", \
+                        "0.4289915000, 0.4430779000, 0.4831408000, 0.5895282000, 0.8566245000, 1.5788337000, 3.8440158000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1876353000, 0.2004608000, 0.2397341000, 0.3658991000, 0.7659239000, 2.0425355000, 6.1479088000", \
+                        "0.1879988000, 0.2001102000, 0.2399492000, 0.3658180000, 0.7658584000, 2.0424697000, 6.1501386000", \
+                        "0.1876070000, 0.1999609000, 0.2398494000, 0.3662717000, 0.7650416000, 2.0435755000, 6.1619843000", \
+                        "0.1879374000, 0.2000237000, 0.2397803000, 0.3655803000, 0.7633176000, 2.0426700000, 6.1454415000", \
+                        "0.1996676000, 0.2110398000, 0.2489161000, 0.3691976000, 0.7648234000, 2.0411131000, 6.1500161000", \
+                        "0.2911481000, 0.3045421000, 0.3458657000, 0.4689312000, 0.8259586000, 2.0458453000, 6.1668297000", \
+                        "0.5646664000, 0.5815455000, 0.6357888000, 0.7902993000, 1.1977691000, 2.3050324000, 6.1625491000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.0449604000, 0.0486444000, 0.0603253000, 0.0962779000, 0.2105508000, 0.5769374000, 1.7594172000", \
+                        "0.0510576000, 0.0548860000, 0.0666343000, 0.1031206000, 0.2177534000, 0.5846434000, 1.7673903000", \
+                        "0.0707248000, 0.0747565000, 0.0869559000, 0.1242724000, 0.2390504000, 0.6064877000, 1.7892753000", \
+                        "0.1140471000, 0.1203729000, 0.1386661000, 0.1842256000, 0.2980653000, 0.6665771000, 1.8521988000", \
+                        "0.1781003000, 0.1900630000, 0.2232746000, 0.3045427000, 0.4690178000, 0.8392795000, 2.0224666000", \
+                        "0.2556271000, 0.2781769000, 0.3416741000, 0.4896760000, 0.7814404000, 1.3152746000, 2.5035447000", \
+                        "0.2842954000, 0.3259766000, 0.4414539000, 0.7187846000, 1.2672976000, 2.2310696000, 3.8836241000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1797185000, 0.1889992000, 0.2209161000, 0.3209996000, 0.6277766000, 1.6015760000, 4.7268940000", \
+                        "0.1849913000, 0.1951784000, 0.2268211000, 0.3268026000, 0.6347208000, 1.6091448000, 4.7359432000", \
+                        "0.2028356000, 0.2136124000, 0.2458553000, 0.3456963000, 0.6543306000, 1.6300926000, 4.7571795000", \
+                        "0.2626771000, 0.2730051000, 0.3047793000, 0.4035955000, 0.7129829000, 1.6905846000, 4.8194737000", \
+                        "0.4392823000, 0.4509896000, 0.4861039000, 0.5834176000, 0.8899632000, 1.8695248000, 4.9995390000", \
+                        "0.7779843000, 0.7973865000, 0.8577745000, 1.0251482000, 1.4068793000, 2.3724591000, 5.5073892000", \
+                        "1.3778937000, 1.4134380000, 1.5194657000, 1.8117327000, 2.4934050000, 3.8326404000, 6.9578703000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.0550940000, 0.0599357000, 0.0754251000, 0.1241576000, 0.2777641000, 0.7719014000, 2.3674742000", \
+                        "0.0551427000, 0.0599643000, 0.0754452000, 0.1241640000, 0.2777022000, 0.7717064000, 2.3692177000", \
+                        "0.0568421000, 0.0610565000, 0.0754868000, 0.1241872000, 0.2777213000, 0.7724043000, 2.3686064000", \
+                        "0.0861941000, 0.0896752000, 0.0999062000, 0.1355313000, 0.2779274000, 0.7716665000, 2.3705045000", \
+                        "0.1621398000, 0.1661570000, 0.1798095000, 0.2192965000, 0.3306777000, 0.7761634000, 2.3696574000", \
+                        "0.3311192000, 0.3369383000, 0.3561943000, 0.4147498000, 0.5686930000, 0.9308660000, 2.3717432000", \
+                        "0.6790525000, 0.6929002000, 0.7301802000, 0.8344898000, 1.0797897000, 1.5901382000, 2.7620908000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1599189000, 0.1720471000, 0.2114162000, 0.3381871000, 0.7425472000, 2.0420574000, 6.2102847000", \
+                        "0.1596869000, 0.1721844000, 0.2117397000, 0.3381350000, 0.7429168000, 2.0399409000, 6.2188708000", \
+                        "0.1595456000, 0.1718877000, 0.2116670000, 0.3381093000, 0.7428750000, 2.0426574000, 6.2197634000", \
+                        "0.1566691000, 0.1691154000, 0.2102791000, 0.3376965000, 0.7427172000, 2.0406328000, 6.2231584000", \
+                        "0.2063198000, 0.2163187000, 0.2471067000, 0.3546787000, 0.7416026000, 2.0407382000, 6.2219603000", \
+                        "0.3588686000, 0.3769845000, 0.4265145000, 0.5536491000, 0.8633135000, 2.0463833000, 6.2200254000", \
+                        "0.6756074000, 0.7066143000, 0.7921492000, 1.0205117000, 1.4846243000, 2.4463536000, 6.2271397000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.0555406000, 0.0591994000, 0.0705173000, 0.1063001000, 0.2209222000, 0.5870350000, 1.7701877000", \
+                        "0.0632118000, 0.0668706000, 0.0788339000, 0.1148879000, 0.2300015000, 0.5963154000, 1.7787577000", \
+                        "0.0828303000, 0.0867072000, 0.0987807000, 0.1361268000, 0.2511285000, 0.6183027000, 1.8013211000", \
+                        "0.1256790000, 0.1309937000, 0.1463197000, 0.1878849000, 0.3046275000, 0.6729008000, 1.8570988000", \
+                        "0.2032125000, 0.2118254000, 0.2369933000, 0.3015271000, 0.4490023000, 0.8235734000, 2.0069351000", \
+                        "0.3134262000, 0.3305805000, 0.3817197000, 0.5002243000, 0.7451029000, 1.2301585000, 2.4320816000", \
+                        "0.4009210000, 0.4355115000, 0.5375155000, 0.7815450000, 1.2542384000, 2.0652764000, 3.5918177000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.2221458000, 0.2317651000, 0.2611392000, 0.3579399000, 0.6579730000, 1.6109926000, 4.6667825000", \
+                        "0.2265110000, 0.2354322000, 0.2666364000, 0.3622469000, 0.6632760000, 1.6162185000, 4.6738613000", \
+                        "0.2442491000, 0.2535656000, 0.2841003000, 0.3813114000, 0.6819735000, 1.6360050000, 4.6935327000", \
+                        "0.3021213000, 0.3104841000, 0.3421179000, 0.4391663000, 0.7408061000, 1.6959364000, 4.7533235000", \
+                        "0.4801884000, 0.4903649000, 0.5211738000, 0.6162926000, 0.9153692000, 1.8709758000, 4.9300644000", \
+                        "0.8401938000, 0.8577806000, 0.9113582000, 1.0597779000, 1.4152790000, 2.3712619000, 5.4275113000", \
+                        "1.4544383000, 1.4851411000, 1.5794777000, 1.8358542000, 2.4747377000, 3.7832892000, 6.8406969000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.0550235000, 0.0598529000, 0.0753038000, 0.1241033000, 0.2775341000, 0.7719075000, 2.3693494000", \
+                        "0.0549842000, 0.0598562000, 0.0753511000, 0.1240694000, 0.2776111000, 0.7749721000, 2.3679627000", \
+                        "0.0552970000, 0.0600123000, 0.0754007000, 0.1240849000, 0.2775873000, 0.7724722000, 2.3704265000", \
+                        "0.0710391000, 0.0750074000, 0.0871794000, 0.1300370000, 0.2775885000, 0.7725546000, 2.3708475000", \
+                        "0.1208628000, 0.1251666000, 0.1387614000, 0.1805827000, 0.3093102000, 0.7737848000, 2.3691360000", \
+                        "0.2517308000, 0.2572194000, 0.2740304000, 0.3249486000, 0.4644015000, 0.8750743000, 2.3762057000", \
+                        "0.5670018000, 0.5745683000, 0.5993920000, 0.6747536000, 0.8626805000, 1.3220294000, 2.6403439000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
+                    values("0.1880775000, 0.2004482000, 0.2399096000, 0.3653247000, 0.7649686000, 2.0421878000, 6.1489125000", \
+                        "0.1878928000, 0.2004083000, 0.2397542000, 0.3650183000, 0.7649595000, 2.0438422000, 6.1488228000", \
+                        "0.1879135000, 0.2002407000, 0.2396608000, 0.3660330000, 0.7647620000, 2.0436024000, 6.1468981000", \
+                        "0.1866598000, 0.1990864000, 0.2394957000, 0.3656350000, 0.7648383000, 2.0421738000, 6.1432419000", \
+                        "0.2225937000, 0.2329022000, 0.2658476000, 0.3771639000, 0.7637295000, 2.0420383000, 6.1669918000", \
+                        "0.3825987000, 0.3988037000, 0.4463551000, 0.5751237000, 0.8886575000, 2.0471598000, 6.1498957000", \
+                        "0.7044373000, 0.7329782000, 0.8167644000, 1.0330142000, 1.4954179000, 2.4743818000, 6.1621535000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41o_1 */
+
+/* removed sky130_fd_sc_hd__a41o_2 */
+
+    cell ("sky130_fd_sc_hd__a41o_4") {
+        leakage_power () {
+            value : 7.1537034000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.881936200;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536652000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 10.897597500;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536701000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.898838300;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1535857000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 10.950152800;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536714000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.900487600;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536053000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 10.952107700;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536035000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.956140000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1533730000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 12.282400000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536479000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.900354400;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1535697000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 10.949938700;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1535777000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.953858300;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1533295000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 11.973488000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1535680000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 10.953666400;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1533606000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 11.922406200;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1533379000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 11.902727700;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 3.9249000000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 9.0089642000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a41o";
+        cell_leakage_power : 9.0160390000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042850000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0072544000, 0.0072189000, 0.0071848000, 0.0071502000, 0.0071186000, 0.0070958000, 0.0070975000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005258000, -0.005304800, -0.005370700, -0.005388300, -0.005371800, -0.005259000, -0.004875000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043790000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042880000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041600000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0064486000, 0.0064085000, 0.0063618000, 0.0063368000, 0.0063328000, 0.0063877000, 0.0066088000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006391800, -0.006430200, -0.006472500, -0.006508600, -0.006544100, -0.006578200, -0.006607900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044160000;
+        }
+        pin ("A3") {
+            capacitance : 0.0044350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042940000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065063000, 0.0064662000, 0.0064193000, 0.0063834000, 0.0063483000, 0.0063157000, 0.0062899000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006484300, -0.006522300, -0.006563500, -0.006599600, -0.006635100, -0.006669000, -0.006698500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045750000;
+        }
+        pin ("A4") {
+            capacitance : 0.0044420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065291000, 0.0064895000, 0.0064439000, 0.0064078000, 0.0063721000, 0.0063380000, 0.0063082000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006503800, -0.006541700, -0.006582900, -0.006619300, -0.006655500, -0.006691500, -0.006726700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046390000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0048950000, 0.0048373000, 0.0047035000, 0.0047317000, 0.0048399000, 0.0051743000, 0.0061453000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002972400, -0.003001700, -0.003017300, -0.003054200, -0.003091300, -0.003128900, -0.003168200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048660000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3&A4) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0231288000, 0.0216359000, 0.0166465000, -0.002959800, -0.084390100, -0.391356200, -1.518251800", \
+                        "0.0230807000, 0.0215949000, 0.0166352000, -0.002958600, -0.084274900, -0.391274300, -1.518181000", \
+                        "0.0230618000, 0.0214860000, 0.0164763000, -0.003080800, -0.084403600, -0.391358100, -1.518228000", \
+                        "0.0226137000, 0.0212594000, 0.0161316000, -0.003467900, -0.084779800, -0.391708600, -1.518591400", \
+                        "0.0221130000, 0.0206239000, 0.0155932000, -0.004146700, -0.085521400, -0.392219400, -1.519068800", \
+                        "0.0252250000, 0.0235409000, 0.0175434000, -0.004989300, -0.086190700, -0.392627700, -1.519225300", \
+                        "0.0266008000, 0.0248178000, 0.0185086000, -0.004093700, -0.086981200, -0.393270300, -1.519334000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0279669000, 0.0293863000, 0.0361656000, 0.0604393000, 0.1446510000, 0.4494199000, 1.5664332000", \
+                        "0.0274930000, 0.0292911000, 0.0359606000, 0.0603358000, 0.1447918000, 0.4495103000, 1.5666872000", \
+                        "0.0272832000, 0.0290918000, 0.0358060000, 0.0601631000, 0.1444686000, 0.4495500000, 1.5661485000", \
+                        "0.0268837000, 0.0286918000, 0.0353126000, 0.0597464000, 0.1440110000, 0.4487472000, 1.5659347000", \
+                        "0.0265878000, 0.0283835000, 0.0350304000, 0.0588742000, 0.1432742000, 0.4486031000, 1.5653642000", \
+                        "0.0279245000, 0.0295959000, 0.0358600000, 0.0585285000, 0.1422701000, 0.4472860000, 1.5687998000", \
+                        "0.0304708000, 0.0321820000, 0.0380795000, 0.0609996000, 0.1447627000, 0.4481186000, 1.5633075000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0283194000, 0.0268509000, 0.0217558000, 0.0021037000, -0.078936100, -0.385523500, -1.512098200", \
+                        "0.0282417000, 0.0267253000, 0.0217264000, 0.0021307000, -0.078899000, -0.385517500, -1.512064800", \
+                        "0.0281600000, 0.0266412000, 0.0216002000, 0.0020753000, -0.078947700, -0.385579000, -1.512148400", \
+                        "0.0278803000, 0.0263507000, 0.0213069000, 0.0016603000, -0.079342800, -0.385884400, -1.512477700", \
+                        "0.0272472000, 0.0257231000, 0.0206710000, 0.0010029000, -0.080019300, -0.386449700, -1.512981700", \
+                        "0.0295284000, 0.0278567000, 0.0217569000, -0.000468700, -0.080748400, -0.386940200, -1.513314400", \
+                        "0.0326664000, 0.0308874000, 0.0245375000, 0.0017788000, -0.081533000, -0.387735600, -1.513692500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0287415000, 0.0305542000, 0.0371376000, 0.0615482000, 0.1460410000, 0.4506674000, 1.5674990000", \
+                        "0.0287104000, 0.0305174000, 0.0372156000, 0.0612640000, 0.1457279000, 0.4506220000, 1.5715379000", \
+                        "0.0285091000, 0.0302719000, 0.0370502000, 0.0613076000, 0.1455629000, 0.4503442000, 1.5675226000", \
+                        "0.0281775000, 0.0299830000, 0.0365259000, 0.0608462000, 0.1454519000, 0.4524675000, 1.5684450000", \
+                        "0.0279465000, 0.0297533000, 0.0363837000, 0.0605850000, 0.1447846000, 0.4500564000, 1.5674924000", \
+                        "0.0292586000, 0.0309622000, 0.0372067000, 0.0597867000, 0.1442141000, 0.4488899000, 1.5669492000", \
+                        "0.0307676000, 0.0324261000, 0.0385268000, 0.0613811000, 0.1452852000, 0.4506397000, 1.5638724000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0318121000, 0.0302814000, 0.0252705000, 0.0057176000, -0.075105100, -0.381383000, -1.507690300", \
+                        "0.0318165000, 0.0302601000, 0.0252601000, 0.0056880000, -0.075128400, -0.381419900, -1.507718300", \
+                        "0.0317590000, 0.0302668000, 0.0251524000, 0.0055501000, -0.075281600, -0.381508200, -1.507825800", \
+                        "0.0315441000, 0.0299812000, 0.0249067000, 0.0052769000, -0.075514100, -0.381735100, -1.508066700", \
+                        "0.0310487000, 0.0294937000, 0.0244237000, 0.0047970000, -0.076006700, -0.382129000, -1.508433600", \
+                        "0.0331305000, 0.0315058000, 0.0253858000, 0.0038171000, -0.076531000, -0.382467600, -1.508605900", \
+                        "0.0365734000, 0.0348182000, 0.0284874000, 0.0059451000, -0.077106300, -0.383169800, -1.508796500");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0287660000, 0.0305708000, 0.0372461000, 0.0613822000, 0.1460393000, 0.4528031000, 1.5717564000", \
+                        "0.0287019000, 0.0305133000, 0.0371848000, 0.0611210000, 0.1457173000, 0.4506454000, 1.5716279000", \
+                        "0.0285900000, 0.0303904000, 0.0370420000, 0.0610852000, 0.1454852000, 0.4501828000, 1.5674624000", \
+                        "0.0281646000, 0.0299759000, 0.0365209000, 0.0608301000, 0.1454541000, 0.4524280000, 1.5683805000", \
+                        "0.0279435000, 0.0297229000, 0.0362811000, 0.0604728000, 0.1448710000, 0.4498193000, 1.5668727000", \
+                        "0.0292403000, 0.0309824000, 0.0372977000, 0.0599267000, 0.1446610000, 0.4489175000, 1.5661947000", \
+                        "0.0304239000, 0.0320878000, 0.0384094000, 0.0613384000, 0.1457677000, 0.4498869000, 1.5703034000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0353307000, 0.0337815000, 0.0287363000, 0.0092686000, -0.071239600, -0.377032300, -1.503051400", \
+                        "0.0349869000, 0.0334777000, 0.0285630000, 0.0091295000, -0.071358700, -0.377201500, -1.503175100", \
+                        "0.0350117000, 0.0334670000, 0.0283598000, 0.0089720000, -0.071553400, -0.377336500, -1.503320500", \
+                        "0.0346699000, 0.0331627000, 0.0282647000, 0.0088147000, -0.071677100, -0.377502300, -1.503449800", \
+                        "0.0344315000, 0.0328889000, 0.0278950000, 0.0084287000, -0.072087700, -0.377805200, -1.503702900", \
+                        "0.0371296000, 0.0354413000, 0.0294318000, 0.0074565000, -0.072595200, -0.378129800, -1.503841900", \
+                        "0.0410788000, 0.0393163000, 0.0330016000, 0.0103728000, -0.072695400, -0.378767100, -1.503944400");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0287420000, 0.0305530000, 0.0372082000, 0.0615897000, 0.1459092000, 0.4504716000, 1.5676492000", \
+                        "0.0286974000, 0.0304576000, 0.0371365000, 0.0611709000, 0.1458038000, 0.4506590000, 1.5707408000", \
+                        "0.0285443000, 0.0303641000, 0.0370128000, 0.0610567000, 0.1455077000, 0.4504716000, 1.5673648000", \
+                        "0.0281764000, 0.0299753000, 0.0366164000, 0.0606041000, 0.1451778000, 0.4501278000, 1.5710780000", \
+                        "0.0279045000, 0.0297428000, 0.0362337000, 0.0605605000, 0.1449080000, 0.4501005000, 1.5714387000", \
+                        "0.0294128000, 0.0311384000, 0.0375411000, 0.0601317000, 0.1443892000, 0.4493688000, 1.5660106000", \
+                        "0.0304963000, 0.0322806000, 0.0384436000, 0.0612950000, 0.1460432000, 0.4507566000, 1.5695679000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0321294000, 0.0306130000, 0.0256389000, 0.0062365000, -0.074219500, -0.379962500, -1.505999100", \
+                        "0.0321442000, 0.0306282000, 0.0255825000, 0.0061810000, -0.074298500, -0.380085300, -1.506090100", \
+                        "0.0318385000, 0.0303274000, 0.0252780000, 0.0059251000, -0.074475000, -0.380285700, -1.506174800", \
+                        "0.0314048000, 0.0299099000, 0.0249288000, 0.0054750000, -0.074969200, -0.380723000, -1.506660300", \
+                        "0.0311685000, 0.0296589000, 0.0245748000, 0.0050249000, -0.075525800, -0.381157300, -1.506944400", \
+                        "0.0342248000, 0.0325360000, 0.0263388000, 0.0039375000, -0.076291000, -0.381792700, -1.507400400", \
+                        "0.0393352000, 0.0375523000, 0.0311428000, 0.0081563000, -0.075211100, -0.381333400, -1.506480400");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
+                    values("0.0202475000, 0.0222553000, 0.0293046000, 0.0529897000, 0.1358617000, 0.4408745000, 1.5584544000", \
+                        "0.0202038000, 0.0222128000, 0.0292847000, 0.0529914000, 0.1358977000, 0.4408327000, 1.5594626000", \
+                        "0.0199292000, 0.0219226000, 0.0289172000, 0.0526536000, 0.1356844000, 0.4405730000, 1.5536137000", \
+                        "0.0192920000, 0.0212102000, 0.0280659000, 0.0517065000, 0.1351427000, 0.4400690000, 1.5531558000", \
+                        "0.0187560000, 0.0205710000, 0.0271617000, 0.0509254000, 0.1343243000, 0.4410322000, 1.5527969000", \
+                        "0.0198213000, 0.0215405000, 0.0279002000, 0.0511602000, 0.1341786000, 0.4389367000, 1.5532291000", \
+                        "0.0227194000, 0.0243818000, 0.0305359000, 0.0533239000, 0.1373185000, 0.4423911000, 1.5581356000");
+                }
+            }
+            max_capacitance : 0.3552340000;
+            max_transition : 1.4981770000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3246206000, 0.3328355000, 0.3560787000, 0.4120903000, 0.5427131000, 0.9193518000, 2.2557027000", \
+                        "0.3338314000, 0.3419512000, 0.3652421000, 0.4207633000, 0.5521362000, 0.9290011000, 2.2702433000", \
+                        "0.3585403000, 0.3667011000, 0.3900029000, 0.4453955000, 0.5770571000, 0.9538229000, 2.2893347000", \
+                        "0.4258348000, 0.4339644000, 0.4570466000, 0.5124621000, 0.6442876000, 1.0207272000, 2.3562146000", \
+                        "0.5992122000, 0.6073556000, 0.6305201000, 0.6863348000, 0.8177510000, 1.1943796000, 2.5303906000", \
+                        "0.9837883000, 0.9940114000, 1.0220097000, 1.0874688000, 1.2326505000, 1.6181958000, 2.9558238000", \
+                        "1.6912275000, 1.7061363000, 1.7473026000, 1.8381578000, 2.0182933000, 2.4348723000, 3.7702299000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3280255000, 0.3397487000, 0.3740657000, 0.4588573000, 0.6782778000, 1.3851515000, 3.9421213000", \
+                        "0.3334611000, 0.3454188000, 0.3796679000, 0.4635090000, 0.6832128000, 1.3918759000, 3.9526031000", \
+                        "0.3503158000, 0.3623204000, 0.3962905000, 0.4806061000, 0.7003064000, 1.4084217000, 3.9673753000", \
+                        "0.4026961000, 0.4146375000, 0.4487472000, 0.5316765000, 0.7509313000, 1.4578782000, 4.0150590000", \
+                        "0.5557748000, 0.5676859000, 0.6015937000, 0.6859677000, 0.9053860000, 1.6133361000, 4.1721284000", \
+                        "0.8792490000, 0.8927764000, 0.9305144000, 1.0180049000, 1.2399267000, 1.9518906000, 4.5151127000", \
+                        "1.3640624000, 1.3846398000, 1.4386408000, 1.5530460000, 1.7898880000, 2.4969105000, 5.0558326000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0438942000, 0.0490035000, 0.0644760000, 0.1077100000, 0.2379963000, 0.7183752000, 2.5609206000", \
+                        "0.0441199000, 0.0495407000, 0.0638675000, 0.1079691000, 0.2381930000, 0.7183109000, 2.5601361000", \
+                        "0.0438397000, 0.0495743000, 0.0638939000, 0.1076620000, 0.2377391000, 0.7172501000, 2.5600198000", \
+                        "0.0442596000, 0.0495939000, 0.0639189000, 0.1076508000, 0.2377683000, 0.7183658000, 2.5599312000", \
+                        "0.0444520000, 0.0493607000, 0.0646350000, 0.1078621000, 0.2371676000, 0.7181313000, 2.5606377000", \
+                        "0.0602695000, 0.0661936000, 0.0828974000, 0.1283560000, 0.2563201000, 0.7246912000, 2.5499127000", \
+                        "0.1025442000, 0.1114163000, 0.1314019000, 0.1836833000, 0.3150815000, 0.7566584000, 2.5474653000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0609700000, 0.0685047000, 0.0949329000, 0.1690752000, 0.4220102000, 1.3919668000, 4.9995137000", \
+                        "0.0605067000, 0.0686663000, 0.0941736000, 0.1697156000, 0.4212330000, 1.3952528000, 4.9973532000", \
+                        "0.0604904000, 0.0687130000, 0.0949770000, 0.1691673000, 0.4214416000, 1.3941038000, 5.0015507000", \
+                        "0.0605258000, 0.0686610000, 0.0940623000, 0.1688678000, 0.4218206000, 1.3920634000, 5.0000044000", \
+                        "0.0600556000, 0.0685557000, 0.0938270000, 0.1696810000, 0.4221677000, 1.3944754000, 5.0016324000", \
+                        "0.0793843000, 0.0864979000, 0.1089846000, 0.1792750000, 0.4283438000, 1.3956700000, 5.0013358000", \
+                        "0.1279340000, 0.1386326000, 0.1651489000, 0.2271291000, 0.4489959000, 1.3985196000, 5.0061884000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3778375000, 0.3863431000, 0.4102295000, 0.4675423000, 0.6014767000, 0.9811755000, 2.3160720000", \
+                        "0.3869728000, 0.3954576000, 0.4190451000, 0.4764270000, 0.6105780000, 0.9898700000, 2.3301567000", \
+                        "0.4115273000, 0.4200162000, 0.4436350000, 0.5010486000, 0.6351768000, 1.0150956000, 2.3505717000", \
+                        "0.4791899000, 0.4876893000, 0.5115819000, 0.5689446000, 0.7029610000, 1.0824536000, 2.4212832000", \
+                        "0.6533105000, 0.6617876000, 0.6856926000, 0.7429839000, 0.8770540000, 1.2564862000, 2.5941842000", \
+                        "1.0626805000, 1.0727916000, 1.1004997000, 1.1651849000, 1.3095935000, 1.6961761000, 3.0311464000", \
+                        "1.8450181000, 1.8592448000, 1.8981794000, 1.9854269000, 2.1628523000, 2.5756242000, 3.9142405000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3667683000, 0.3787310000, 0.4125411000, 0.4973721000, 0.7170980000, 1.4258799000, 3.9870076000", \
+                        "0.3724907000, 0.3844616000, 0.4189969000, 0.5032330000, 0.7226909000, 1.4318392000, 3.9930534000", \
+                        "0.3907459000, 0.4026869000, 0.4367606000, 0.5216098000, 0.7411431000, 1.4481005000, 4.0036507000", \
+                        "0.4419723000, 0.4539544000, 0.4879577000, 0.5728373000, 0.7924357000, 1.5012684000, 4.0633208000", \
+                        "0.5864140000, 0.5981450000, 0.6324358000, 0.7169045000, 0.9362867000, 1.6446783000, 4.2053738000", \
+                        "0.9101603000, 0.9241085000, 0.9626797000, 1.0532466000, 1.2780993000, 1.9892852000, 4.5504027000", \
+                        "1.4406023000, 1.4603843000, 1.5139888000, 1.6280407000, 1.8723270000, 2.5859983000, 5.1439462000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0466738000, 0.0518195000, 0.0675104000, 0.1114381000, 0.2421515000, 0.7211329000, 2.5623754000", \
+                        "0.0464819000, 0.0517612000, 0.0673589000, 0.1110001000, 0.2418593000, 0.7211984000, 2.5600273000", \
+                        "0.0464879000, 0.0517377000, 0.0680434000, 0.1115923000, 0.2414093000, 0.7201393000, 2.5599549000", \
+                        "0.0468139000, 0.0518528000, 0.0680114000, 0.1114610000, 0.2420572000, 0.7213583000, 2.5517088000", \
+                        "0.0467233000, 0.0520490000, 0.0670357000, 0.1111657000, 0.2414928000, 0.7208016000, 2.5553979000", \
+                        "0.0594876000, 0.0652775000, 0.0815581000, 0.1268779000, 0.2559450000, 0.7248522000, 2.5597424000", \
+                        "0.0974742000, 0.1046428000, 0.1248958000, 0.1757594000, 0.3075808000, 0.7540998000, 2.5540061000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0605346000, 0.0686516000, 0.0953487000, 0.1687719000, 0.4208491000, 1.3951276000, 4.9934488000", \
+                        "0.0607385000, 0.0688732000, 0.0942080000, 0.1689819000, 0.4218265000, 1.3945541000, 5.0007567000", \
+                        "0.0609802000, 0.0693594000, 0.0951548000, 0.1691411000, 0.4220161000, 1.3926277000, 4.9994422000", \
+                        "0.0603916000, 0.0685373000, 0.0952282000, 0.1688207000, 0.4209002000, 1.3944875000, 4.9943032000", \
+                        "0.0616949000, 0.0695501000, 0.0950952000, 0.1690200000, 0.4215930000, 1.3963597000, 4.9993241000", \
+                        "0.0753061000, 0.0836022000, 0.1087142000, 0.1825099000, 0.4290690000, 1.3960958000, 4.9981952000", \
+                        "0.1175379000, 0.1274631000, 0.1567404000, 0.2270933000, 0.4529457000, 1.4022826000, 5.0011835000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.4000077000, 0.4085625000, 0.4326813000, 0.4898290000, 0.6242329000, 1.0052441000, 2.3423161000", \
+                        "0.4085539000, 0.4171010000, 0.4412082000, 0.4984976000, 0.6329754000, 1.0140101000, 2.3503786000", \
+                        "0.4331445000, 0.4417255000, 0.4658443000, 0.5231933000, 0.6576378000, 1.0381276000, 2.3773363000", \
+                        "0.5015942000, 0.5101533000, 0.5341806000, 0.5916838000, 0.7261748000, 1.1065853000, 2.4476599000", \
+                        "0.6759204000, 0.6844586000, 0.7084976000, 0.7658992000, 0.9002599000, 1.2813008000, 2.6164793000", \
+                        "1.0936898000, 1.1030455000, 1.1304955000, 1.1949967000, 1.3372170000, 1.7228821000, 3.0635581000", \
+                        "1.9053206000, 1.9191781000, 1.9565540000, 2.0402600000, 2.2129871000, 2.6232390000, 3.9651566000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3863439000, 0.3983231000, 0.4325502000, 0.5172084000, 0.7367018000, 1.4456413000, 4.0078945000", \
+                        "0.3925555000, 0.4045304000, 0.4390955000, 0.5232713000, 0.7428253000, 1.4519838000, 4.0134172000", \
+                        "0.4114716000, 0.4234282000, 0.4576628000, 0.5423363000, 0.7619035000, 1.4695594000, 4.0219761000", \
+                        "0.4592896000, 0.4712684000, 0.5052776000, 0.5901409000, 0.8097452000, 1.5186055000, 4.0806176000", \
+                        "0.5838927000, 0.5958306000, 0.6297406000, 0.7147434000, 0.9339824000, 1.6406235000, 4.1958024000", \
+                        "0.8666224000, 0.8803792000, 0.9188043000, 1.0096656000, 1.2355621000, 1.9453410000, 4.5009969000", \
+                        "1.3731245000, 1.3905390000, 1.4415693000, 1.5545913000, 1.8007169000, 2.5153606000, 5.0707305000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0477978000, 0.0531553000, 0.0676162000, 0.1125571000, 0.2419946000, 0.7215474000, 2.5613645000", \
+                        "0.0476973000, 0.0529229000, 0.0676518000, 0.1123463000, 0.2427647000, 0.7219529000, 2.5605276000", \
+                        "0.0473013000, 0.0523990000, 0.0687121000, 0.1123039000, 0.2426780000, 0.7225925000, 2.5649496000", \
+                        "0.0482057000, 0.0525069000, 0.0688775000, 0.1120020000, 0.2423778000, 0.7220358000, 2.5521232000", \
+                        "0.0477873000, 0.0530900000, 0.0680957000, 0.1120749000, 0.2416617000, 0.7227255000, 2.5551767000", \
+                        "0.0582860000, 0.0641853000, 0.0800448000, 0.1256786000, 0.2549277000, 0.7263262000, 2.5525950000", \
+                        "0.0923874000, 0.1006208000, 0.1198866000, 0.1686294000, 0.3007299000, 0.7505295000, 2.5512887000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0604238000, 0.0685766000, 0.0938053000, 0.1695288000, 0.4211153000, 1.3931120000, 4.9978079000", \
+                        "0.0607436000, 0.0688745000, 0.0940909000, 0.1689528000, 0.4218621000, 1.3945354000, 5.0014419000", \
+                        "0.0605538000, 0.0686637000, 0.0942525000, 0.1693528000, 0.4222174000, 1.3909659000, 4.9957500000", \
+                        "0.0604835000, 0.0686176000, 0.0951557000, 0.1690948000, 0.4209734000, 1.3939636000, 4.9944471000", \
+                        "0.0610638000, 0.0692423000, 0.0949432000, 0.1697677000, 0.4219025000, 1.3932784000, 4.9966489000", \
+                        "0.0715451000, 0.0800877000, 0.1064478000, 0.1816814000, 0.4289789000, 1.3946050000, 4.9932423000", \
+                        "0.1068114000, 0.1184220000, 0.1473374000, 0.2237424000, 0.4536933000, 1.3990809000, 4.9960240000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.4255477000, 0.4343225000, 0.4588925000, 0.5174616000, 0.6536515000, 1.0371676000, 2.3807782000", \
+                        "0.4329307000, 0.4416912000, 0.4657152000, 0.5243143000, 0.6605717000, 1.0442187000, 2.3837632000", \
+                        "0.4563832000, 0.4651859000, 0.4897615000, 0.5483682000, 0.6844816000, 1.0677720000, 2.4112161000", \
+                        "0.5228494000, 0.5316020000, 0.5556777000, 0.6143011000, 0.7506211000, 1.1340971000, 2.4778564000", \
+                        "0.6872571000, 0.6960425000, 0.7205836000, 0.7789715000, 0.9151337000, 1.2990928000, 2.6357401000", \
+                        "1.0775560000, 1.0875364000, 1.1150194000, 1.1792431000, 1.3234163000, 1.7115410000, 3.0548513000", \
+                        "1.8174675000, 1.8308703000, 1.8677482000, 1.9503394000, 2.1209838000, 2.5314044000, 3.8778185000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3960663000, 0.4080488000, 0.4418533000, 0.5267368000, 0.7463637000, 1.4541072000, 4.0120809000", \
+                        "0.4032630000, 0.4152398000, 0.4493390000, 0.5341477000, 0.7535988000, 1.4628339000, 4.0252708000", \
+                        "0.4231168000, 0.4351260000, 0.4693824000, 0.5539242000, 0.7735114000, 1.4798158000, 4.0338533000", \
+                        "0.4705618000, 0.4825148000, 0.5167455000, 0.6012923000, 0.8208404000, 1.5299105000, 4.0911314000", \
+                        "0.5858485000, 0.5979860000, 0.6318046000, 0.7165998000, 0.9358210000, 1.6436353000, 4.1993102000", \
+                        "0.8370207000, 0.8505255000, 0.8879189000, 0.9792231000, 1.2047848000, 1.9154256000, 4.4748925000", \
+                        "1.3135925000, 1.3306062000, 1.3779818000, 1.4866148000, 1.7320301000, 2.4477425000, 5.0009237000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0494694000, 0.0547293000, 0.0708673000, 0.1142640000, 0.2453051000, 0.7255099000, 2.5645572000", \
+                        "0.0491275000, 0.0544921000, 0.0700703000, 0.1142202000, 0.2450482000, 0.7259633000, 2.5637956000", \
+                        "0.0492735000, 0.0545852000, 0.0701797000, 0.1143674000, 0.2453614000, 0.7262655000, 2.5635831000", \
+                        "0.0491318000, 0.0545279000, 0.0702342000, 0.1141641000, 0.2452769000, 0.7262058000, 2.5636839000", \
+                        "0.0493661000, 0.0541687000, 0.0710467000, 0.1143327000, 0.2452742000, 0.7256850000, 2.5602662000", \
+                        "0.0596801000, 0.0644303000, 0.0808703000, 0.1263684000, 0.2555209000, 0.7287811000, 2.5574294000", \
+                        "0.0906485000, 0.0988380000, 0.1179290000, 0.1659968000, 0.2991826000, 0.7515548000, 2.5583582000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0605746000, 0.0686360000, 0.0953688000, 0.1688778000, 0.4218042000, 1.3917146000, 5.0021867000", \
+                        "0.0604165000, 0.0686940000, 0.0947315000, 0.1694620000, 0.4215588000, 1.3944131000, 5.0025921000", \
+                        "0.0605780000, 0.0687993000, 0.0941678000, 0.1693810000, 0.4222321000, 1.3934235000, 4.9947366000", \
+                        "0.0606939000, 0.0688591000, 0.0939245000, 0.1688367000, 0.4218463000, 1.3944875000, 5.0012576000", \
+                        "0.0608778000, 0.0694659000, 0.0948095000, 0.1690722000, 0.4216353000, 1.3944433000, 4.9957123000", \
+                        "0.0696661000, 0.0786567000, 0.1059641000, 0.1811486000, 0.4285875000, 1.3969403000, 5.0007825000", \
+                        "0.0954061000, 0.1058596000, 0.1353902000, 0.2121910000, 0.4526501000, 1.3995994000, 4.9983226000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.3702489000, 0.3789869000, 0.4036406000, 0.4622138000, 0.5982679000, 0.9813703000, 2.3194284000", \
+                        "0.3758435000, 0.3846056000, 0.4092896000, 0.4677328000, 0.6038486000, 0.9874029000, 2.3269440000", \
+                        "0.3946750000, 0.4034373000, 0.4279676000, 0.4860755000, 0.6224228000, 1.0059638000, 2.3496494000", \
+                        "0.4507453000, 0.4595344000, 0.4848026000, 0.5433339000, 0.6797057000, 1.0629377000, 2.4054445000", \
+                        "0.6281762000, 0.6370264000, 0.6615411000, 0.7200979000, 0.8560982000, 1.2393782000, 2.5814637000", \
+                        "1.0571354000, 1.0684620000, 1.0965636000, 1.1583255000, 1.2996344000, 1.6877895000, 3.0324250000", \
+                        "1.7872618000, 1.8029526000, 1.8449204000, 1.9321442000, 2.0957196000, 2.4941604000, 3.8412153000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.1017054000, 0.1093032000, 0.1330035000, 0.2017608000, 0.4016305000, 1.1000323000, 3.6522378000", \
+                        "0.1098231000, 0.1176808000, 0.1413516000, 0.2102309000, 0.4101542000, 1.1084271000, 3.6761527000", \
+                        "0.1337252000, 0.1412984000, 0.1648362000, 0.2338038000, 0.4340233000, 1.1300356000, 3.6767658000", \
+                        "0.1978000000, 0.2053494000, 0.2287439000, 0.2978128000, 0.4986295000, 1.1952135000, 3.7419410000", \
+                        "0.3219608000, 0.3319615000, 0.3607440000, 0.4367268000, 0.6415133000, 1.3415061000, 3.8864667000", \
+                        "0.5242374000, 0.5400150000, 0.5840436000, 0.6856025000, 0.9072757000, 1.6055751000, 4.1532937000", \
+                        "0.8061023000, 0.8305055000, 0.9022513000, 1.0689812000, 1.3571917000, 2.0645416000, 4.6110427000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0492827000, 0.0549900000, 0.0695848000, 0.1137190000, 0.2453656000, 0.7248892000, 2.5585840000", \
+                        "0.0490028000, 0.0542756000, 0.0708875000, 0.1143616000, 0.2453131000, 0.7250565000, 2.5642211000", \
+                        "0.0489989000, 0.0543841000, 0.0696735000, 0.1140783000, 0.2453643000, 0.7256765000, 2.5579427000", \
+                        "0.0491504000, 0.0543402000, 0.0699074000, 0.1144636000, 0.2454252000, 0.7256048000, 2.5671434000", \
+                        "0.0488035000, 0.0545799000, 0.0695447000, 0.1137060000, 0.2449354000, 0.7249275000, 2.5669360000", \
+                        "0.0676852000, 0.0732994000, 0.0859332000, 0.1265283000, 0.2555711000, 0.7284724000, 2.5642453000", \
+                        "0.1140676000, 0.1213214000, 0.1392733000, 0.1791645000, 0.2928064000, 0.7461489000, 2.5633504000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
+                    values("0.0317312000, 0.0386787000, 0.0623463000, 0.1391970000, 0.3944297000, 1.3802350000, 4.9794369000", \
+                        "0.0317936000, 0.0386463000, 0.0623184000, 0.1391806000, 0.3944170000, 1.3818908000, 4.9898667000", \
+                        "0.0318032000, 0.0386708000, 0.0624131000, 0.1391891000, 0.3946863000, 1.3789023000, 4.9790843000", \
+                        "0.0334524000, 0.0401914000, 0.0633438000, 0.1398119000, 0.3952091000, 1.3789635000, 4.9790931000", \
+                        "0.0475981000, 0.0546012000, 0.0775946000, 0.1507296000, 0.3978152000, 1.3794530000, 4.9839413000", \
+                        "0.0811325000, 0.0907060000, 0.1199018000, 0.1909952000, 0.4142583000, 1.3748296000, 4.9856927000", \
+                        "0.1415371000, 0.1584246000, 0.2067871000, 0.3060386000, 0.4802185000, 1.3864078000, 4.9781494000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41oi_1 */
+
+/* removed sky130_fd_sc_hd__a41oi_2 */
+
+    cell ("sky130_fd_sc_hd__a41oi_4") {
+        leakage_power () {
+            value : 5.4069189000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.9658400000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068772000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 3.0049181000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068905000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.0075336000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068017000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 3.1346135000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068767000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.0108740000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4067977000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 3.1387484000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068119000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.1474343000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4065401000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 6.3685022000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4068172000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.0099467000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4067253000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 3.1323200000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4067502000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.1407341000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4064913000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 5.6223395000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4066871000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 3.1400023000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4063958000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 5.5006484000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 5.4064238000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 5.4776228000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.8057646000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.3915745000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a41oi";
+        cell_leakage_power : 4.5968820000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0084390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082610000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0148032000, 0.0147854000, 0.0147689000, 0.0147515000, 0.0147359000, 0.0147253000, 0.0147290000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011163600, -0.011191400, -0.011238000, -0.011221400, -0.011142900, -0.010889700, -0.010144200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086160000;
+        }
+        pin ("A2") {
+            capacitance : 0.0084610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082290000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130418000, 0.0130236000, 0.0130064000, 0.0130173000, 0.0130823000, 0.0132991000, 0.0139436000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012898500, -0.012916300, -0.012934600, -0.012952300, -0.012970400, -0.012989300, -0.013010500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086930000;
+        }
+        pin ("A3") {
+            capacitance : 0.0083700000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0126349000, 0.0126359000, 0.0126726000, 0.0126549000, 0.0126392000, 0.0126286000, 0.0126328000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012675400, -0.012697000, -0.012725800, -0.012738900, -0.012743900, -0.012726000, -0.012643400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086920000;
+        }
+        pin ("A4") {
+            capacitance : 0.0085920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081840000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0128340000, 0.0128228000, 0.0128248000, 0.0128051000, 0.0127838000, 0.0127574000, 0.0127170000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012877000, -0.012886100, -0.012880000, -0.012897500, -0.012914600, -0.012931100, -0.012945400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090000000;
+        }
+        pin ("B1") {
+            capacitance : 0.0085350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079700000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0076940000, 0.0076475000, 0.0075226000, 0.0075923000, 0.0077947000, 0.0083708000, 0.0099999000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005946400, -0.005983100, -0.006024200, -0.006058300, -0.006091700, -0.006123600, -0.006151500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091000000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0180441000, 0.0167167000, 0.0125903000, 3.330000e-05, -0.039241900, -0.161472900, -0.540771500", \
+                        "0.0177672000, 0.0164531000, 0.0124178000, -0.000216400, -0.039437300, -0.161641100, -0.541013700", \
+                        "0.0171872000, 0.0158825000, 0.0118619000, -0.000646600, -0.039771200, -0.162157100, -0.541228700", \
+                        "0.0160874000, 0.0148572000, 0.0107202000, -0.001587100, -0.040337400, -0.162436400, -0.541646500", \
+                        "0.0153058000, 0.0140191000, 0.0100315000, -0.002140000, -0.041074200, -0.162770400, -0.541888900", \
+                        "0.0153354000, 0.0139854000, 0.0098308000, -0.003003200, -0.041855400, -0.163682900, -0.542529100", \
+                        "0.0184337000, 0.0169523000, 0.0126218000, -0.000286700, -0.040914700, -0.163765800, -0.543416500");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0225270000, 0.0239295000, 0.0282564000, 0.0410866000, 0.0809734000, 0.2017700000, 0.5778718000", \
+                        "0.0220435000, 0.0235421000, 0.0279602000, 0.0411028000, 0.0807515000, 0.2023599000, 0.5777266000", \
+                        "0.0213934000, 0.0228809000, 0.0273519000, 0.0406679000, 0.0811472000, 0.2024367000, 0.5783387000", \
+                        "0.0206728000, 0.0220758000, 0.0265056000, 0.0399394000, 0.0805764000, 0.2025348000, 0.5784589000", \
+                        "0.0201187000, 0.0215331000, 0.0257515000, 0.0388092000, 0.0791983000, 0.2015734000, 0.5777807000", \
+                        "0.0189945000, 0.0203504000, 0.0248818000, 0.0384327000, 0.0780901000, 0.2011668000, 0.5781621000", \
+                        "0.0195249000, 0.0208159000, 0.0248131000, 0.0377345000, 0.0771226000, 0.2020458000, 0.5782238000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0197896000, 0.0184870000, 0.0144051000, 0.0017847000, -0.037528000, -0.159921800, -0.538864800", \
+                        "0.0195569000, 0.0182074000, 0.0141544000, 0.0015166000, -0.037746600, -0.159891700, -0.539289500", \
+                        "0.0190207000, 0.0177027000, 0.0136600000, 0.0010948000, -0.038098100, -0.160289200, -0.539666900", \
+                        "0.0182138000, 0.0168959000, 0.0128999000, 0.0004541000, -0.038573500, -0.160604900, -0.539792400", \
+                        "0.0173187000, 0.0160209000, 0.0121276000, -0.000241400, -0.039124100, -0.161072600, -0.540259500", \
+                        "0.0175205000, 0.0161927000, 0.0120635000, -0.000653900, -0.039675000, -0.161265500, -0.540219400", \
+                        "0.0193551000, 0.0180052000, 0.0138085000, 0.0009559000, -0.038969700, -0.161718000, -0.540652400");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0335452000, 0.0348922000, 0.0390240000, 0.0518171000, 0.0912499000, 0.2126850000, 0.5887403000", \
+                        "0.0333738000, 0.0347701000, 0.0389605000, 0.0518301000, 0.0913838000, 0.2127185000, 0.5887429000", \
+                        "0.0328378000, 0.0342435000, 0.0385214000, 0.0515786000, 0.0914162000, 0.2130272000, 0.5888214000", \
+                        "0.0319122000, 0.0333401000, 0.0375726000, 0.0507967000, 0.0907170000, 0.2125202000, 0.5889459000", \
+                        "0.0311060000, 0.0324873000, 0.0367349000, 0.0498258000, 0.0897932000, 0.2119401000, 0.5887233000", \
+                        "0.0298410000, 0.0314447000, 0.0361274000, 0.0491200000, 0.0889863000, 0.2112245000, 0.5880019000", \
+                        "0.0297349000, 0.0310287000, 0.0352496000, 0.0478223000, 0.0872115000, 0.2104512000, 0.5870084000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0196557000, 0.0183279000, 0.0142554000, 0.0016416000, -0.037636100, -0.160065500, -0.539205900", \
+                        "0.0194006000, 0.0180811000, 0.0140094000, 0.0014072000, -0.037875500, -0.160068300, -0.539444000", \
+                        "0.0189172000, 0.0176038000, 0.0135611000, 0.0009660000, -0.038336400, -0.160356300, -0.539797100", \
+                        "0.0180909000, 0.0167905000, 0.0127900000, 0.0003308000, -0.038711700, -0.160749500, -0.540077800", \
+                        "0.0174036000, 0.0161400000, 0.0121651000, -0.000259100, -0.039185200, -0.160976800, -0.540063900", \
+                        "0.0173253000, 0.0159762000, 0.0118592000, -0.001054200, -0.040047400, -0.161645100, -0.540649200", \
+                        "0.0186058000, 0.0172172000, 0.0131033000, 0.0002037000, -0.039468500, -0.162134200, -0.541038900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0416016000, 0.0429363000, 0.0470884000, 0.0600813000, 0.0996148000, 0.2214262000, 0.5975763000", \
+                        "0.0413431000, 0.0427396000, 0.0469506000, 0.0599636000, 0.0995662000, 0.2212041000, 0.5974198000", \
+                        "0.0408435000, 0.0422522000, 0.0464805000, 0.0594867000, 0.0991814000, 0.2212638000, 0.5971898000", \
+                        "0.0401152000, 0.0414977000, 0.0457773000, 0.0588846000, 0.0988737000, 0.2207363000, 0.5970879000", \
+                        "0.0395551000, 0.0409106000, 0.0450713000, 0.0581831000, 0.0980861000, 0.2202340000, 0.5968553000", \
+                        "0.0394146000, 0.0406821000, 0.0448666000, 0.0578925000, 0.0978650000, 0.2202500000, 0.5966867000", \
+                        "0.0394143000, 0.0406959000, 0.0448651000, 0.0574527000, 0.0970975000, 0.2199330000, 0.5966873000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0196377000, 0.0182889000, 0.0142207000, 0.0016311000, -0.037692600, -0.159845000, -0.539242800", \
+                        "0.0192842000, 0.0179637000, 0.0138817000, 0.0012901000, -0.037960400, -0.160185100, -0.539545600", \
+                        "0.0187919000, 0.0174378000, 0.0133967000, 0.0008236000, -0.038361100, -0.160598200, -0.539921300", \
+                        "0.0179523000, 0.0166729000, 0.0127153000, 0.0001803000, -0.038841400, -0.161028100, -0.540212200", \
+                        "0.0173546000, 0.0159636000, 0.0120572000, -0.000375800, -0.039280700, -0.161139000, -0.540490000", \
+                        "0.0173234000, 0.0159947000, 0.0118777000, -0.000956500, -0.040036600, -0.161745600, -0.540696100", \
+                        "0.0187502000, 0.0174002000, 0.0131724000, 0.0003491000, -0.039371600, -0.162050800, -0.541006700");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0492728000, 0.0505950000, 0.0547937000, 0.0677802000, 0.1076139000, 0.2301131000, 0.6063770000", \
+                        "0.0489038000, 0.0502374000, 0.0544206000, 0.0674049000, 0.1072698000, 0.2297624000, 0.6062437000", \
+                        "0.0484670000, 0.0497922000, 0.0540079000, 0.0670415000, 0.1070697000, 0.2289975000, 0.6055943000", \
+                        "0.0480379000, 0.0493687000, 0.0535602000, 0.0666643000, 0.1067601000, 0.2291018000, 0.6056237000", \
+                        "0.0475303000, 0.0488925000, 0.0531276000, 0.0661008000, 0.1062620000, 0.2284496000, 0.6055331000", \
+                        "0.0474490000, 0.0488424000, 0.0529972000, 0.0660686000, 0.1062586000, 0.2283837000, 0.6053164000", \
+                        "0.0482502000, 0.0496275000, 0.0536383000, 0.0661799000, 0.1053750000, 0.2288868000, 0.6063264000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0003042000, -0.000864800, -0.004706100, -0.017244000, -0.056780100, -0.179558900, -0.559650500", \
+                        "-0.000349800, -0.001450800, -0.005082400, -0.017272700, -0.056595900, -0.179243700, -0.559234500", \
+                        "-0.001186500, -0.002252800, -0.005861800, -0.017694400, -0.056541900, -0.178974800, -0.558855700", \
+                        "-0.002030600, -0.003198400, -0.006829300, -0.018676500, -0.057039600, -0.179023100, -0.558709300", \
+                        "-0.001881000, -0.003165300, -0.007116700, -0.019644600, -0.058074100, -0.179524500, -0.558778300", \
+                        "-0.000978900, -0.002375000, -0.006596900, -0.019465800, -0.058656500, -0.180478100, -0.559349300", \
+                        "0.0037071000, 0.0022085000, -0.002325900, -0.016053500, -0.056839400, -0.179985600, -0.559732500");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
+                    values("0.0445735000, 0.0459574000, 0.0502612000, 0.0635363000, 0.1035619000, 0.2255983000, 0.6026446000", \
+                        "0.0440308000, 0.0454286000, 0.0497712000, 0.0632020000, 0.1033347000, 0.2258902000, 0.6025183000", \
+                        "0.0436782000, 0.0450759000, 0.0493467000, 0.0626333000, 0.1030169000, 0.2256278000, 0.6026937000", \
+                        "0.0429749000, 0.0443633000, 0.0486559000, 0.0616623000, 0.1022196000, 0.2252375000, 0.6022376000", \
+                        "0.0423486000, 0.0437295000, 0.0478948000, 0.0610339000, 0.1013435000, 0.2243012000, 0.6016024000", \
+                        "0.0437060000, 0.0449581000, 0.0488390000, 0.0614803000, 0.1010493000, 0.2225700000, 0.5998861000", \
+                        "0.0451650000, 0.0463355000, 0.0503849000, 0.0623495000, 0.1024746000, 0.2229144000, 0.5993752000");
+                }
+            }
+            max_capacitance : 0.1226910000;
+            max_transition : 1.4972300000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1226532000, 0.1306596000, 0.1552645000, 0.2252605000, 0.4281062000, 1.0397091000, 2.9140970000", \
+                        "0.1279574000, 0.1359778000, 0.1599806000, 0.2295273000, 0.4331648000, 1.0447413000, 2.9192613000", \
+                        "0.1439118000, 0.1523085000, 0.1767100000, 0.2471940000, 0.4509096000, 1.0625297000, 2.9368561000", \
+                        "0.1940424000, 0.2021197000, 0.2248949000, 0.2965911000, 0.5018281000, 1.1145136000, 2.9887819000", \
+                        "0.3241633000, 0.3351423000, 0.3664940000, 0.4496506000, 0.6532816000, 1.2665383000, 3.1420764000", \
+                        "0.5202865000, 0.5387342000, 0.5916412000, 0.7270555000, 1.0394179000, 1.6986493000, 3.5730670000", \
+                        "0.7584616000, 0.7901692000, 0.8814585000, 1.1155754000, 1.6599540000, 2.7339027000, 4.8041784000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1468055000, 0.1558279000, 0.1823715000, 0.2610253000, 0.5022678000, 1.2362510000, 3.5129462000", \
+                        "0.1530384000, 0.1623769000, 0.1899421000, 0.2702590000, 0.5113129000, 1.2508325000, 3.5399865000", \
+                        "0.1752167000, 0.1844800000, 0.2122641000, 0.2943059000, 0.5382899000, 1.2740146000, 3.5533134000", \
+                        "0.2427075000, 0.2517205000, 0.2788136000, 0.3605650000, 0.6065544000, 1.3454111000, 3.6255328000", \
+                        "0.3954122000, 0.4070115000, 0.4403353000, 0.5306557000, 0.7754717000, 1.5224159000, 3.8157020000", \
+                        "0.6764376000, 0.6967540000, 0.7528188000, 0.8939466000, 1.2171768000, 1.9843591000, 4.2776014000", \
+                        "1.1250726000, 1.1674082000, 1.2912947000, 1.5665951000, 2.1392059000, 3.2141534000, 5.5889293000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1240058000, 0.1329629000, 0.1599095000, 0.2443321000, 0.5056540000, 1.3115740000, 3.7993195000", \
+                        "0.1240767000, 0.1331762000, 0.1602977000, 0.2451037000, 0.5051224000, 1.3124226000, 3.7999524000", \
+                        "0.1245661000, 0.1334670000, 0.1604166000, 0.2441175000, 0.5058142000, 1.3132806000, 3.8003383000", \
+                        "0.1203103000, 0.1287372000, 0.1565786000, 0.2419132000, 0.5047131000, 1.3125966000, 3.8001238000", \
+                        "0.1614140000, 0.1717901000, 0.2014222000, 0.2816585000, 0.5120114000, 1.3113530000, 3.8014076000", \
+                        "0.2846030000, 0.3002399000, 0.3444370000, 0.4515571000, 0.6989507000, 1.3685894000, 3.8028269000", \
+                        "0.5418934000, 0.5684527000, 0.6471127000, 0.8506657000, 1.2068725000, 1.9619211000, 3.9748183000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.0771790000, 0.0880137000, 0.1216076000, 0.2259008000, 0.5491593000, 1.5337323000, 4.5885686000", \
+                        "0.0771797000, 0.0881578000, 0.1216996000, 0.2255650000, 0.5474120000, 1.5381288000, 4.5922235000", \
+                        "0.0775333000, 0.0882278000, 0.1216566000, 0.2257094000, 0.5493149000, 1.5412066000, 4.5866009000", \
+                        "0.0782039000, 0.0892812000, 0.1223888000, 0.2255948000, 0.5491703000, 1.5372638000, 4.5919526000", \
+                        "0.1091084000, 0.1193017000, 0.1504850000, 0.2417048000, 0.5484025000, 1.5391248000, 4.5944870000", \
+                        "0.2032845000, 0.2166411000, 0.2549973000, 0.3642356000, 0.6502111000, 1.5580629000, 4.6043738000", \
+                        "0.4702676000, 0.4913824000, 0.5512837000, 0.7041812000, 1.0606336000, 1.9183120000, 4.6448161000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1593512000, 0.1679866000, 0.1914767000, 0.2619205000, 0.4652079000, 1.0763214000, 2.9507652000", \
+                        "0.1653550000, 0.1734141000, 0.1972170000, 0.2673927000, 0.4702914000, 1.0819795000, 2.9568321000", \
+                        "0.1825199000, 0.1907716000, 0.2153660000, 0.2851578000, 0.4884866000, 1.1002533000, 2.9746874000", \
+                        "0.2316262000, 0.2398156000, 0.2638773000, 0.3347798000, 0.5387080000, 1.1507408000, 3.0251179000", \
+                        "0.3550478000, 0.3649733000, 0.3946655000, 0.4723383000, 0.6816972000, 1.2957245000, 3.1717902000", \
+                        "0.5764421000, 0.5923145000, 0.6387393000, 0.7603768000, 1.0440143000, 1.7057812000, 3.5838967000", \
+                        "0.8637754000, 0.8928164000, 0.9760360000, 1.1967998000, 1.6959322000, 2.6830445000, 4.7341091000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1937968000, 0.2028605000, 0.2293728000, 0.3098025000, 0.5556580000, 1.3103431000, 3.6395522000", \
+                        "0.2018728000, 0.2111076000, 0.2383375000, 0.3192122000, 0.5651276000, 1.3207389000, 3.6494712000", \
+                        "0.2246740000, 0.2338109000, 0.2615095000, 0.3435700000, 0.5909734000, 1.3465378000, 3.6764194000", \
+                        "0.2909136000, 0.3003566000, 0.3279152000, 0.4109869000, 0.6598623000, 1.4171818000, 3.7479606000", \
+                        "0.4558619000, 0.4662909000, 0.4970175000, 0.5821582000, 0.8312536000, 1.5902315000, 3.9235220000", \
+                        "0.7809450000, 0.7965302000, 0.8437585000, 0.9685791000, 1.2770882000, 2.0522481000, 4.3874260000", \
+                        "1.3520034000, 1.3841533000, 1.4789439000, 1.7127082000, 2.2258637000, 3.2804788000, 5.6891745000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1232719000, 0.1319673000, 0.1597793000, 0.2442929000, 0.5061877000, 1.3139615000, 3.8046957000", \
+                        "0.1230402000, 0.1318545000, 0.1597679000, 0.2439514000, 0.5060608000, 1.3129438000, 3.7974308000", \
+                        "0.1232191000, 0.1323968000, 0.1594743000, 0.2448767000, 0.5053071000, 1.3132493000, 3.7992436000", \
+                        "0.1235494000, 0.1321020000, 0.1592084000, 0.2435891000, 0.5051204000, 1.3123282000, 3.8018086000", \
+                        "0.1542730000, 0.1633795000, 0.1909272000, 0.2671305000, 0.5113034000, 1.3124838000, 3.8004537000", \
+                        "0.2617755000, 0.2763476000, 0.3109474000, 0.4044577000, 0.6409993000, 1.3469406000, 3.8037520000", \
+                        "0.5219518000, 0.5425853000, 0.6003397000, 0.7461418000, 1.0719718000, 1.7962665000, 3.9253023000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1100707000, 0.1213347000, 0.1561486000, 0.2631566000, 0.5925526000, 1.6010756000, 4.7359161000", \
+                        "0.1100212000, 0.1213660000, 0.1562640000, 0.2635862000, 0.5921958000, 1.6041379000, 4.7397455000", \
+                        "0.1101091000, 0.1213872000, 0.1562206000, 0.2634257000, 0.5925122000, 1.6037939000, 4.7252620000", \
+                        "0.1104440000, 0.1214332000, 0.1564132000, 0.2637182000, 0.5916959000, 1.6045416000, 4.7290416000", \
+                        "0.1333474000, 0.1438552000, 0.1749091000, 0.2735072000, 0.5928470000, 1.6043995000, 4.7274460000", \
+                        "0.2242919000, 0.2383584000, 0.2767035000, 0.3864372000, 0.6807109000, 1.6175503000, 4.7318500000", \
+                        "0.4919755000, 0.5103346000, 0.5691441000, 0.7174709000, 1.0662468000, 1.9401809000, 4.7667035000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1791723000, 0.1869537000, 0.2113434000, 0.2812544000, 0.4841658000, 1.0958005000, 2.9704146000", \
+                        "0.1850195000, 0.1931977000, 0.2175988000, 0.2878290000, 0.4906940000, 1.1025261000, 2.9766110000", \
+                        "0.2032364000, 0.2115799000, 0.2356844000, 0.3057162000, 0.5095261000, 1.1211767000, 2.9953566000", \
+                        "0.2490638000, 0.2570290000, 0.2816299000, 0.3522752000, 0.5563613000, 1.1686409000, 3.0432967000", \
+                        "0.3564048000, 0.3658754000, 0.3939837000, 0.4696200000, 0.6774297000, 1.2907887000, 3.1661338000", \
+                        "0.5661041000, 0.5800104000, 0.6186697000, 0.7237249000, 0.9817612000, 1.6332998000, 3.5112289000", \
+                        "0.8557357000, 0.8811100000, 0.9540220000, 1.1440248000, 1.5702772000, 2.4512825000, 4.4777728000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.2362276000, 0.2460337000, 0.2744040000, 0.3612603000, 0.6207437000, 1.4100943000, 3.8420618000", \
+                        "0.2434490000, 0.2530919000, 0.2827759000, 0.3692323000, 0.6284069000, 1.4182041000, 3.8499284000", \
+                        "0.2667088000, 0.2764418000, 0.3064423000, 0.3935526000, 0.6525873000, 1.4428267000, 3.8748484000", \
+                        "0.3332036000, 0.3434732000, 0.3723894000, 0.4604590000, 0.7214803000, 1.5125706000, 3.9453281000", \
+                        "0.5020671000, 0.5119768000, 0.5433822000, 0.6309860000, 0.8920658000, 1.6850833000, 4.1171235000", \
+                        "0.8515997000, 0.8668221000, 0.9109152000, 1.0309942000, 1.3393365000, 2.1416449000, 4.5779456000", \
+                        "1.4947865000, 1.5207972000, 1.6041961000, 1.8162041000, 2.3079063000, 3.3648166000, 5.8590692000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1230633000, 0.1321833000, 0.1594626000, 0.2444092000, 0.5059589000, 1.3141671000, 3.7975472000", \
+                        "0.1232200000, 0.1323351000, 0.1593855000, 0.2442892000, 0.5057149000, 1.3142258000, 3.7975116000", \
+                        "0.1231868000, 0.1323527000, 0.1596811000, 0.2447297000, 0.5064482000, 1.3120872000, 3.7962167000", \
+                        "0.1223304000, 0.1314693000, 0.1588257000, 0.2437616000, 0.5055890000, 1.3121869000, 3.7974575000", \
+                        "0.1451387000, 0.1539245000, 0.1817068000, 0.2609033000, 0.5112349000, 1.3120692000, 3.8001189000", \
+                        "0.2297257000, 0.2392506000, 0.2707652000, 0.3573486000, 0.6021350000, 1.3418516000, 3.8030117000", \
+                        "0.4693125000, 0.4858297000, 0.5312928000, 0.6588051000, 0.9459457000, 1.6730894000, 3.8994958000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1446892000, 0.1563419000, 0.1932203000, 0.3053608000, 0.6474242000, 1.7050926000, 4.9623701000", \
+                        "0.1447425000, 0.1563205000, 0.1929782000, 0.3055926000, 0.6488939000, 1.7036350000, 4.9626432000", \
+                        "0.1447430000, 0.1563175000, 0.1928518000, 0.3053811000, 0.6482686000, 1.7051055000, 4.9638595000", \
+                        "0.1445457000, 0.1564877000, 0.1928752000, 0.3050145000, 0.6488871000, 1.7032877000, 4.9623949000", \
+                        "0.1604924000, 0.1710654000, 0.2053754000, 0.3116011000, 0.6479828000, 1.7018005000, 4.9596314000", \
+                        "0.2516304000, 0.2643356000, 0.3031817000, 0.4159372000, 0.7207164000, 1.7109371000, 4.9609037000", \
+                        "0.5166100000, 0.5362558000, 0.5921733000, 0.7395116000, 1.0983323000, 2.0103825000, 4.9999236000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1877897000, 0.1955287000, 0.2195741000, 0.2894269000, 0.4926221000, 1.1042117000, 2.9787266000", \
+                        "0.1943883000, 0.2022996000, 0.2269049000, 0.2969316000, 0.4997417000, 1.1113938000, 2.9850238000", \
+                        "0.2132972000, 0.2211070000, 0.2452730000, 0.3153207000, 0.5187067000, 1.1304589000, 3.0047913000", \
+                        "0.2573901000, 0.2657488000, 0.2896945000, 0.3607444000, 0.5648826000, 1.1770858000, 3.0516163000", \
+                        "0.3572030000, 0.3656026000, 0.3919449000, 0.4674849000, 0.6738926000, 1.2870787000, 3.1628520000", \
+                        "0.5484172000, 0.5598561000, 0.5934392000, 0.6862417000, 0.9306660000, 1.5733764000, 3.4516416000", \
+                        "0.8408076000, 0.8634516000, 0.9206862000, 1.0800062000, 1.4384637000, 2.2496458000, 4.2514117000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.2502539000, 0.2600809000, 0.2889777000, 0.3767160000, 0.6300554000, 1.3959194000, 3.7399244000", \
+                        "0.2571303000, 0.2668215000, 0.2960165000, 0.3837368000, 0.6368661000, 1.4028577000, 3.7472425000", \
+                        "0.2797187000, 0.2899365000, 0.3195124000, 0.4068157000, 0.6604581000, 1.4261383000, 3.7701451000", \
+                        "0.3465117000, 0.3564884000, 0.3860194000, 0.4734081000, 0.7285210000, 1.4941959000, 3.8385970000", \
+                        "0.5091674000, 0.5195946000, 0.5501069000, 0.6372914000, 0.8920921000, 1.6583429000, 4.0033283000", \
+                        "0.8474416000, 0.8624002000, 0.9038594000, 1.0179926000, 1.3161990000, 2.0923412000, 4.4398152000", \
+                        "1.4699184000, 1.4949655000, 1.5687484000, 1.7599827000, 2.2179218000, 3.2363077000, 5.6592269000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1231728000, 0.1320559000, 0.1595764000, 0.2445083000, 0.5060764000, 1.3109469000, 3.7972390000", \
+                        "0.1232077000, 0.1322092000, 0.1592823000, 0.2442767000, 0.5054930000, 1.3137708000, 3.7960257000", \
+                        "0.1231053000, 0.1319996000, 0.1595561000, 0.2443461000, 0.5050617000, 1.3133168000, 3.8007539000", \
+                        "0.1228103000, 0.1313559000, 0.1591871000, 0.2436411000, 0.5056798000, 1.3122524000, 3.7990917000", \
+                        "0.1385999000, 0.1475402000, 0.1750696000, 0.2557804000, 0.5095847000, 1.3117570000, 3.8033190000", \
+                        "0.1934573000, 0.2035587000, 0.2336790000, 0.3223837000, 0.5794103000, 1.3387131000, 3.8002708000", \
+                        "0.3962659000, 0.4090744000, 0.4499154000, 0.5540460000, 0.8262575000, 1.5866688000, 3.9027803000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1671491000, 0.1789434000, 0.2151085000, 0.3238475000, 0.6553174000, 1.6765598000, 4.8213886000", \
+                        "0.1671195000, 0.1790358000, 0.2150807000, 0.3237839000, 0.6554515000, 1.6762597000, 4.8159858000", \
+                        "0.1671705000, 0.1786060000, 0.2150506000, 0.3238212000, 0.6558817000, 1.6747165000, 4.8185358000", \
+                        "0.1677021000, 0.1789954000, 0.2148639000, 0.3238438000, 0.6562402000, 1.6751125000, 4.8187689000", \
+                        "0.1801477000, 0.1906704000, 0.2243129000, 0.3284975000, 0.6553595000, 1.6743876000, 4.8180429000", \
+                        "0.2663527000, 0.2806877000, 0.3182455000, 0.4278712000, 0.7265969000, 1.6878533000, 4.8206110000", \
+                        "0.5242450000, 0.5424346000, 0.5954345000, 0.7385420000, 1.0893277000, 1.9942010000, 4.8675406000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.0232148000, 0.0251028000, 0.0306595000, 0.0468332000, 0.0955055000, 0.2456516000, 0.7077361000", \
+                        "0.0309235000, 0.0328744000, 0.0386357000, 0.0552208000, 0.1042931000, 0.2535960000, 0.7162727000", \
+                        "0.0513010000, 0.0540465000, 0.0617479000, 0.0788563000, 0.1280218000, 0.2778225000, 0.7402091000", \
+                        "0.0857664000, 0.0909204000, 0.1050728000, 0.1370568000, 0.1960122000, 0.3458720000, 0.8090378000", \
+                        "0.1378385000, 0.1483725000, 0.1758679000, 0.2364089000, 0.3480308000, 0.5382769000, 0.9997666000", \
+                        "0.2029596000, 0.2236546000, 0.2769321000, 0.3946258000, 0.6107589000, 0.9671181000, 1.5423636000", \
+                        "0.2264068000, 0.2657559000, 0.3709003000, 0.5996939000, 1.0220748000, 1.7085967000, 2.7794060000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1876359000, 0.1976266000, 0.2280619000, 0.3162770000, 0.5714628000, 1.3379069000, 3.6825607000", \
+                        "0.1912200000, 0.2016230000, 0.2321787000, 0.3209713000, 0.5774720000, 1.3436150000, 3.6881251000", \
+                        "0.2102245000, 0.2204895000, 0.2496103000, 0.3384759000, 0.5960624000, 1.3636517000, 3.7093855000", \
+                        "0.2676824000, 0.2778961000, 0.3081854000, 0.3950091000, 0.6521665000, 1.4216895000, 3.7692782000", \
+                        "0.4469456000, 0.4578541000, 0.4892541000, 0.5740430000, 0.8268353000, 1.5952274000, 3.9436094000", \
+                        "0.7859437000, 0.8047575000, 0.8595412000, 1.0014967000, 1.3266745000, 2.0887034000, 4.4342228000", \
+                        "1.3588822000, 1.3910031000, 1.4844119000, 1.7250411000, 2.3079654000, 3.4664713000, 5.8527325000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.0173005000, 0.0199726000, 0.0278522000, 0.0524844000, 0.1243944000, 0.3381916000, 0.9762648000", \
+                        "0.0172262000, 0.0198055000, 0.0281191000, 0.0525380000, 0.1243530000, 0.3381509000, 0.9760071000", \
+                        "0.0261838000, 0.0275761000, 0.0326248000, 0.0536893000, 0.1244309000, 0.3381309000, 0.9762722000", \
+                        "0.0594297000, 0.0607480000, 0.0658746000, 0.0820202000, 0.1347183000, 0.3381677000, 0.9764841000", \
+                        "0.1450220000, 0.1452314000, 0.1484094000, 0.1663954000, 0.2215492000, 0.3736165000, 0.9756834000", \
+                        "0.3402786000, 0.3404970000, 0.3438810000, 0.3656866000, 0.4390278000, 0.6159107000, 1.0719149000", \
+                        "0.7308094000, 0.7319607000, 0.7401370000, 0.7841087000, 0.9102975000, 1.1883293000, 1.7543833000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
+                    values("0.1673778000, 0.1792348000, 0.2149243000, 0.3243620000, 0.6563580000, 1.6762861000, 4.8222146000", \
+                        "0.1674996000, 0.1788864000, 0.2150740000, 0.3239346000, 0.6545993000, 1.6747344000, 4.8177644000", \
+                        "0.1675794000, 0.1793176000, 0.2149990000, 0.3242983000, 0.6544323000, 1.6744748000, 4.8155595000", \
+                        "0.1632168000, 0.1750439000, 0.2130376000, 0.3231792000, 0.6553842000, 1.6747632000, 4.8232711000", \
+                        "0.2056930000, 0.2146419000, 0.2435570000, 0.3378092000, 0.6541183000, 1.6748212000, 4.8293805000", \
+                        "0.3449603000, 0.3624317000, 0.4129914000, 0.5340660000, 0.7993103000, 1.6929450000, 4.8194337000", \
+                        "0.6457807000, 0.6767243000, 0.7629052000, 0.9619491000, 1.3812743000, 2.2074020000, 4.8726636000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2_0 */
+
+/* removed sky130_fd_sc_hd__and2_1 */
+
+    cell ("sky130_fd_sc_hd__and2_2") {
+        leakage_power () {
+            value : 5.2718505000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 4.7164095000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 6.2583019000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 5.2371671000;
+            when : "A&!B";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__and2";
+        cell_leakage_power : 5.3709320000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0013940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013720000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0019764000, 0.0019555000, 0.0019355000, 0.0019141000, 0.0018927000, 0.0018713000, 0.0018496000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001551600, -0.001574100, -0.001602700, -0.001617500, -0.001624300, -0.001608300, -0.001528100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014160000;
+        }
+        pin ("B") {
+            capacitance : 0.0014060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013530000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0017633000, 0.0017409000, 0.0017174000, 0.0016961000, 0.0016751000, 0.0016551000, 0.0016381000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001767200, -0.001785200, -0.001801300, -0.001820600, -0.001840300, -0.001860400, -0.001882200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014600000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489050, 0.0054377780, 0.0179327600, 0.0591388600, 0.1950288000, 0.6431679000");
+                    values("0.0094677000, 0.0081414000, 0.0040587000, -0.010618800, -0.062505600, -0.236177400, -0.809791600", \
+                        "0.0094698000, 0.0081611000, 0.0040452000, -0.010682700, -0.062509000, -0.236167200, -0.809828800", \
+                        "0.0093246000, 0.0081079000, 0.0039800000, -0.010721800, -0.062615000, -0.236267700, -0.809812100", \
+                        "0.0092254000, 0.0079153000, 0.0037585000, -0.010936600, -0.062820600, -0.236439000, -0.809967200", \
+                        "0.0091169000, 0.0078005000, 0.0036044000, -0.011244800, -0.063132000, -0.236653600, -0.810143300", \
+                        "0.0099080000, 0.0084284000, 0.0035710000, -0.011587800, -0.063423700, -0.236844900, -0.810207800", \
+                        "0.0113594000, 0.0098028000, 0.0048057000, -0.011106000, -0.063543600, -0.236755800, -0.810042100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489050, 0.0054377780, 0.0179327600, 0.0591388600, 0.1950288000, 0.6431679000");
+                    values("0.0121771000, 0.0137435000, 0.0189846000, 0.0352830000, 0.0875194000, 0.2611313000, 0.8265370000", \
+                        "0.0121562000, 0.0137430000, 0.0188596000, 0.0352039000, 0.0875408000, 0.2610704000, 0.8308355000", \
+                        "0.0121545000, 0.0137241000, 0.0189588000, 0.0351868000, 0.0875498000, 0.2611332000, 0.8307613000", \
+                        "0.0120193000, 0.0136171000, 0.0187800000, 0.0351013000, 0.0875431000, 0.2596406000, 0.8264756000", \
+                        "0.0119028000, 0.0134554000, 0.0185003000, 0.0347628000, 0.0871558000, 0.2581362000, 0.8261948000", \
+                        "0.0123496000, 0.0138386000, 0.0186834000, 0.0347570000, 0.0869810000, 0.2575574000, 0.8259385000", \
+                        "0.0128291000, 0.0142316000, 0.0193195000, 0.0351438000, 0.0875256000, 0.2605659000, 0.8290888000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489050, 0.0054377780, 0.0179327600, 0.0591388600, 0.1950288000, 0.6431679000");
+                    values("0.0107107000, 0.0093740000, 0.0052255000, -0.009530200, -0.061430500, -0.235095100, -0.808654700", \
+                        "0.0106347000, 0.0093689000, 0.0051704000, -0.009560800, -0.061453100, -0.235127200, -0.808645100", \
+                        "0.0106067000, 0.0093003000, 0.0051107000, -0.009638400, -0.061520900, -0.235184300, -0.808707400", \
+                        "0.0104803000, 0.0091539000, 0.0049765000, -0.009782100, -0.061635000, -0.235292500, -0.808847700", \
+                        "0.0103806000, 0.0090578000, 0.0048384000, -0.009996000, -0.061849700, -0.235424000, -0.808947300", \
+                        "0.0102936000, 0.0088847000, 0.0045914000, -0.010285600, -0.062134000, -0.235583600, -0.809003700", \
+                        "0.0127167000, 0.0111259000, 0.0061808000, -0.009525100, -0.062178100, -0.235573600, -0.808820600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489050, 0.0054377780, 0.0179327600, 0.0591388600, 0.1950288000, 0.6431679000");
+                    values("0.0125445000, 0.0140965000, 0.0192438000, 0.0355677000, 0.0878661000, 0.2613612000, 0.8311596000", \
+                        "0.0125342000, 0.0141010000, 0.0192370000, 0.0355672000, 0.0878631000, 0.2613370000, 0.8311020000", \
+                        "0.0125244000, 0.0141013000, 0.0193125000, 0.0355182000, 0.0878481000, 0.2613876000, 0.8293585000", \
+                        "0.0123954000, 0.0139989000, 0.0191800000, 0.0354845000, 0.0878272000, 0.2601050000, 0.8271386000", \
+                        "0.0123163000, 0.0139066000, 0.0189054000, 0.0351889000, 0.0876968000, 0.2585084000, 0.8268533000", \
+                        "0.0128934000, 0.0143599000, 0.0192442000, 0.0353239000, 0.0875644000, 0.2591885000, 0.8284625000", \
+                        "0.0129743000, 0.0144723000, 0.0192381000, 0.0352819000, 0.0873820000, 0.2608720000, 0.8256707000");
+                }
+            }
+            max_capacitance : 0.1895240000;
+            max_transition : 1.5019310000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.2960005000, 0.3081992000, 0.3382192000, 0.4028362000, 0.5473646000, 0.9495681000, 2.2558939000", \
+                        "0.3041072000, 0.3163199000, 0.3464000000, 0.4104841000, 0.5554608000, 0.9587073000, 2.2646656000", \
+                        "0.3282712000, 0.3403277000, 0.3702558000, 0.4351218000, 0.5793020000, 0.9824210000, 2.2829043000", \
+                        "0.3976476000, 0.4099363000, 0.4398062000, 0.5043903000, 0.6494307000, 1.0520393000, 2.3574045000", \
+                        "0.6041765000, 0.6163628000, 0.6461200000, 0.7103985000, 0.8557843000, 1.2582129000, 2.5665483000", \
+                        "1.0868764000, 1.1033767000, 1.1420166000, 1.2182720000, 1.3753655000, 1.7816066000, 3.0779215000", \
+                        "1.9547957000, 1.9804092000, 2.0401552000, 2.1533670000, 2.3503646000, 2.7760456000, 4.0762216000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.1996341000, 0.2125818000, 0.2463059000, 0.3284070000, 0.5599734000, 1.3046692000, 3.7525174000", \
+                        "0.2070844000, 0.2200705000, 0.2530987000, 0.3355025000, 0.5670293000, 1.3130179000, 3.7680477000", \
+                        "0.2287174000, 0.2416803000, 0.2754059000, 0.3575706000, 0.5892385000, 1.3335195000, 3.7917576000", \
+                        "0.2911872000, 0.3041995000, 0.3375989000, 0.4196888000, 0.6513653000, 1.3976107000, 3.8455585000", \
+                        "0.4518046000, 0.4655747000, 0.5006595000, 0.5844403000, 0.8136256000, 1.5620216000, 4.0090438000", \
+                        "0.7390123000, 0.7586687000, 0.8036245000, 0.8985410000, 1.1347653000, 1.8700599000, 4.3208717000", \
+                        "1.1733793000, 1.2030790000, 1.2718655000, 1.4056214000, 1.6594275000, 2.4016771000, 4.8385149000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.0545196000, 0.0624310000, 0.0820202000, 0.1323737000, 0.2838128000, 0.8087731000, 2.5853262000", \
+                        "0.0546317000, 0.0617379000, 0.0812762000, 0.1322650000, 0.2844940000, 0.8084379000, 2.5968494000", \
+                        "0.0544640000, 0.0615243000, 0.0821074000, 0.1327735000, 0.2843961000, 0.8090741000, 2.5838389000", \
+                        "0.0547061000, 0.0616229000, 0.0807853000, 0.1322246000, 0.2841361000, 0.8088480000, 2.5866291000", \
+                        "0.0548089000, 0.0622319000, 0.0820651000, 0.1330606000, 0.2840219000, 0.8082582000, 2.5918805000", \
+                        "0.0865402000, 0.0942540000, 0.1126558000, 0.1622352000, 0.3020982000, 0.8105607000, 2.5906193000", \
+                        "0.1588709000, 0.1720536000, 0.1950216000, 0.2444758000, 0.3777690000, 0.8466740000, 2.5896949000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.0496291000, 0.0599375000, 0.0888481000, 0.1787214000, 0.4882170000, 1.5461561000, 4.9979225000", \
+                        "0.0494942000, 0.0594697000, 0.0890280000, 0.1784440000, 0.4874383000, 1.5440182000, 5.0034652000", \
+                        "0.0496696000, 0.0600081000, 0.0888748000, 0.1788321000, 0.4886233000, 1.5465645000, 5.0021698000", \
+                        "0.0493326000, 0.0597055000, 0.0887924000, 0.1786595000, 0.4880992000, 1.5391425000, 4.9976707000", \
+                        "0.0573397000, 0.0675653000, 0.0947066000, 0.1820851000, 0.4890639000, 1.5395534000, 4.9970388000", \
+                        "0.0894283000, 0.0991384000, 0.1246115000, 0.2031750000, 0.4960728000, 1.5398457000, 4.9990256000", \
+                        "0.1550651000, 0.1698482000, 0.2043546000, 0.2777250000, 0.5251468000, 1.5513454000, 4.9995967000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.3401662000, 0.3527635000, 0.3835409000, 0.4498609000, 0.5972441000, 1.0016986000, 2.3098161000", \
+                        "0.3478735000, 0.3606633000, 0.3913535000, 0.4578851000, 0.6051788000, 1.0092189000, 2.3172029000", \
+                        "0.3723220000, 0.3849918000, 0.4152255000, 0.4815366000, 0.6289810000, 1.0334946000, 2.3413376000", \
+                        "0.4432940000, 0.4560286000, 0.4867945000, 0.5534969000, 0.7005159000, 1.1052308000, 2.4082512000", \
+                        "0.6540255000, 0.6667466000, 0.6975414000, 0.7641381000, 0.9110768000, 1.3159875000, 2.6201796000", \
+                        "1.1862649000, 1.2023393000, 1.2392188000, 1.3142235000, 1.4698770000, 1.8759598000, 3.1797927000", \
+                        "2.1866378000, 2.2107791000, 2.2695363000, 2.3784710000, 2.5716056000, 3.0004863000, 4.3015369000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.2098720000, 0.2228797000, 0.2562131000, 0.3385974000, 0.5699122000, 1.3151069000, 3.7671644000", \
+                        "0.2185608000, 0.2314580000, 0.2646053000, 0.3469519000, 0.5783070000, 1.3240848000, 3.7770045000", \
+                        "0.2390943000, 0.2520888000, 0.2855456000, 0.3679128000, 0.5993874000, 1.3439592000, 3.8037866000", \
+                        "0.2936343000, 0.3066077000, 0.3400663000, 0.4221062000, 0.6538372000, 1.3973442000, 3.8461425000", \
+                        "0.4311691000, 0.4451125000, 0.4801306000, 0.5641806000, 0.7966244000, 1.5438457000, 3.9900163000", \
+                        "0.7002743000, 0.7176770000, 0.7599707000, 0.8532496000, 1.0907657000, 1.8351892000, 4.2966821000", \
+                        "1.1329261000, 1.1591322000, 1.2215675000, 1.3437579000, 1.5935782000, 2.3406868000, 4.7865436000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.0577857000, 0.0659154000, 0.0857081000, 0.1370040000, 0.2880994000, 0.8107496000, 2.5910538000", \
+                        "0.0583671000, 0.0655631000, 0.0847406000, 0.1371179000, 0.2881791000, 0.8098469000, 2.5903437000", \
+                        "0.0577804000, 0.0651179000, 0.0848824000, 0.1364858000, 0.2881358000, 0.8101387000, 2.5912918000", \
+                        "0.0580788000, 0.0656377000, 0.0848814000, 0.1372770000, 0.2877367000, 0.8114479000, 2.5911211000", \
+                        "0.0584027000, 0.0650427000, 0.0856906000, 0.1366506000, 0.2875788000, 0.8107314000, 2.5873357000", \
+                        "0.0838290000, 0.0903462000, 0.1091783000, 0.1586636000, 0.2988449000, 0.8129143000, 2.5866880000", \
+                        "0.1530981000, 0.1649838000, 0.1860761000, 0.2363114000, 0.3697295000, 0.8441314000, 2.5934987000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016489100, 0.0054377800, 0.0179328000, 0.0591389000, 0.1950290000, 0.6431680000");
+                    values("0.0496692000, 0.0600234000, 0.0891480000, 0.1785425000, 0.4875471000, 1.5452287000, 5.0091944000", \
+                        "0.0494660000, 0.0594339000, 0.0889981000, 0.1783641000, 0.4874425000, 1.5440473000, 5.0072413000", \
+                        "0.0496311000, 0.0596813000, 0.0890280000, 0.1788383000, 0.4884907000, 1.5462444000, 5.0014632000", \
+                        "0.0498522000, 0.0598680000, 0.0885714000, 0.1783098000, 0.4886539000, 1.5415244000, 4.9996278000", \
+                        "0.0552289000, 0.0656821000, 0.0942280000, 0.1818729000, 0.4886440000, 1.5398722000, 4.9994786000", \
+                        "0.0764166000, 0.0862930000, 0.1145444000, 0.1988507000, 0.4954717000, 1.5391611000, 5.0109814000", \
+                        "0.1296185000, 0.1430214000, 0.1749084000, 0.2533948000, 0.5194284000, 1.5483895000, 4.9925393000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and2_4") {
+        leakage_power () {
+            value : 6.8251742000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 6.2255552000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 7.4762356000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 6.8313404000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__and2";
+        cell_leakage_power : 6.8395760000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023410000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0037797000, 0.0037555000, 0.0037381000, 0.0037108000, 0.0036845000, 0.0036610000, 0.0036456000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003193400, -0.003225800, -0.003271100, -0.003289800, -0.003296600, -0.003269500, -0.003147000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024020000;
+        }
+        pin ("B") {
+            capacitance : 0.0024390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023310000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0035504000, 0.0035215000, 0.0034909000, 0.0034634000, 0.0034363000, 0.0034106000, 0.0033889000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003559200, -0.003582900, -0.003603600, -0.003629200, -0.003655200, -0.003682200, -0.003712200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025480000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
+                    values("0.0177616000, 0.0162771000, 0.0111235000, -0.008768200, -0.088087900, -0.381525400, -1.449652100", \
+                        "0.0177713000, 0.0162866000, 0.0111638000, -0.008771700, -0.088066900, -0.381546500, -1.449638300", \
+                        "0.0175927000, 0.0161637000, 0.0110387000, -0.008924800, -0.088215900, -0.381696000, -1.449752100", \
+                        "0.0173969000, 0.0159400000, 0.0106879000, -0.009366700, -0.088589800, -0.381973600, -1.449979100", \
+                        "0.0171689000, 0.0158559000, 0.0102238000, -0.010105300, -0.089241000, -0.382331900, -1.450166200", \
+                        "0.0194802000, 0.0175257000, 0.0117771000, -0.010071500, -0.089688800, -0.382561300, -1.450158600", \
+                        "0.0210452000, 0.0191100000, 0.0128901000, -0.009365900, -0.089442500, -0.382209500, -1.449224200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
+                    values("0.0222227000, 0.0241029000, 0.0306098000, 0.0537789000, 0.1344212000, 0.4234132000, 1.4850856000", \
+                        "0.0221336000, 0.0239608000, 0.0305730000, 0.0534924000, 0.1342794000, 0.4258539000, 1.4797106000", \
+                        "0.0220409000, 0.0238601000, 0.0304697000, 0.0533682000, 0.1341721000, 0.4260370000, 1.4810923000", \
+                        "0.0218031000, 0.0236171000, 0.0301681000, 0.0532610000, 0.1340477000, 0.4232321000, 1.4846018000", \
+                        "0.0215505000, 0.0233202000, 0.0298765000, 0.0527214000, 0.1330546000, 0.4230168000, 1.4886714000", \
+                        "0.0230062000, 0.0246708000, 0.0310018000, 0.0534335000, 0.1336082000, 0.4238595000, 1.4854864000", \
+                        "0.0242982000, 0.0258011000, 0.0317287000, 0.0540111000, 0.1351926000, 0.4268738000, 1.4843791000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
+                    values("0.0195529000, 0.0180574000, 0.0128240000, -0.007271200, -0.086490400, -0.379938100, -1.448028700", \
+                        "0.0195129000, 0.0179886000, 0.0127152000, -0.007286200, -0.086614100, -0.380042400, -1.447776000", \
+                        "0.0193849000, 0.0179657000, 0.0125935000, -0.007421600, -0.086721400, -0.380104100, -1.448068700", \
+                        "0.0192001000, 0.0176931000, 0.0123889000, -0.007671400, -0.086871400, -0.380265300, -1.448201900", \
+                        "0.0190330000, 0.0174273000, 0.0119890000, -0.008223200, -0.087399400, -0.380483500, -1.448330600", \
+                        "0.0211843000, 0.0195542000, 0.0135124000, -0.008574000, -0.087947700, -0.380770900, -1.448391400", \
+                        "0.0230408000, 0.0212642000, 0.0148588000, -0.007325900, -0.087556800, -0.380564600, -1.447632200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
+                    values("0.0227212000, 0.0245682000, 0.0311133000, 0.0542749000, 0.1348615000, 0.4236277000, 1.4853414000", \
+                        "0.0227085000, 0.0245127000, 0.0309936000, 0.0543056000, 0.1346006000, 0.4259963000, 1.4845222000", \
+                        "0.0224855000, 0.0244105000, 0.0309769000, 0.0541874000, 0.1345036000, 0.4259772000, 1.4862596000", \
+                        "0.0222982000, 0.0240650000, 0.0306724000, 0.0537462000, 0.1344151000, 0.4239665000, 1.4898617000", \
+                        "0.0220096000, 0.0239005000, 0.0303905000, 0.0531966000, 0.1338905000, 0.4251280000, 1.4903394000", \
+                        "0.0228704000, 0.0245934000, 0.0308148000, 0.0535098000, 0.1341517000, 0.4239641000, 1.4807393000", \
+                        "0.0237484000, 0.0254679000, 0.0315109000, 0.0538203000, 0.1349355000, 0.4258614000, 1.4808851000");
+                }
+            }
+            max_capacitance : 0.3396710000;
+            max_transition : 1.5067550000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.1978746000, 0.2045110000, 0.2231539000, 0.2683276000, 0.3784944000, 0.7248921000, 1.9649429000", \
+                        "0.2060846000, 0.2128040000, 0.2314827000, 0.2765761000, 0.3867449000, 0.7328149000, 1.9779165000", \
+                        "0.2291010000, 0.2366375000, 0.2553228000, 0.3005215000, 0.4107777000, 0.7569056000, 1.9979025000", \
+                        "0.2979111000, 0.3051698000, 0.3232197000, 0.3681589000, 0.4788617000, 0.8245302000, 2.0704436000", \
+                        "0.4876424000, 0.4952681000, 0.5144603000, 0.5603434000, 0.6723904000, 1.0189184000, 2.2589606000", \
+                        "0.8482701000, 0.8593931000, 0.8874386000, 0.9491529000, 1.0769027000, 1.4296737000, 2.6696698000", \
+                        "1.4398354000, 1.4561441000, 1.5023658000, 1.5972524000, 1.7676125000, 2.1357425000, 3.3861114000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.2160351000, 0.2257285000, 0.2533188000, 0.3249503000, 0.5292218000, 1.2367301000, 3.7938812000", \
+                        "0.2228340000, 0.2324786000, 0.2602870000, 0.3316444000, 0.5360147000, 1.2416033000, 3.8022367000", \
+                        "0.2440363000, 0.2536824000, 0.2814474000, 0.3527515000, 0.5570910000, 1.2633367000, 3.8187671000", \
+                        "0.3056245000, 0.3152658000, 0.3429647000, 0.4144531000, 0.6189003000, 1.3243060000, 3.8859320000", \
+                        "0.4707457000, 0.4810253000, 0.5093063000, 0.5819678000, 0.7874595000, 1.4928802000, 4.0569015000", \
+                        "0.7741047000, 0.7882503000, 0.8264110000, 0.9111380000, 1.1227175000, 1.8239030000, 4.3926720000", \
+                        "1.2525642000, 1.2746801000, 1.3328350000, 1.4552478000, 1.6932818000, 2.3951265000, 4.9392632000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.0364117000, 0.0404666000, 0.0525218000, 0.0893626000, 0.2096768000, 0.6703687000, 2.3738718000", \
+                        "0.0367712000, 0.0403372000, 0.0525533000, 0.0892324000, 0.2096530000, 0.6687037000, 2.3776339000", \
+                        "0.0364977000, 0.0403218000, 0.0525485000, 0.0895309000, 0.2094431000, 0.6700990000, 2.3733790000", \
+                        "0.0363761000, 0.0403590000, 0.0532645000, 0.0895287000, 0.2095932000, 0.6692231000, 2.3807960000", \
+                        "0.0426650000, 0.0473455000, 0.0580612000, 0.0927016000, 0.2108448000, 0.6705245000, 2.3722646000", \
+                        "0.0759913000, 0.0804426000, 0.0945237000, 0.1278384000, 0.2358135000, 0.6736592000, 2.3705682000", \
+                        "0.1412817000, 0.1489658000, 0.1670948000, 0.2069937000, 0.3053305000, 0.7059240000, 2.3773115000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.0498451000, 0.0564824000, 0.0785438000, 0.1490931000, 0.4098728000, 1.3982567000, 4.9941731000", \
+                        "0.0494851000, 0.0563090000, 0.0785714000, 0.1493156000, 0.4103450000, 1.4062244000, 4.9877653000", \
+                        "0.0494673000, 0.0563647000, 0.0787220000, 0.1496243000, 0.4102835000, 1.4056918000, 4.9940798000", \
+                        "0.0496974000, 0.0568478000, 0.0790324000, 0.1493363000, 0.4098823000, 1.3949091000, 4.9955649000", \
+                        "0.0561280000, 0.0626509000, 0.0843896000, 0.1528402000, 0.4105746000, 1.4014107000, 5.0037325000", \
+                        "0.0881657000, 0.0955006000, 0.1160158000, 0.1780837000, 0.4219047000, 1.3996894000, 4.9930784000", \
+                        "0.1517815000, 0.1620231000, 0.1893313000, 0.2512312000, 0.4610229000, 1.4078202000, 4.9891002000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.2317948000, 0.2387867000, 0.2585041000, 0.3055250000, 0.4188416000, 0.7665343000, 2.0127642000", \
+                        "0.2398838000, 0.2468764000, 0.2664564000, 0.3136179000, 0.4271771000, 0.7749739000, 2.0210682000", \
+                        "0.2634575000, 0.2702401000, 0.2896680000, 0.3366185000, 0.4501299000, 0.7985316000, 2.0400008000", \
+                        "0.3347778000, 0.3419042000, 0.3614840000, 0.4074865000, 0.5209332000, 0.8680908000, 2.1116955000", \
+                        "0.5357556000, 0.5428650000, 0.5625915000, 0.6093919000, 0.7233786000, 1.0715108000, 2.3119089000", \
+                        "0.9599619000, 0.9705192000, 0.9987103000, 1.0590594000, 1.1873144000, 1.5398509000, 2.7827396000", \
+                        "1.7031998000, 1.7205392000, 1.7645112000, 1.8587916000, 2.0260214000, 2.3997753000, 3.6441556000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.2255919000, 0.2353844000, 0.2629781000, 0.3345938000, 0.5387206000, 1.2454203000, 3.8032093000", \
+                        "0.2336181000, 0.2432570000, 0.2709527000, 0.3426917000, 0.5467825000, 1.2536634000, 3.8150025000", \
+                        "0.2537748000, 0.2634155000, 0.2912420000, 0.3628572000, 0.5670838000, 1.2740954000, 3.8404431000", \
+                        "0.3075571000, 0.3171738000, 0.3449381000, 0.4164982000, 0.6208177000, 1.3257634000, 3.8884500000", \
+                        "0.4479120000, 0.4581540000, 0.4873763000, 0.5603049000, 0.7654518000, 1.4760022000, 4.0415471000", \
+                        "0.7255071000, 0.7385669000, 0.7737152000, 0.8571524000, 1.0697652000, 1.7769772000, 4.3322284000", \
+                        "1.1799521000, 1.1997013000, 1.2517156000, 1.3635931000, 1.5996796000, 2.3067501000, 4.8610231000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.0404210000, 0.0442281000, 0.0573951000, 0.0938694000, 0.2137393000, 0.6722734000, 2.3724387000", \
+                        "0.0403646000, 0.0445655000, 0.0576333000, 0.0938902000, 0.2135962000, 0.6718259000, 2.3707307000", \
+                        "0.0403124000, 0.0439094000, 0.0572514000, 0.0939552000, 0.2134270000, 0.6717378000, 2.3774778000", \
+                        "0.0399751000, 0.0440519000, 0.0567391000, 0.0939871000, 0.2133645000, 0.6716265000, 2.3835605000", \
+                        "0.0422231000, 0.0465367000, 0.0582432000, 0.0951263000, 0.2136871000, 0.6717828000, 2.3760576000", \
+                        "0.0738004000, 0.0785356000, 0.0915356000, 0.1255930000, 0.2353552000, 0.6756450000, 2.3816664000", \
+                        "0.1390837000, 0.1443736000, 0.1637556000, 0.2019845000, 0.3071604000, 0.7042760000, 2.3708380000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
+                    values("0.0497822000, 0.0567153000, 0.0787258000, 0.1491508000, 0.4099518000, 1.3978297000, 4.9943574000", \
+                        "0.0496442000, 0.0565974000, 0.0783833000, 0.1494917000, 0.4099809000, 1.4007545000, 5.0051305000", \
+                        "0.0495471000, 0.0566208000, 0.0788410000, 0.1494427000, 0.4103102000, 1.4006044000, 4.9911164000", \
+                        "0.0498849000, 0.0568978000, 0.0785920000, 0.1494190000, 0.4102299000, 1.4016325000, 5.0106093000", \
+                        "0.0547089000, 0.0618986000, 0.0835660000, 0.1525475000, 0.4111712000, 1.4044816000, 5.0138564000", \
+                        "0.0752192000, 0.0823240000, 0.1044796000, 0.1721198000, 0.4203387000, 1.3976957000, 4.9936379000", \
+                        "0.1295083000, 0.1375878000, 0.1633060000, 0.2292852000, 0.4502368000, 1.4062722000, 4.9962239000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2b_1 */
+
+/* removed sky130_fd_sc_hd__and2b_2 */
+
+/* removed sky130_fd_sc_hd__and2b_4 */
+
+/* removed sky130_fd_sc_hd__and3_1 */
+
+    cell ("sky130_fd_sc_hd__and3_2") {
+        leakage_power () {
+            value : 6.2028764000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 6.1756320000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 6.7471047000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 6.2064740000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 6.7185231000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 6.2066068000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 8.5765564000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 6.7270038000;
+            when : "A&B&!C";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__and3";
+        cell_leakage_power : 6.6950970000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0013720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013590000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0019182000, 0.0018912000, 0.0018638000, 0.0018371000, 0.0018104000, 0.0017840000, 0.0017579000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001539300, -0.001568200, -0.001603600, -0.001624900, -0.001638900, -0.001632400, -0.001568000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0013860000;
+        }
+        pin ("B") {
+            capacitance : 0.0014480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014240000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0019402000, 0.0019078000, 0.0018653000, 0.0018427000, 0.0018274000, 0.0018330000, 0.0018976000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001885100, -0.001908200, -0.001927100, -0.001952500, -0.001978000, -0.002004000, -0.002031200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014720000;
+        }
+        pin ("C") {
+            capacitance : 0.0014600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0017848000, 0.0017594000, 0.0017364000, 0.0017094000, 0.0016819000, 0.0016533000, 0.0016212000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001796100, -0.001819400, -0.001839100, -0.001864700, -0.001890900, -0.001918600, -0.001950800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015160000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0089916000, 0.0076363000, 0.0034758000, -0.011359900, -0.064277700, -0.242200900, -0.832592900", \
+                        "0.0089552000, 0.0077021000, 0.0035380000, -0.011323000, -0.064222100, -0.242183300, -0.832554300", \
+                        "0.0088896000, 0.0076448000, 0.0034486000, -0.011442500, -0.064275700, -0.242209500, -0.832645700", \
+                        "0.0087511000, 0.0074962000, 0.0033263000, -0.011590300, -0.064482600, -0.242348000, -0.832763900", \
+                        "0.0086518000, 0.0073807000, 0.0030906000, -0.011949000, -0.064836300, -0.242659500, -0.832957400", \
+                        "0.0093225000, 0.0079241000, 0.0029761000, -0.012275000, -0.065107000, -0.242843000, -0.832985400", \
+                        "0.0113752000, 0.0098672000, 0.0046800000, -0.011620100, -0.065188800, -0.242840800, -0.832941100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0122296000, 0.0137879000, 0.0190355000, 0.0358035000, 0.0892352000, 0.2666803000, 0.8489665000", \
+                        "0.0122286000, 0.0137825000, 0.0190819000, 0.0357289000, 0.0892183000, 0.2668256000, 0.8534826000", \
+                        "0.0122286000, 0.0137834000, 0.0190642000, 0.0358027000, 0.0891813000, 0.2656278000, 0.8502142000", \
+                        "0.0120739000, 0.0136313000, 0.0188856000, 0.0355055000, 0.0890355000, 0.2653837000, 0.8493726000", \
+                        "0.0119806000, 0.0135326000, 0.0186845000, 0.0350588000, 0.0887040000, 0.2653382000, 0.8480758000", \
+                        "0.0127365000, 0.0142099000, 0.0190504000, 0.0352087000, 0.0884690000, 0.2639694000, 0.8511961000", \
+                        "0.0133474000, 0.0147866000, 0.0195270000, 0.0356335000, 0.0891265000, 0.2659118000, 0.8516988000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0101942000, 0.0088373000, 0.0046833000, -0.010198100, -0.062970500, -0.240831800, -0.831038400", \
+                        "0.0101988000, 0.0088687000, 0.0046685000, -0.010163000, -0.062961100, -0.240784900, -0.831415900", \
+                        "0.0101772000, 0.0088364000, 0.0047072000, -0.010181500, -0.062966600, -0.240826200, -0.831064600", \
+                        "0.0101058000, 0.0087431000, 0.0045924000, -0.010312600, -0.063102500, -0.240943200, -0.831252400", \
+                        "0.0099544000, 0.0086275000, 0.0044008000, -0.010566900, -0.063388300, -0.241133400, -0.831409600", \
+                        "0.0096707000, 0.0082445000, 0.0039457000, -0.010922700, -0.063805700, -0.241393300, -0.831563500", \
+                        "0.0127540000, 0.0111491000, 0.0061471000, -0.010222600, -0.063847600, -0.241526900, -0.831553000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0121624000, 0.0136873000, 0.0189972000, 0.0357774000, 0.0888328000, 0.2652070000, 0.8513509000", \
+                        "0.0121605000, 0.0137220000, 0.0189875000, 0.0356923000, 0.0890743000, 0.2655285000, 0.8514001000", \
+                        "0.0121956000, 0.0137571000, 0.0189682000, 0.0357451000, 0.0891950000, 0.2666549000, 0.8511737000", \
+                        "0.0120834000, 0.0136515000, 0.0188158000, 0.0355538000, 0.0889058000, 0.2667172000, 0.8494073000", \
+                        "0.0120902000, 0.0136144000, 0.0187874000, 0.0353362000, 0.0889637000, 0.2665381000, 0.8485529000", \
+                        "0.0128632000, 0.0143533000, 0.0192727000, 0.0354453000, 0.0888478000, 0.2648978000, 0.8491214000", \
+                        "0.0132116000, 0.0146529000, 0.0194264000, 0.0355682000, 0.0889065000, 0.2658761000, 0.8491396000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0112798000, 0.0099002000, 0.0057262000, -0.009195400, -0.062079000, -0.239985600, -0.830297200", \
+                        "0.0112865000, 0.0099641000, 0.0057198000, -0.009250100, -0.062104700, -0.239987900, -0.830297300", \
+                        "0.0111736000, 0.0098019000, 0.0056227000, -0.009293000, -0.062174600, -0.240014200, -0.830362500", \
+                        "0.0110748000, 0.0097510000, 0.0055359000, -0.009428600, -0.062277700, -0.240141500, -0.830457300", \
+                        "0.0109182000, 0.0095777000, 0.0053629000, -0.009632300, -0.062504900, -0.240278300, -0.830550800", \
+                        "0.0108886000, 0.0094899000, 0.0051054000, -0.009890600, -0.062803900, -0.240502700, -0.830654400", \
+                        "0.0139709000, 0.0123649000, 0.0069260000, -0.009287700, -0.062840700, -0.240483700, -0.830521500");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563190, 0.0054867880, 0.0181757500, 0.0602096800, 0.1994529000, 0.6607155000");
+                    values("0.0125098000, 0.0140693000, 0.0193259000, 0.0359924000, 0.0893778000, 0.2657502000, 0.8512029000", \
+                        "0.0125218000, 0.0141236000, 0.0194071000, 0.0358771000, 0.0894784000, 0.2668034000, 0.8535577000", \
+                        "0.0124970000, 0.0140507000, 0.0192225000, 0.0359986000, 0.0893550000, 0.2657790000, 0.8511678000", \
+                        "0.0124302000, 0.0139624000, 0.0191622000, 0.0359249000, 0.0892309000, 0.2657121000, 0.8487962000", \
+                        "0.0122925000, 0.0138127000, 0.0189759000, 0.0355793000, 0.0889770000, 0.2642745000, 0.8493297000", \
+                        "0.0129177000, 0.0144072000, 0.0193890000, 0.0355688000, 0.0889122000, 0.2650362000, 0.8489550000", \
+                        "0.0135132000, 0.0149449000, 0.0197403000, 0.0359774000, 0.0898785000, 0.2664420000, 0.8515644000");
+                }
+            }
+            max_capacitance : 0.1941020000;
+            max_transition : 1.4971180000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.3038548000, 0.3174704000, 0.3500793000, 0.4191014000, 0.5711590000, 0.9949972000, 2.3673694000", \
+                        "0.3125814000, 0.3263388000, 0.3590828000, 0.4277581000, 0.5801042000, 1.0036993000, 2.3786693000", \
+                        "0.3366117000, 0.3490047000, 0.3824508000, 0.4513203000, 0.6035381000, 1.0269753000, 2.4124367000", \
+                        "0.4058740000, 0.4197313000, 0.4522937000, 0.5210913000, 0.6738121000, 1.0970169000, 2.4729885000", \
+                        "0.6109364000, 0.6241794000, 0.6569022000, 0.7257545000, 0.8787347000, 1.3019662000, 2.6920168000", \
+                        "1.0939074000, 1.1134519000, 1.1535825000, 1.2348860000, 1.3994735000, 1.8202040000, 3.2076173000", \
+                        "1.9625495000, 1.9909792000, 2.0554829000, 2.1752957000, 2.3830946000, 2.8345513000, 4.2055492000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.2725203000, 0.2896066000, 0.3314814000, 0.4258559000, 0.6642707000, 1.4098672000, 3.8538022000", \
+                        "0.2791358000, 0.2964309000, 0.3384040000, 0.4322349000, 0.6709201000, 1.4166114000, 3.8665258000", \
+                        "0.2984851000, 0.3156069000, 0.3574315000, 0.4516195000, 0.6905573000, 1.4328975000, 3.8798200000", \
+                        "0.3572369000, 0.3743542000, 0.4160516000, 0.5101172000, 0.7495289000, 1.4911112000, 3.9381720000", \
+                        "0.5194394000, 0.5368693000, 0.5789805000, 0.6725063000, 0.9117532000, 1.6545000000, 4.1017372000", \
+                        "0.8341535000, 0.8576422000, 0.9098985000, 1.0160079000, 1.2625736000, 1.9989665000, 4.4479678000", \
+                        "1.3119206000, 1.3471307000, 1.4266306000, 1.5735209000, 1.8416890000, 2.5839271000, 5.0206244000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0527226000, 0.0613143000, 0.0810635000, 0.1359096000, 0.2940440000, 0.8433567000, 2.7214155000", \
+                        "0.0525248000, 0.0605265000, 0.0820055000, 0.1359094000, 0.2936941000, 0.8424942000, 2.7347888000", \
+                        "0.0527736000, 0.0616360000, 0.0812997000, 0.1352878000, 0.2937029000, 0.8415538000, 2.7298672000", \
+                        "0.0527552000, 0.0604346000, 0.0815298000, 0.1357469000, 0.2931847000, 0.8434461000, 2.7126992000", \
+                        "0.0533376000, 0.0618944000, 0.0817210000, 0.1360621000, 0.2937686000, 0.8424605000, 2.7303400000", \
+                        "0.0822872000, 0.0912783000, 0.1115173000, 0.1623851000, 0.3096902000, 0.8444691000, 2.7305067000", \
+                        "0.1500831000, 0.1629501000, 0.1902509000, 0.2454839000, 0.3844067000, 0.8806222000, 2.7180316000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0598763000, 0.0715578000, 0.1045477000, 0.1936638000, 0.4911705000, 1.5343880000, 4.9989799000", \
+                        "0.0599252000, 0.0716677000, 0.1041277000, 0.1935326000, 0.4916549000, 1.5344963000, 5.0025615000", \
+                        "0.0597221000, 0.0716381000, 0.1039659000, 0.1936634000, 0.4909390000, 1.5346295000, 4.9891011000", \
+                        "0.0596679000, 0.0715565000, 0.1042799000, 0.1937612000, 0.4913530000, 1.5289655000, 5.0013331000", \
+                        "0.0635937000, 0.0750067000, 0.1059929000, 0.1967223000, 0.4913964000, 1.5344551000, 4.9920317000", \
+                        "0.0955391000, 0.1071513000, 0.1352144000, 0.2174148000, 0.5016183000, 1.5325458000, 5.0043978000", \
+                        "0.1616957000, 0.1771462000, 0.2151043000, 0.2927036000, 0.5403236000, 1.5415818000, 4.9967497000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.3443751000, 0.3585550000, 0.3922800000, 0.4635772000, 0.6190629000, 1.0447836000, 2.4208779000", \
+                        "0.3526970000, 0.3669571000, 0.4006894000, 0.4715036000, 0.6273600000, 1.0531490000, 2.4291746000", \
+                        "0.3772757000, 0.3913999000, 0.4252898000, 0.4962520000, 0.6520285000, 1.0773346000, 2.4583255000", \
+                        "0.4487374000, 0.4630384000, 0.4969786000, 0.5678825000, 0.7234194000, 1.1482861000, 2.5281808000", \
+                        "0.6576326000, 0.6718778000, 0.7056110000, 0.7764664000, 0.9321034000, 1.3579788000, 2.7382123000", \
+                        "1.1857973000, 1.2035992000, 1.2446757000, 1.3244057000, 1.4883446000, 1.9158244000, 3.2914758000", \
+                        "2.1775448000, 2.2050899000, 2.2676463000, 2.3838203000, 2.5880460000, 3.0371172000, 4.4106683000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.2857392000, 0.3031295000, 0.3447131000, 0.4390947000, 0.6783117000, 1.4228979000, 3.8739856000", \
+                        "0.2935551000, 0.3106691000, 0.3525069000, 0.4463746000, 0.6857238000, 1.4278184000, 3.8798604000", \
+                        "0.3134425000, 0.3305339000, 0.3724538000, 0.4667836000, 0.7051422000, 1.4503464000, 3.8992893000", \
+                        "0.3666223000, 0.3837469000, 0.4254786000, 0.5197400000, 0.7585649000, 1.5036298000, 3.9482368000", \
+                        "0.5101368000, 0.5276817000, 0.5696629000, 0.6644617000, 0.9034799000, 1.6493633000, 4.0941179000", \
+                        "0.8057863000, 0.8272383000, 0.8778988000, 0.9832424000, 1.2294582000, 1.9728110000, 4.4206740000", \
+                        "1.2807337000, 1.3127162000, 1.3856489000, 1.5230641000, 1.7868851000, 2.5314852000, 4.9789333000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0566998000, 0.0655029000, 0.0873368000, 0.1402084000, 0.2976552000, 0.8460551000, 2.7172258000", \
+                        "0.0560721000, 0.0642815000, 0.0860781000, 0.1405971000, 0.2976919000, 0.8461548000, 2.7178249000", \
+                        "0.0566754000, 0.0648055000, 0.0864779000, 0.1404674000, 0.2981602000, 0.8446793000, 2.7199574000", \
+                        "0.0569309000, 0.0650945000, 0.0857320000, 0.1408629000, 0.2978219000, 0.8461529000, 2.7297187000", \
+                        "0.0561075000, 0.0642719000, 0.0853685000, 0.1404562000, 0.2973229000, 0.8458121000, 2.7162788000", \
+                        "0.0801614000, 0.0885599000, 0.1084247000, 0.1616998000, 0.3085476000, 0.8476239000, 2.7281195000", \
+                        "0.1448017000, 0.1574928000, 0.1839441000, 0.2385060000, 0.3805083000, 0.8778057000, 2.7184524000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0600249000, 0.0721764000, 0.1046497000, 0.1936508000, 0.4913941000, 1.5344255000, 5.0087530000", \
+                        "0.0596173000, 0.0715248000, 0.1040475000, 0.1939912000, 0.4910485000, 1.5338422000, 4.9973141000", \
+                        "0.0598562000, 0.0714872000, 0.1038361000, 0.1936384000, 0.4911860000, 1.5343521000, 5.0011320000", \
+                        "0.0596015000, 0.0712474000, 0.1037547000, 0.1936352000, 0.4916879000, 1.5346129000, 5.0030997000", \
+                        "0.0630389000, 0.0747641000, 0.1061691000, 0.1950931000, 0.4910585000, 1.5348163000, 4.9947646000", \
+                        "0.0841339000, 0.0960886000, 0.1282557000, 0.2137514000, 0.5001826000, 1.5324830000, 5.0023581000", \
+                        "0.1380030000, 0.1548418000, 0.1915121000, 0.2721139000, 0.5332827000, 1.5370140000, 5.0005800000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.3757457000, 0.3902437000, 0.4240880000, 0.4956783000, 0.6526035000, 1.0792890000, 2.4599538000", \
+                        "0.3832371000, 0.3976062000, 0.4317124000, 0.5033524000, 0.6602004000, 1.0868362000, 2.4637605000", \
+                        "0.4067525000, 0.4212809000, 0.4560086000, 0.5277738000, 0.6845875000, 1.1115098000, 2.4862958000", \
+                        "0.4787984000, 0.4933225000, 0.5271051000, 0.5986984000, 0.7556605000, 1.1818538000, 2.5609157000", \
+                        "0.6889745000, 0.7033221000, 0.7371652000, 0.8086199000, 0.9655815000, 1.3926993000, 2.7742544000", \
+                        "1.2358772000, 1.2534485000, 1.2961588000, 1.3746458000, 1.5363812000, 1.9640776000, 3.3393537000", \
+                        "2.2936284000, 2.3208506000, 2.3818698000, 2.4930902000, 2.6943883000, 3.1461618000, 4.5206270000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.3000928000, 0.3172118000, 0.3589379000, 0.4528762000, 0.6921313000, 1.4339286000, 3.8870525000", \
+                        "0.3082244000, 0.3255229000, 0.3675943000, 0.4612201000, 0.6999831000, 1.4448143000, 3.8943262000", \
+                        "0.3282003000, 0.3454493000, 0.3867457000, 0.4812404000, 0.7204738000, 1.4621814000, 3.9120258000", \
+                        "0.3760629000, 0.3933647000, 0.4349505000, 0.5292369000, 0.7679307000, 1.5102803000, 3.9566261000", \
+                        "0.4976390000, 0.5151659000, 0.5574535000, 0.6526087000, 0.8921504000, 1.6354101000, 4.0824757000", \
+                        "0.7537834000, 0.7746090000, 0.8237315000, 0.9280629000, 1.1744374000, 1.9182496000, 4.3629678000", \
+                        "1.2006577000, 1.2302491000, 1.2962474000, 1.4276639000, 1.6951400000, 2.4429143000, 4.8893645000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0581254000, 0.0656527000, 0.0874122000, 0.1424057000, 0.2997274000, 0.8477775000, 2.7170834000", \
+                        "0.0578943000, 0.0669284000, 0.0880428000, 0.1426521000, 0.3001405000, 0.8467309000, 2.7243003000", \
+                        "0.0575505000, 0.0656977000, 0.0889077000, 0.1427841000, 0.2992598000, 0.8476727000, 2.7231359000", \
+                        "0.0582103000, 0.0669272000, 0.0873254000, 0.1425851000, 0.3000065000, 0.8478263000, 2.7337514000", \
+                        "0.0575450000, 0.0657741000, 0.0873897000, 0.1420117000, 0.2993611000, 0.8476939000, 2.7194287000", \
+                        "0.0781368000, 0.0856885000, 0.1058863000, 0.1590817000, 0.3079746000, 0.8471894000, 2.7240536000", \
+                        "0.1406681000, 0.1511695000, 0.1781991000, 0.2334420000, 0.3758797000, 0.8776668000, 2.7243099000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016563200, 0.0054867900, 0.0181757000, 0.0602097000, 0.1994530000, 0.6607160000");
+                    values("0.0596612000, 0.0715355000, 0.1042747000, 0.1939459000, 0.4906840000, 1.5335171000, 4.9986477000", \
+                        "0.0604077000, 0.0714975000, 0.1040387000, 0.1940851000, 0.4917788000, 1.5341509000, 5.0036117000", \
+                        "0.0602002000, 0.0712382000, 0.1048515000, 0.1939611000, 0.4911343000, 1.5339324000, 4.9916511000", \
+                        "0.0599581000, 0.0715515000, 0.1038224000, 0.1938738000, 0.4904370000, 1.5344589000, 4.9969575000", \
+                        "0.0631411000, 0.0750552000, 0.1065235000, 0.1954032000, 0.4920471000, 1.5291091000, 5.0028688000", \
+                        "0.0771281000, 0.0899152000, 0.1232469000, 0.2115569000, 0.5018884000, 1.5325367000, 4.9976241000", \
+                        "0.1223841000, 0.1386224000, 0.1756805000, 0.2599689000, 0.5285440000, 1.5390427000, 4.9895372000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and3_4") {
+        leakage_power () {
+            value : 5.8962635000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 5.8647363000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 6.5371424000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 5.8994494000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 6.5491563000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 5.9006480000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 8.0603160000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 6.5506076000;
+            when : "A&B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and3";
+        cell_leakage_power : 6.4072900000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024980000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0024500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0041944000, 0.0041662000, 0.0041333000, 0.0041071000, 0.0040806000, 0.0040528000, 0.0040216000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003344300, -0.003373000, -0.003409800, -0.003426300, -0.003428800, -0.003392300, -0.003245900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025460000;
+        }
+        pin ("B") {
+            capacitance : 0.0024010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0033967000, 0.0033693000, 0.0033389000, 0.0033199000, 0.0033134000, 0.0033416000, 0.0034684000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003355800, -0.003380200, -0.003405300, -0.003429300, -0.003452900, -0.003475900, -0.003497300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024750000;
+        }
+        pin ("C") {
+            capacitance : 0.0024230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023170000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0035439000, 0.0035169000, 0.0034876000, 0.0034619000, 0.0034368000, 0.0034129000, 0.0033926000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003550400, -0.003572100, -0.003589300, -0.003613500, -0.003638000, -0.003663600, -0.003691600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025300000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0182136000, 0.0167883000, 0.0116197000, -0.008038500, -0.086458800, -0.376811800, -1.431295800", \
+                        "0.0182478000, 0.0167514000, 0.0116301000, -0.008017200, -0.086410000, -0.376686600, -1.431296200", \
+                        "0.0181784000, 0.0166827000, 0.0115326000, -0.008097000, -0.086484600, -0.376799000, -1.431288700", \
+                        "0.0179808000, 0.0164712000, 0.0112608000, -0.008482500, -0.086838500, -0.377058900, -1.431484700", \
+                        "0.0178447000, 0.0162502000, 0.0107899000, -0.009220600, -0.087565800, -0.377492000, -1.431780100", \
+                        "0.0197655000, 0.0180829000, 0.0122026000, -0.009493000, -0.088262800, -0.377865900, -1.431986500", \
+                        "0.0216207000, 0.0198446000, 0.0135371000, -0.007859900, -0.087592300, -0.377521200, -1.431150500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0230453000, 0.0248352000, 0.0312290000, 0.0543817000, 0.1345105000, 0.4230274000, 1.4640552000", \
+                        "0.0230412000, 0.0248042000, 0.0312099000, 0.0545244000, 0.1344410000, 0.4230705000, 1.4685688000", \
+                        "0.0229836000, 0.0247080000, 0.0311547000, 0.0541606000, 0.1344936000, 0.4224973000, 1.4724697000", \
+                        "0.0226511000, 0.0244002000, 0.0308506000, 0.0540333000, 0.1342063000, 0.4228077000, 1.4692116000", \
+                        "0.0223967000, 0.0244159000, 0.0307743000, 0.0538083000, 0.1336108000, 0.4223093000, 1.4679277000", \
+                        "0.0239057000, 0.0255550000, 0.0316093000, 0.0538277000, 0.1336521000, 0.4210600000, 1.4667778000", \
+                        "0.0263105000, 0.0279133000, 0.0337184000, 0.0558387000, 0.1354083000, 0.4215473000, 1.4676052000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0205011000, 0.0189693000, 0.0137325000, -0.005891800, -0.084130000, -0.374253000, -1.428596700", \
+                        "0.0204342000, 0.0189413000, 0.0137189000, -0.005908900, -0.084134800, -0.374256200, -1.428581600", \
+                        "0.0203897000, 0.0188671000, 0.0136443000, -0.006004600, -0.084175000, -0.374329600, -1.428686800", \
+                        "0.0201673000, 0.0186678000, 0.0133894000, -0.006283300, -0.084428000, -0.374535400, -1.428815400", \
+                        "0.0199147000, 0.0184018000, 0.0129833000, -0.006940500, -0.085073200, -0.374882000, -1.429076900", \
+                        "0.0219535000, 0.0203141000, 0.0145471000, -0.007260600, -0.085771600, -0.375325900, -1.429298700", \
+                        "0.0238570000, 0.0220509000, 0.0161117000, -0.006165000, -0.085720500, -0.375447200, -1.428902500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0233647000, 0.0250617000, 0.0315275000, 0.0546590000, 0.1347412000, 0.4231676000, 1.4684716000", \
+                        "0.0233662000, 0.0251659000, 0.0315862000, 0.0548746000, 0.1350212000, 0.4228118000, 1.4657414000", \
+                        "0.0232593000, 0.0250467000, 0.0314929000, 0.0545894000, 0.1347632000, 0.4227704000, 1.4728997000", \
+                        "0.0230610000, 0.0248441000, 0.0312839000, 0.0544536000, 0.1346367000, 0.4223923000, 1.4727281000", \
+                        "0.0229130000, 0.0246672000, 0.0309670000, 0.0541199000, 0.1341734000, 0.4242350000, 1.4727275000", \
+                        "0.0243380000, 0.0260306000, 0.0321288000, 0.0538717000, 0.1339977000, 0.4215104000, 1.4652417000", \
+                        "0.0251537000, 0.0267688000, 0.0327251000, 0.0551936000, 0.1358729000, 0.4232572000, 1.4665021000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0218880000, 0.0203209000, 0.0151524000, -0.004635400, -0.082893600, -0.372989000, -1.427364800", \
+                        "0.0218634000, 0.0203399000, 0.0150623000, -0.004746300, -0.082992000, -0.373104800, -1.427364400", \
+                        "0.0217781000, 0.0202970000, 0.0149760000, -0.004794900, -0.083041800, -0.373155000, -1.427416200", \
+                        "0.0215607000, 0.0200756000, 0.0148036000, -0.005031000, -0.083208000, -0.373269500, -1.427571400", \
+                        "0.0214378000, 0.0199053000, 0.0145260000, -0.005410500, -0.083677100, -0.373505900, -1.427697300", \
+                        "0.0231136000, 0.0214504000, 0.0156894000, -0.006293400, -0.084178900, -0.373832800, -1.427782100", \
+                        "0.0265901000, 0.0247840000, 0.0177480000, -0.003626200, -0.083884200, -0.373693700, -1.427265000");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
+                    values("0.0235900000, 0.0254101000, 0.0317544000, 0.0550587000, 0.1348894000, 0.4232735000, 1.4643077000", \
+                        "0.0235982000, 0.0253622000, 0.0318472000, 0.0551085000, 0.1349518000, 0.4234846000, 1.4683288000", \
+                        "0.0235036000, 0.0252797000, 0.0316921000, 0.0549817000, 0.1349828000, 0.4228139000, 1.4698832000", \
+                        "0.0232362000, 0.0250087000, 0.0314376000, 0.0547351000, 0.1347797000, 0.4226774000, 1.4727624000", \
+                        "0.0230846000, 0.0247649000, 0.0311137000, 0.0543355000, 0.1343149000, 0.4225284000, 1.4652155000", \
+                        "0.0243741000, 0.0260736000, 0.0322427000, 0.0544151000, 0.1347606000, 0.4236833000, 1.4678434000", \
+                        "0.0251895000, 0.0268362000, 0.0327158000, 0.0549984000, 0.1353646000, 0.4230070000, 1.4698485000");
+                }
+            }
+            max_capacitance : 0.3341770000;
+            max_transition : 1.5030290000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.2170951000, 0.2242915000, 0.2440883000, 0.2914686000, 0.4049140000, 0.7480321000, 1.9730446000", \
+                        "0.2255622000, 0.2326621000, 0.2525149000, 0.2999440000, 0.4127638000, 0.7570486000, 1.9836437000", \
+                        "0.2501004000, 0.2571905000, 0.2770133000, 0.3244007000, 0.4374190000, 0.7817185000, 2.0063979000", \
+                        "0.3178282000, 0.3249403000, 0.3446054000, 0.3917584000, 0.5052189000, 0.8494491000, 2.0731319000", \
+                        "0.5116441000, 0.5190372000, 0.5393473000, 0.5871073000, 0.7013453000, 1.0461598000, 2.2712054000", \
+                        "0.8950490000, 0.9062019000, 0.9359680000, 0.9994827000, 1.1304518000, 1.4823582000, 2.7026588000", \
+                        "1.5355806000, 1.5522319000, 1.6001139000, 1.6960794000, 1.8728694000, 2.2502642000, 3.4785244000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.3098647000, 0.3221375000, 0.3561743000, 0.4415942000, 0.6609314000, 1.3716656000, 3.9306371000", \
+                        "0.3159359000, 0.3282273000, 0.3626550000, 0.4477254000, 0.6670818000, 1.3779212000, 3.9367172000", \
+                        "0.3345684000, 0.3467979000, 0.3812486000, 0.4657791000, 0.6853079000, 1.3954119000, 3.9552948000", \
+                        "0.3912964000, 0.4030739000, 0.4381998000, 0.5231180000, 0.7421008000, 1.4532595000, 4.0137573000", \
+                        "0.5544116000, 0.5665651000, 0.6011378000, 0.6864319000, 0.9055142000, 1.6160537000, 4.1738550000", \
+                        "0.8895095000, 0.9052149000, 0.9481206000, 1.0442265000, 1.2710766000, 1.9781856000, 4.5520867000", \
+                        "1.4147635000, 1.4383413000, 1.5012531000, 1.6349825000, 1.8916584000, 2.5951916000, 5.1474416000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0388393000, 0.0430201000, 0.0557094000, 0.0935256000, 0.2123789000, 0.6645138000, 2.3438678000", \
+                        "0.0389381000, 0.0432236000, 0.0564913000, 0.0932911000, 0.2126926000, 0.6642858000, 2.3515334000", \
+                        "0.0389328000, 0.0432404000, 0.0557784000, 0.0932734000, 0.2125528000, 0.6643533000, 2.3430704000", \
+                        "0.0393560000, 0.0430370000, 0.0557532000, 0.0937560000, 0.2120375000, 0.6644430000, 2.3431614000", \
+                        "0.0437640000, 0.0476573000, 0.0590971000, 0.0956282000, 0.2132502000, 0.6648798000, 2.3422857000", \
+                        "0.0769139000, 0.0810884000, 0.0944884000, 0.1293247000, 0.2386979000, 0.6683716000, 2.3417697000", \
+                        "0.1418976000, 0.1507248000, 0.1692727000, 0.2120726000, 0.3128396000, 0.7031598000, 2.3456612000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0662409000, 0.0742244000, 0.1008523000, 0.1745258000, 0.4278540000, 1.4109915000, 4.9908264000", \
+                        "0.0661950000, 0.0742686000, 0.1008267000, 0.1745517000, 0.4277721000, 1.4109794000, 4.9989071000", \
+                        "0.0660987000, 0.0743036000, 0.1000016000, 0.1741612000, 0.4285989000, 1.4057807000, 5.0005102000", \
+                        "0.0663522000, 0.0742749000, 0.0999182000, 0.1739960000, 0.4283320000, 1.4113135000, 4.9992552000", \
+                        "0.0680402000, 0.0764857000, 0.1017564000, 0.1751483000, 0.4294126000, 1.4103774000, 4.9935642000", \
+                        "0.0992814000, 0.1072880000, 0.1302623000, 0.1983560000, 0.4404891000, 1.4072397000, 5.0147655000", \
+                        "0.1657085000, 0.1789403000, 0.2063450000, 0.2741276000, 0.4841472000, 1.4199925000, 4.9952189000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.2518622000, 0.2592984000, 0.2799462000, 0.3291813000, 0.4455132000, 0.7908603000, 2.0164546000", \
+                        "0.2601246000, 0.2676311000, 0.2883011000, 0.3376016000, 0.4539321000, 0.7992541000, 2.0265103000", \
+                        "0.2850341000, 0.2924051000, 0.3131514000, 0.3624080000, 0.4788431000, 0.8244484000, 2.0509123000", \
+                        "0.3542947000, 0.3618286000, 0.3837432000, 0.4329488000, 0.5477624000, 0.8938529000, 2.1235298000", \
+                        "0.5576093000, 0.5650874000, 0.5859259000, 0.6350260000, 0.7511891000, 1.0974224000, 2.3248319000", \
+                        "1.0032182000, 1.0141463000, 1.0409384000, 1.1036163000, 1.2361473000, 1.5865129000, 2.8116016000", \
+                        "1.7936385000, 1.8112261000, 1.8563971000, 1.9517818000, 2.1258220000, 2.5026228000, 3.7256616000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.3265498000, 0.3382816000, 0.3730922000, 0.4582630000, 0.6775495000, 1.3880105000, 3.9453276000", \
+                        "0.3336680000, 0.3460762000, 0.3808621000, 0.4656500000, 0.6841844000, 1.3931802000, 3.9472594000", \
+                        "0.3533000000, 0.3655521000, 0.4002284000, 0.4849490000, 0.7042231000, 1.4144149000, 3.9754755000", \
+                        "0.4048582000, 0.4170722000, 0.4516401000, 0.5366078000, 0.7555472000, 1.4679657000, 4.0259485000", \
+                        "0.5481453000, 0.5600896000, 0.5951214000, 0.6805947000, 0.8998732000, 1.6119432000, 4.1720774000", \
+                        "0.8547540000, 0.8698106000, 0.9113900000, 1.0058324000, 1.2312824000, 1.9430446000, 4.4953223000", \
+                        "1.3580488000, 1.3798411000, 1.4377417000, 1.5601243000, 1.8149137000, 2.5337676000, 5.0898254000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0424284000, 0.0468181000, 0.0597378000, 0.0979637000, 0.2165131000, 0.6663323000, 2.3489914000", \
+                        "0.0429610000, 0.0466910000, 0.0596877000, 0.0979525000, 0.2163631000, 0.6664412000, 2.3546871000", \
+                        "0.0423484000, 0.0465766000, 0.0597329000, 0.0974417000, 0.2162100000, 0.6660891000, 2.3460855000", \
+                        "0.0428427000, 0.0470703000, 0.0597037000, 0.0978391000, 0.2161781000, 0.6644523000, 2.3549180000", \
+                        "0.0434086000, 0.0477870000, 0.0614099000, 0.0985797000, 0.2163621000, 0.6658168000, 2.3475948000", \
+                        "0.0741181000, 0.0790188000, 0.0928013000, 0.1277252000, 0.2377081000, 0.6703441000, 2.3464746000", \
+                        "0.1380875000, 0.1462507000, 0.1644640000, 0.2067569000, 0.3088918000, 0.7017618000, 2.3431728000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0662088000, 0.0749666000, 0.1008932000, 0.1746345000, 0.4277504000, 1.4107736000, 4.9921312000", \
+                        "0.0661395000, 0.0742243000, 0.0995358000, 0.1737119000, 0.4285985000, 1.4078917000, 4.9973439000", \
+                        "0.0661318000, 0.0741405000, 0.0992012000, 0.1746011000, 0.4279953000, 1.4078751000, 5.0027733000", \
+                        "0.0659545000, 0.0739963000, 0.0992163000, 0.1738482000, 0.4277539000, 1.4093540000, 5.0010867000", \
+                        "0.0681355000, 0.0767424000, 0.1018871000, 0.1753401000, 0.4292028000, 1.4109354000, 5.0037072000", \
+                        "0.0884216000, 0.0969218000, 0.1230060000, 0.1950594000, 0.4405218000, 1.4060463000, 4.9994471000", \
+                        "0.1446497000, 0.1543976000, 0.1838937000, 0.2600354000, 0.4776497000, 1.4184717000, 4.9998761000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.2700697000, 0.2776623000, 0.2987452000, 0.3485666000, 0.4660215000, 0.8127718000, 2.0387096000", \
+                        "0.2776376000, 0.2852278000, 0.3062625000, 0.3562655000, 0.4738323000, 0.8205270000, 2.0479318000", \
+                        "0.3018914000, 0.3095084000, 0.3309759000, 0.3805402000, 0.4984188000, 0.8454283000, 2.0764948000", \
+                        "0.3724272000, 0.3800547000, 0.4028045000, 0.4510178000, 0.5701015000, 0.9175012000, 2.1485933000", \
+                        "0.5770517000, 0.5846097000, 0.6058506000, 0.6547700000, 0.7734019000, 1.1204020000, 2.3481772000", \
+                        "1.0456535000, 1.0563932000, 1.0843881000, 1.1452451000, 1.2761187000, 1.6268387000, 2.8572682000", \
+                        "1.8869184000, 1.9038140000, 1.9490025000, 2.0424529000, 2.2132138000, 2.5896096000, 3.8179997000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.3355652000, 0.3479986000, 0.3827281000, 0.4676780000, 0.6868847000, 1.3972298000, 3.9549093000", \
+                        "0.3438417000, 0.3560857000, 0.3908078000, 0.4757538000, 0.6944663000, 1.4038603000, 3.9571200000", \
+                        "0.3632350000, 0.3754520000, 0.4103272000, 0.4952026000, 0.7137287000, 1.4230201000, 3.9870343000", \
+                        "0.4101372000, 0.4223753000, 0.4569140000, 0.5419739000, 0.7607319000, 1.4709035000, 4.0319272000", \
+                        "0.5329108000, 0.5450388000, 0.5798480000, 0.6655103000, 0.8846853000, 1.5950966000, 4.1549901000", \
+                        "0.7979166000, 0.8124866000, 0.8527614000, 0.9471329000, 1.1756176000, 1.8890509000, 4.4526614000", \
+                        "1.2633556000, 1.2836402000, 1.3376005000, 1.4566379000, 1.7097113000, 2.4296667000, 4.9826254000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0443016000, 0.0485716000, 0.0617133000, 0.1000054000, 0.2182508000, 0.6672581000, 2.3483187000", \
+                        "0.0441331000, 0.0485936000, 0.0615222000, 0.0994315000, 0.2184075000, 0.6674150000, 2.3527540000", \
+                        "0.0438845000, 0.0482430000, 0.0616138000, 0.1000380000, 0.2182830000, 0.6673891000, 2.3450553000", \
+                        "0.0440557000, 0.0481940000, 0.0622417000, 0.0996400000, 0.2179788000, 0.6662698000, 2.3453095000", \
+                        "0.0442720000, 0.0486209000, 0.0623337000, 0.1004759000, 0.2185458000, 0.6680750000, 2.3483766000", \
+                        "0.0727612000, 0.0776230000, 0.0908652000, 0.1267156000, 0.2369782000, 0.6707835000, 2.3538953000", \
+                        "0.1357090000, 0.1431952000, 0.1608091000, 0.2026778000, 0.3049158000, 0.7009794000, 2.3485665000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
+                    values("0.0666573000, 0.0754047000, 0.1007816000, 0.1745338000, 0.4279050000, 1.4108642000, 4.9879216000", \
+                        "0.0664336000, 0.0744928000, 0.0996375000, 0.1737151000, 0.4287123000, 1.4115641000, 4.9895021000", \
+                        "0.0664372000, 0.0744889000, 0.1000707000, 0.1736533000, 0.4287772000, 1.4061080000, 5.0115565000", \
+                        "0.0658481000, 0.0740591000, 0.1000779000, 0.1736991000, 0.4283032000, 1.4080541000, 5.0016720000", \
+                        "0.0681575000, 0.0771892000, 0.1009540000, 0.1756552000, 0.4287958000, 1.4093748000, 5.0034079000", \
+                        "0.0831926000, 0.0919185000, 0.1182137000, 0.1930372000, 0.4393106000, 1.4074488000, 4.9969144000", \
+                        "0.1282672000, 0.1392620000, 0.1678940000, 0.2399300000, 0.4724256000, 1.4177756000, 4.9939546000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and3b_1 */
+
+/* removed sky130_fd_sc_hd__and3b_2 */
+
+/* removed sky130_fd_sc_hd__and3b_4 */
+
+/* removed sky130_fd_sc_hd__and4_1 */
+
+    cell ("sky130_fd_sc_hd__and4_2") {
+        leakage_power () {
+            value : 4.4735908000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 4.4642805000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 4.5019615000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 4.4740061000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 4.5065329000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 4.4758535000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 5.0568509000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 4.5079864000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 4.5070920000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 4.4761306000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 5.0461870000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 4.5085482000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 5.0615840000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 4.5092059000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 8.9216989000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 5.0561346000;
+            when : "A&B&C&!D";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__and4";
+        cell_leakage_power : 4.9092280000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014350000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0021756000, 0.0021587000, 0.0021460000, 0.0021265000, 0.0021065000, 0.0020854000, 0.0020612000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001734300, -0.001753600, -0.001774400, -0.001788300, -0.001794100, -0.001777200, -0.001696300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014540000;
+        }
+        pin ("B") {
+            capacitance : 0.0014670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0016989000, 0.0016772000, 0.0016509000, 0.0016350000, 0.0016254000, 0.0016334000, 0.0016911000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001680900, -0.001698700, -0.001715500, -0.001733600, -0.001751500, -0.001768600, -0.001783700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014870000;
+        }
+        pin ("C") {
+            capacitance : 0.0014620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0014726000, 0.0014533000, 0.0014340000, 0.0014148000, 0.0013959000, 0.0013776000, 0.0013616000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001472200, -0.001492000, -0.001514300, -0.001532500, -0.001550700, -0.001568800, -0.001586100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014860000;
+        }
+        pin ("D") {
+            capacitance : 0.0014730000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0016669000, 0.0016472000, 0.0016267000, 0.0016074000, 0.0015885000, 0.0015701000, 0.0015537000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001671600, -0.001689000, -0.001704700, -0.001723100, -0.001741700, -0.001760800, -0.001781400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015180000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C&D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0088552000, 0.0075807000, 0.0035703000, -0.010909100, -0.062133500, -0.233475000, -0.798314900", \
+                        "0.0088675000, 0.0076176000, 0.0035742000, -0.010865700, -0.062098000, -0.233490800, -0.798287900", \
+                        "0.0089203000, 0.0076257000, 0.0035623000, -0.010915100, -0.062137600, -0.233438800, -0.798339800", \
+                        "0.0087110000, 0.0074198000, 0.0033800000, -0.011097200, -0.062296800, -0.233642100, -0.798425000", \
+                        "0.0087020000, 0.0073805000, 0.0031327000, -0.011447800, -0.062688300, -0.233944100, -0.798685700", \
+                        "0.0090437000, 0.0075843000, 0.0027371000, -0.011924300, -0.063076500, -0.234231100, -0.798856400", \
+                        "0.0109902000, 0.0093996000, 0.0046646000, -0.011171400, -0.063100800, -0.234244800, -0.798778600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0122525000, 0.0137442000, 0.0189208000, 0.0354571000, 0.0874639000, 0.2572930000, 0.8152861000", \
+                        "0.0122268000, 0.0137612000, 0.0189149000, 0.0354475000, 0.0874647000, 0.2573045000, 0.8152406000", \
+                        "0.0121228000, 0.0136541000, 0.0188779000, 0.0354195000, 0.0874475000, 0.2572911000, 0.8171539000", \
+                        "0.0120309000, 0.0135615000, 0.0186758000, 0.0352245000, 0.0872287000, 0.2573824000, 0.8171792000", \
+                        "0.0119225000, 0.0134667000, 0.0185879000, 0.0349048000, 0.0869470000, 0.2571496000, 0.8170323000", \
+                        "0.0125775000, 0.0140228000, 0.0187383000, 0.0348096000, 0.0865027000, 0.2563195000, 0.8150587000", \
+                        "0.0135406000, 0.0149557000, 0.0196110000, 0.0352946000, 0.0876822000, 0.2561828000, 0.8180280000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0102027000, 0.0089264000, 0.0048588000, -0.009611800, -0.060719800, -0.232021700, -0.796694000", \
+                        "0.0102262000, 0.0089164000, 0.0049169000, -0.009639200, -0.060723700, -0.231946100, -0.796750000", \
+                        "0.0101672000, 0.0088904000, 0.0048445000, -0.009654500, -0.060756900, -0.231986300, -0.796762200", \
+                        "0.0101366000, 0.0088005000, 0.0047324000, -0.009736400, -0.060858200, -0.232057300, -0.796922600", \
+                        "0.0098809000, 0.0085720000, 0.0044887000, -0.010039200, -0.061176100, -0.232384100, -0.797110600", \
+                        "0.0096265000, 0.0082878000, 0.0041099000, -0.010453400, -0.061574800, -0.232641600, -0.797236400", \
+                        "0.0126034000, 0.0112683000, 0.0060956000, -0.009774300, -0.061750400, -0.232855800, -0.797303500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0122732000, 0.0137999000, 0.0188728000, 0.0353809000, 0.0873071000, 0.2575462000, 0.8172450000", \
+                        "0.0122919000, 0.0138391000, 0.0190139000, 0.0352740000, 0.0873567000, 0.2574131000, 0.8200893000", \
+                        "0.0122700000, 0.0137998000, 0.0188522000, 0.0353657000, 0.0875033000, 0.2575985000, 0.8172538000", \
+                        "0.0122306000, 0.0137646000, 0.0188928000, 0.0354671000, 0.0871870000, 0.2573913000, 0.8161598000", \
+                        "0.0121999000, 0.0137051000, 0.0188108000, 0.0352961000, 0.0874006000, 0.2574529000, 0.8175594000", \
+                        "0.0128456000, 0.0142853000, 0.0190459000, 0.0352045000, 0.0869614000, 0.2567820000, 0.8157516000", \
+                        "0.0137384000, 0.0150783000, 0.0198751000, 0.0355936000, 0.0876539000, 0.2576248000, 0.8181891000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0113939000, 0.0100985000, 0.0059799000, -0.008414300, -0.059429300, -0.230648600, -0.795309500", \
+                        "0.0114257000, 0.0101253000, 0.0060179000, -0.008464500, -0.059434800, -0.230666300, -0.795339400", \
+                        "0.0113345000, 0.0099665000, 0.0059592000, -0.008493200, -0.059519300, -0.230684200, -0.795360400", \
+                        "0.0112869000, 0.0099691000, 0.0058690000, -0.008558700, -0.059608600, -0.230758900, -0.795466500", \
+                        "0.0111189000, 0.0098215000, 0.0057117000, -0.008786600, -0.059845500, -0.230957100, -0.795552300", \
+                        "0.0109794000, 0.0096184000, 0.0055546000, -0.009006400, -0.060116700, -0.231128500, -0.795661400", \
+                        "0.0144088000, 0.0128308000, 0.0077450000, -0.008171800, -0.060194400, -0.231291200, -0.795703700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0121622000, 0.0136864000, 0.0188222000, 0.0353728000, 0.0873458000, 0.2571368000, 0.8150943000", \
+                        "0.0120998000, 0.0136312000, 0.0186941000, 0.0351834000, 0.0874019000, 0.2573449000, 0.8173464000", \
+                        "0.0121507000, 0.0136741000, 0.0188184000, 0.0351924000, 0.0871595000, 0.2574544000, 0.8156059000", \
+                        "0.0120349000, 0.0135885000, 0.0187571000, 0.0351967000, 0.0871494000, 0.2571657000, 0.8194551000", \
+                        "0.0119922000, 0.0135229000, 0.0185803000, 0.0352165000, 0.0871853000, 0.2568253000, 0.8152428000", \
+                        "0.0127020000, 0.0141780000, 0.0189924000, 0.0349946000, 0.0866767000, 0.2567433000, 0.8154851000", \
+                        "0.0135299000, 0.0149610000, 0.0197349000, 0.0355836000, 0.0877892000, 0.2575151000, 0.8185885000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0123363000, 0.0110238000, 0.0069613000, -0.007547400, -0.058628500, -0.229835200, -0.794472500", \
+                        "0.0123651000, 0.0110313000, 0.0069715000, -0.007590800, -0.058671800, -0.229866400, -0.794504200", \
+                        "0.0122770000, 0.0109629000, 0.0068583000, -0.007610400, -0.058736800, -0.229899700, -0.794544400", \
+                        "0.0121604000, 0.0108100000, 0.0068295000, -0.007726400, -0.058789000, -0.229966900, -0.794609900", \
+                        "0.0120744000, 0.0107520000, 0.0066689000, -0.007870900, -0.058950400, -0.230076500, -0.794684600", \
+                        "0.0120218000, 0.0106665000, 0.0065544000, -0.008095900, -0.059231400, -0.230265400, -0.794804300", \
+                        "0.0154570000, 0.0138875000, 0.0089306000, -0.007007900, -0.059061400, -0.230233900, -0.794709000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118530, 0.0178046700, 0.0585763000, 0.1927125000, 0.6340126000");
+                    values("0.0122634000, 0.0137873000, 0.0189707000, 0.0352279000, 0.0873228000, 0.2572749000, 0.8194353000", \
+                        "0.0122438000, 0.0137688000, 0.0189806000, 0.0352683000, 0.0872869000, 0.2574235000, 0.8197595000", \
+                        "0.0122973000, 0.0137867000, 0.0189627000, 0.0352151000, 0.0873025000, 0.2572747000, 0.8194432000", \
+                        "0.0122032000, 0.0137343000, 0.0188628000, 0.0354346000, 0.0873368000, 0.2572188000, 0.8194455000", \
+                        "0.0121078000, 0.0135954000, 0.0187590000, 0.0352759000, 0.0873862000, 0.2573673000, 0.8172946000", \
+                        "0.0129138000, 0.0143647000, 0.0191505000, 0.0349522000, 0.0869051000, 0.2581534000, 0.8157134000", \
+                        "0.0133550000, 0.0148138000, 0.0195938000, 0.0354294000, 0.0879028000, 0.2577776000, 0.8178757000");
+                }
+            }
+            max_capacitance : 0.1858620000;
+            max_transition : 1.4946580000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3134066000, 0.3271889000, 0.3595297000, 0.4271490000, 0.5726540000, 0.9652720000, 2.2220994000", \
+                        "0.3218666000, 0.3356989000, 0.3681081000, 0.4359484000, 0.5821369000, 0.9738060000, 2.2366782000", \
+                        "0.3468067000, 0.3603320000, 0.3922433000, 0.4601079000, 0.6064727000, 0.9985103000, 2.2607247000", \
+                        "0.4165674000, 0.4303896000, 0.4631054000, 0.5306271000, 0.6767981000, 1.0686363000, 2.3235402000", \
+                        "0.6220863000, 0.6357090000, 0.6680881000, 0.7358611000, 0.8821721000, 1.2745720000, 2.5302869000", \
+                        "1.1147692000, 1.1331591000, 1.1751909000, 1.2547321000, 1.4125201000, 1.8031880000, 3.0633200000", \
+                        "2.0062190000, 2.0344373000, 2.0992439000, 2.2167388000, 2.4198419000, 2.8468345000, 4.0830179000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3481986000, 0.3686215000, 0.4180553000, 0.5259722000, 0.7817157000, 1.5345507000, 3.9951695000", \
+                        "0.3543428000, 0.3747712000, 0.4242619000, 0.5321928000, 0.7878959000, 1.5408095000, 4.0050141000", \
+                        "0.3736291000, 0.3941840000, 0.4432330000, 0.5511774000, 0.8068468000, 1.5623484000, 4.0202472000", \
+                        "0.4278498000, 0.4483011000, 0.4975619000, 0.6056198000, 0.8616884000, 1.6152908000, 4.0757366000", \
+                        "0.5856736000, 0.6060544000, 0.6554353000, 0.7616854000, 1.0180257000, 1.7729950000, 4.2328985000", \
+                        "0.9247351000, 0.9497754000, 1.0070564000, 1.1237677000, 1.3868864000, 2.1336697000, 4.5896194000", \
+                        "1.4429368000, 1.4804439000, 1.5647732000, 1.7200004000, 2.0050068000, 2.7501428000, 5.2086246000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0533581000, 0.0606154000, 0.0811208000, 0.1329828000, 0.2785308000, 0.7762658000, 2.4670812000", \
+                        "0.0534340000, 0.0607177000, 0.0813422000, 0.1330172000, 0.2781749000, 0.7751622000, 2.4749816000", \
+                        "0.0531279000, 0.0606895000, 0.0810530000, 0.1326551000, 0.2769719000, 0.7748336000, 2.4743823000", \
+                        "0.0528122000, 0.0608464000, 0.0810445000, 0.1330106000, 0.2783452000, 0.7764955000, 2.4726283000", \
+                        "0.0530297000, 0.0618085000, 0.0809954000, 0.1333344000, 0.2783209000, 0.7762886000, 2.4772500000", \
+                        "0.0811426000, 0.0900786000, 0.1096888000, 0.1582585000, 0.2940679000, 0.7805153000, 2.4696882000", \
+                        "0.1486956000, 0.1617692000, 0.1883908000, 0.2416713000, 0.3734474000, 0.8162710000, 2.4774693000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0737885000, 0.0879031000, 0.1229843000, 0.2178287000, 0.5130981000, 1.5410750000, 4.9878852000", \
+                        "0.0741080000, 0.0875857000, 0.1231174000, 0.2177935000, 0.5130546000, 1.5417204000, 4.9896314000", \
+                        "0.0734567000, 0.0871369000, 0.1236504000, 0.2178561000, 0.5128795000, 1.5437716000, 4.9846371000", \
+                        "0.0735359000, 0.0871574000, 0.1231648000, 0.2177375000, 0.5127555000, 1.5450748000, 4.9858135000", \
+                        "0.0743116000, 0.0874509000, 0.1232845000, 0.2202725000, 0.5144506000, 1.5453723000, 4.9910258000", \
+                        "0.1029134000, 0.1159719000, 0.1484134000, 0.2369080000, 0.5236601000, 1.5469487000, 4.9882685000", \
+                        "0.1683083000, 0.1875034000, 0.2274961000, 0.3098757000, 0.5616694000, 1.5576174000, 4.9888249000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3681644000, 0.3824432000, 0.4165851000, 0.4871696000, 0.6378354000, 1.0329366000, 2.2915174000", \
+                        "0.3770565000, 0.3913819000, 0.4256227000, 0.4963843000, 0.6469039000, 1.0425908000, 2.3023050000", \
+                        "0.4012626000, 0.4159949000, 0.4498401000, 0.5205363000, 0.6711209000, 1.0667129000, 2.3244031000", \
+                        "0.4741728000, 0.4879097000, 0.5225627000, 0.5932648000, 0.7441706000, 1.1397666000, 2.3969384000", \
+                        "0.6829588000, 0.6972976000, 0.7316854000, 0.8023239000, 0.9526806000, 1.3484472000, 2.6095912000", \
+                        "1.2276923000, 1.2449232000, 1.2859340000, 1.3651325000, 1.5222574000, 1.9206203000, 3.1799450000", \
+                        "2.2722939000, 2.3010942000, 2.3638502000, 2.4786673000, 2.6786089000, 3.1054035000, 4.3633968000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3740271000, 0.3945422000, 0.4434608000, 0.5517065000, 0.8079449000, 1.5620114000, 4.0211101000", \
+                        "0.3809970000, 0.4015170000, 0.4509125000, 0.5580081000, 0.8145744000, 1.5669575000, 4.0280477000", \
+                        "0.3999755000, 0.4204938000, 0.4693603000, 0.5776212000, 0.8333969000, 1.5879817000, 4.0471266000", \
+                        "0.4508256000, 0.4715446000, 0.5211648000, 0.6287807000, 0.8842262000, 1.6368535000, 4.0930335000", \
+                        "0.5933355000, 0.6139815000, 0.6635089000, 0.7714109000, 1.0269935000, 1.7809968000, 4.2457815000", \
+                        "0.9080062000, 0.9319128000, 0.9881805000, 1.1055792000, 1.3685220000, 2.1249533000, 4.5792259000", \
+                        "1.4173255000, 1.4514999000, 1.5316336000, 1.6805477000, 1.9668467000, 2.7279221000, 5.1851887000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0576229000, 0.0665165000, 0.0866879000, 0.1394099000, 0.2840425000, 0.7791249000, 2.4901794000", \
+                        "0.0576682000, 0.0663193000, 0.0878379000, 0.1390288000, 0.2848225000, 0.7794017000, 2.4922775000", \
+                        "0.0579443000, 0.0663326000, 0.0887683000, 0.1389228000, 0.2848172000, 0.7787426000, 2.4933173000", \
+                        "0.0578554000, 0.0659926000, 0.0871386000, 0.1397808000, 0.2844415000, 0.7787590000, 2.4942934000", \
+                        "0.0583309000, 0.0666784000, 0.0867720000, 0.1395153000, 0.2845954000, 0.7788766000, 2.4895150000", \
+                        "0.0785573000, 0.0875769000, 0.1084333000, 0.1570804000, 0.2945607000, 0.7809241000, 2.4911295000", \
+                        "0.1430339000, 0.1575796000, 0.1824778000, 0.2347368000, 0.3687612000, 0.8169693000, 2.4825413000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0737169000, 0.0874262000, 0.1245583000, 0.2186461000, 0.5132625000, 1.5472864000, 4.9786153000", \
+                        "0.0740341000, 0.0878140000, 0.1240331000, 0.2179264000, 0.5133998000, 1.5422625000, 4.9999263000", \
+                        "0.0736309000, 0.0873241000, 0.1243745000, 0.2188265000, 0.5139659000, 1.5472983000, 4.9796838000", \
+                        "0.0735687000, 0.0871635000, 0.1231412000, 0.2179079000, 0.5135755000, 1.5435705000, 4.9950923000", \
+                        "0.0753539000, 0.0895200000, 0.1242501000, 0.2189211000, 0.5123584000, 1.5468457000, 4.9917559000", \
+                        "0.0940583000, 0.1078441000, 0.1426110000, 0.2349226000, 0.5219632000, 1.5444038000, 4.9935989000", \
+                        "0.1519580000, 0.1698449000, 0.2095129000, 0.2962830000, 0.5564253000, 1.5568402000, 4.9893631000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3988815000, 0.4139959000, 0.4484647000, 0.5203515000, 0.6730767000, 1.0702712000, 2.3351394000", \
+                        "0.4071211000, 0.4220134000, 0.4567937000, 0.5287595000, 0.6813373000, 1.0791831000, 2.3426445000", \
+                        "0.4314417000, 0.4463487000, 0.4810337000, 0.5528109000, 0.7054239000, 1.1030118000, 2.3634270000", \
+                        "0.5046237000, 0.5196430000, 0.5545276000, 0.6265304000, 0.7792588000, 1.1771059000, 2.4379785000", \
+                        "0.7150887000, 0.7301149000, 0.7654571000, 0.8374760000, 0.9896400000, 1.3871951000, 2.6456199000", \
+                        "1.2814244000, 1.2989194000, 1.3375300000, 1.4147559000, 1.5716819000, 1.9706119000, 3.2299730000", \
+                        "2.3920217000, 2.4193927000, 2.4819218000, 2.5941167000, 2.7910563000, 3.2138399000, 4.4718664000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3871413000, 0.4076307000, 0.4571256000, 0.5650136000, 0.8207511000, 1.5727749000, 4.0320729000", \
+                        "0.3946052000, 0.4151129000, 0.4639941000, 0.5722531000, 0.8279881000, 1.5823960000, 4.0424792000", \
+                        "0.4150372000, 0.4354357000, 0.4848419000, 0.5923497000, 0.8481509000, 1.6013764000, 4.0567567000", \
+                        "0.4635104000, 0.4840642000, 0.5332850000, 0.6412323000, 0.8969112000, 1.6493260000, 4.1141015000", \
+                        "0.5883157000, 0.6087128000, 0.6580566000, 0.7659691000, 1.0220212000, 1.7759915000, 4.2310888000", \
+                        "0.8669718000, 0.8908151000, 0.9458709000, 1.0616229000, 1.3260475000, 2.0809416000, 4.5355431000", \
+                        "1.3490329000, 1.3806187000, 1.4561709000, 1.6002448000, 1.8874553000, 2.6491995000, 5.1038178000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0604712000, 0.0690636000, 0.0897332000, 0.1430504000, 0.2879635000, 0.7814628000, 2.4778530000", \
+                        "0.0604113000, 0.0684223000, 0.0894161000, 0.1426246000, 0.2878704000, 0.7831791000, 2.4938320000", \
+                        "0.0598938000, 0.0681921000, 0.0910414000, 0.1426896000, 0.2880772000, 0.7806442000, 2.4944915000", \
+                        "0.0600643000, 0.0682610000, 0.0895770000, 0.1427860000, 0.2878088000, 0.7820908000, 2.4939366000", \
+                        "0.0600291000, 0.0686000000, 0.0891491000, 0.1419592000, 0.2874939000, 0.7825530000, 2.4926015000", \
+                        "0.0764839000, 0.0853211000, 0.1047169000, 0.1536733000, 0.2940486000, 0.7828879000, 2.4914950000", \
+                        "0.1404817000, 0.1535167000, 0.1774047000, 0.2294353000, 0.3642191000, 0.8156909000, 2.4830018000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0736892000, 0.0871205000, 0.1231912000, 0.2176756000, 0.5131350000, 1.5421646000, 4.9866973000", \
+                        "0.0736090000, 0.0871798000, 0.1245438000, 0.2189040000, 0.5128843000, 1.5474328000, 4.9894417000", \
+                        "0.0743699000, 0.0870246000, 0.1240524000, 0.2187936000, 0.5129326000, 1.5464621000, 4.9916187000", \
+                        "0.0738358000, 0.0877409000, 0.1242005000, 0.2184562000, 0.5134105000, 1.5427252000, 5.0003668000", \
+                        "0.0753085000, 0.0879593000, 0.1240153000, 0.2183713000, 0.5127679000, 1.5461789000, 4.9872124000", \
+                        "0.0905720000, 0.1035931000, 0.1402079000, 0.2348251000, 0.5223182000, 1.5445781000, 4.9914144000", \
+                        "0.1373089000, 0.1540096000, 0.1941149000, 0.2864659000, 0.5549660000, 1.5523932000, 4.9938254000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.4206181000, 0.4357806000, 0.4709849000, 0.5429343000, 0.6964737000, 1.0950640000, 2.3565774000", \
+                        "0.4283522000, 0.4433682000, 0.4785078000, 0.5507826000, 0.7043489000, 1.1030958000, 2.3632478000", \
+                        "0.4528956000, 0.4680739000, 0.5035162000, 0.5756388000, 0.7290120000, 1.1281971000, 2.3897295000", \
+                        "0.5259898000, 0.5411384000, 0.5761338000, 0.6483963000, 0.8019179000, 1.2006938000, 2.4610900000", \
+                        "0.7366944000, 0.7515698000, 0.7868835000, 0.8589709000, 1.0126793000, 1.4120120000, 2.6744884000", \
+                        "1.3151493000, 1.3323090000, 1.3715655000, 1.4479185000, 1.6039364000, 2.0040951000, 3.2655230000", \
+                        "2.4679490000, 2.4941629000, 2.5543760000, 2.6643026000, 2.8587630000, 3.2808318000, 4.5402819000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.3989520000, 0.4195548000, 0.4686604000, 0.5758927000, 0.8322935000, 1.5844073000, 4.0487111000", \
+                        "0.4070333000, 0.4275500000, 0.4769804000, 0.5846222000, 0.8407047000, 1.5945036000, 4.0544172000", \
+                        "0.4273388000, 0.4478750000, 0.4972199000, 0.6042492000, 0.8607375000, 1.6128384000, 4.0770809000", \
+                        "0.4741654000, 0.4948397000, 0.5445491000, 0.6520320000, 0.9073567000, 1.6601053000, 4.1236277000", \
+                        "0.5871959000, 0.6076080000, 0.6572766000, 0.7654461000, 1.0209261000, 1.7751041000, 4.2349981000", \
+                        "0.8309152000, 0.8539514000, 0.9078787000, 1.0241514000, 1.2878556000, 2.0434596000, 4.4976036000", \
+                        "1.2799837000, 1.3096384000, 1.3785820000, 1.5167910000, 1.8016197000, 2.5646429000, 5.0206914000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0611880000, 0.0704653000, 0.0905485000, 0.1447253000, 0.2897511000, 0.7842802000, 2.4959952000", \
+                        "0.0614220000, 0.0703352000, 0.0919009000, 0.1442930000, 0.2896435000, 0.7844665000, 2.4953015000", \
+                        "0.0613771000, 0.0694935000, 0.0904391000, 0.1440075000, 0.2897127000, 0.7844565000, 2.4959195000", \
+                        "0.0618538000, 0.0694432000, 0.0917304000, 0.1440204000, 0.2894940000, 0.7845824000, 2.4955853000", \
+                        "0.0610397000, 0.0697546000, 0.0909419000, 0.1441882000, 0.2893468000, 0.7845516000, 2.4952911000", \
+                        "0.0748549000, 0.0835606000, 0.1033505000, 0.1521420000, 0.2926797000, 0.7844775000, 2.4962432000", \
+                        "0.1378292000, 0.1488648000, 0.1741403000, 0.2276354000, 0.3592026000, 0.8171951000, 2.4854132000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016449700, 0.0054118500, 0.0178047000, 0.0585763000, 0.1927130000, 0.6340130000");
+                    values("0.0737441000, 0.0873206000, 0.1241931000, 0.2181668000, 0.5133917000, 1.5424372000, 5.0005751000", \
+                        "0.0738163000, 0.0875678000, 0.1240592000, 0.2183672000, 0.5134191000, 1.5467893000, 5.0017217000", \
+                        "0.0744998000, 0.0880321000, 0.1240175000, 0.2180972000, 0.5134427000, 1.5424174000, 5.0004467000", \
+                        "0.0736554000, 0.0874311000, 0.1232572000, 0.2176826000, 0.5135136000, 1.5441169000, 5.0023203000", \
+                        "0.0745831000, 0.0887284000, 0.1240515000, 0.2185703000, 0.5126674000, 1.5481311000, 4.9920263000", \
+                        "0.0861051000, 0.1006450000, 0.1383452000, 0.2330603000, 0.5222570000, 1.5481308000, 4.9961124000", \
+                        "0.1220793000, 0.1378893000, 0.1777976000, 0.2722793000, 0.5507860000, 1.5526097000, 4.9828284000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and4_4") {
+        leakage_power () {
+            value : 6.0020895000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 5.9925540000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 6.0314389000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 6.0029755000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 6.0374981000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 6.0053522000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 6.6362373000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 6.0407532000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 6.0387002000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 6.0058101000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 6.6581451000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 6.0419936000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 6.6909687000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 6.0429852000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 9.4620889000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 6.6990831000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and4";
+        cell_leakage_power : 6.3992920000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023760000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0039903000, 0.0039687000, 0.0039547000, 0.0039283000, 0.0039010000, 0.0038705000, 0.0038315000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003354800, -0.003384800, -0.003424600, -0.003442500, -0.003448500, -0.003420300, -0.003296600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024140000;
+        }
+        pin ("B") {
+            capacitance : 0.0024360000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023730000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0034970000, 0.0034687000, 0.0034356000, 0.0034167000, 0.0034102000, 0.0034388000, 0.0035661000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003448200, -0.003471600, -0.003493000, -0.003517700, -0.003542400, -0.003567400, -0.003593300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024990000;
+        }
+        pin ("C") {
+            capacitance : 0.0023840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023050000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0032469000, 0.0032210000, 0.0031947000, 0.0031691000, 0.0031438000, 0.0031193000, 0.0030971000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003244500, -0.003270800, -0.003300300, -0.003324700, -0.003349100, -0.003373100, -0.003396100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024630000;
+        }
+        pin ("D") {
+            capacitance : 0.0023590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022520000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0034386000, 0.0034129000, 0.0033873000, 0.0033619000, 0.0033372000, 0.0033142000, 0.0032963000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003449200, -0.003470600, -0.003486100, -0.003511000, -0.003536600, -0.003564000, -0.003596500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024650000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C&D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0180798000, 0.0166464000, 0.0114576000, -0.008173000, -0.086595700, -0.376758100, -1.430435200", \
+                        "0.0180570000, 0.0165695000, 0.0114850000, -0.008125200, -0.086541800, -0.376716400, -1.430411500", \
+                        "0.0180417000, 0.0165790000, 0.0114737000, -0.008165800, -0.086629700, -0.376768300, -1.430525500", \
+                        "0.0178044000, 0.0163186000, 0.0111539000, -0.008591700, -0.086956000, -0.377008500, -1.430727600", \
+                        "0.0175399000, 0.0160052000, 0.0106027000, -0.009361200, -0.087642600, -0.377390000, -1.430932200", \
+                        "0.0195858000, 0.0178924000, 0.0120061000, -0.009662000, -0.088398700, -0.377805600, -1.431164500", \
+                        "0.0215425000, 0.0196881000, 0.0133587000, -0.008704000, -0.087812400, -0.377427400, -1.430288200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0230885000, 0.0248058000, 0.0311001000, 0.0545538000, 0.1347869000, 0.4223787000, 1.4724945000", \
+                        "0.0230490000, 0.0247679000, 0.0311669000, 0.0547210000, 0.1344908000, 0.4223585000, 1.4721009000", \
+                        "0.0229496000, 0.0246692000, 0.0310716000, 0.0545037000, 0.1345559000, 0.4222841000, 1.4670772000", \
+                        "0.0227085000, 0.0244417000, 0.0308284000, 0.0538801000, 0.1345570000, 0.4227596000, 1.4690556000", \
+                        "0.0227456000, 0.0244280000, 0.0307267000, 0.0539096000, 0.1343682000, 0.4217602000, 1.4642243000", \
+                        "0.0237613000, 0.0254092000, 0.0311995000, 0.0538020000, 0.1338495000, 0.4219034000, 1.4634342000", \
+                        "0.0262258000, 0.0278126000, 0.0337682000, 0.0558330000, 0.1361944000, 0.4198769000, 1.4644904000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0200441000, 0.0185545000, 0.0132682000, -0.006341900, -0.084489100, -0.374534400, -1.428121300", \
+                        "0.0200925000, 0.0184990000, 0.0133521000, -0.006297000, -0.084462100, -0.374462700, -1.428142400", \
+                        "0.0199964000, 0.0184832000, 0.0132855000, -0.006333100, -0.084476600, -0.374459600, -1.428222800", \
+                        "0.0198080000, 0.0183303000, 0.0131409000, -0.006615600, -0.084755700, -0.374705900, -1.428274700", \
+                        "0.0194767000, 0.0179703000, 0.0126301000, -0.007258000, -0.085469200, -0.375076100, -1.428563900", \
+                        "0.0213099000, 0.0196422000, 0.0136873000, -0.008043400, -0.086286100, -0.375593100, -1.428817800", \
+                        "0.0233298000, 0.0215501000, 0.0152666000, -0.006750300, -0.086219000, -0.375765900, -1.428490800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0232933000, 0.0251251000, 0.0314330000, 0.0549179000, 0.1354570000, 0.4225257000, 1.4723961000", \
+                        "0.0233369000, 0.0250646000, 0.0315560000, 0.0549620000, 0.1354326000, 0.4225124000, 1.4723422000", \
+                        "0.0232716000, 0.0250886000, 0.0313805000, 0.0544490000, 0.1348926000, 0.4226295000, 1.4641331000", \
+                        "0.0230066000, 0.0247702000, 0.0311460000, 0.0545704000, 0.1344096000, 0.4222914000, 1.4672996000", \
+                        "0.0228984000, 0.0246211000, 0.0309900000, 0.0543544000, 0.1349065000, 0.4219990000, 1.4673340000", \
+                        "0.0236131000, 0.0252527000, 0.0312115000, 0.0538391000, 0.1341039000, 0.4213684000, 1.4671684000", \
+                        "0.0257705000, 0.0273388000, 0.0333754000, 0.0551823000, 0.1355340000, 0.4227869000, 1.4692313000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0217833000, 0.0202244000, 0.0150827000, -0.004542700, -0.082545800, -0.372402600, -1.425839500", \
+                        "0.0216614000, 0.0202510000, 0.0150370000, -0.004594000, -0.082573600, -0.372394800, -1.425828500", \
+                        "0.0217292000, 0.0202118000, 0.0149419000, -0.004652600, -0.082628600, -0.372403900, -1.425961300", \
+                        "0.0214923000, 0.0200162000, 0.0147798000, -0.004849400, -0.082824500, -0.372601900, -1.426097100", \
+                        "0.0213347000, 0.0198237000, 0.0144597000, -0.005341500, -0.083414200, -0.372892900, -1.426246100", \
+                        "0.0232631000, 0.0216005000, 0.0156038000, -0.006274300, -0.084038800, -0.373326800, -1.426428300", \
+                        "0.0257557000, 0.0245873000, 0.0182753000, -0.004436000, -0.083857500, -0.373324900, -1.426005800");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0231169000, 0.0248736000, 0.0312580000, 0.0546781000, 0.1348246000, 0.4221944000, 1.4625094000", \
+                        "0.0231111000, 0.0248337000, 0.0313616000, 0.0547632000, 0.1352310000, 0.4221803000, 1.4720778000", \
+                        "0.0231610000, 0.0248865000, 0.0312648000, 0.0545650000, 0.1345737000, 0.4220691000, 1.4719819000", \
+                        "0.0228725000, 0.0245970000, 0.0309631000, 0.0543850000, 0.1346000000, 0.4219130000, 1.4631347000", \
+                        "0.0227139000, 0.0245251000, 0.0308046000, 0.0541970000, 0.1347638000, 0.4217896000, 1.4712414000", \
+                        "0.0236544000, 0.0253169000, 0.0313784000, 0.0540450000, 0.1336397000, 0.4214597000, 1.4640890000", \
+                        "0.0252698000, 0.0268741000, 0.0328625000, 0.0553955000, 0.1358737000, 0.4233729000, 1.4703464000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0233286000, 0.0217998000, 0.0164995000, -0.003206700, -0.081278800, -0.371022200, -1.424436200", \
+                        "0.0231499000, 0.0216063000, 0.0163888000, -0.003316200, -0.081327600, -0.371075500, -1.424468300", \
+                        "0.0230602000, 0.0215492000, 0.0163028000, -0.003366000, -0.081417900, -0.371130400, -1.424559600", \
+                        "0.0229529000, 0.0214436000, 0.0161975000, -0.003548300, -0.081534200, -0.371263100, -1.424667100", \
+                        "0.0229451000, 0.0214166000, 0.0160418000, -0.003799300, -0.081910800, -0.371481100, -1.424756900", \
+                        "0.0245980000, 0.0229324000, 0.0168781000, -0.004621700, -0.082432400, -0.371786200, -1.424898800", \
+                        "0.0276423000, 0.0258551000, 0.0195721000, -0.002539100, -0.082083500, -0.371681300, -1.424474800");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0233618000, 0.0251064000, 0.0314942000, 0.0549101000, 0.1350542000, 0.4223024000, 1.4663397000", \
+                        "0.0233503000, 0.0250935000, 0.0314856000, 0.0548663000, 0.1347617000, 0.4223025000, 1.4674997000", \
+                        "0.0232763000, 0.0250026000, 0.0313871000, 0.0548978000, 0.1353481000, 0.4222281000, 1.4716337000", \
+                        "0.0230252000, 0.0247997000, 0.0312020000, 0.0545884000, 0.1347309000, 0.4220320000, 1.4670213000", \
+                        "0.0227582000, 0.0244774000, 0.0308369000, 0.0542430000, 0.1346042000, 0.4224508000, 1.4627435000", \
+                        "0.0240415000, 0.0257307000, 0.0317772000, 0.0536792000, 0.1343009000, 0.4214479000, 1.4670181000", \
+                        "0.0251953000, 0.0267785000, 0.0327161000, 0.0549272000, 0.1356002000, 0.4232977000, 1.4689051000");
+                }
+            }
+            max_capacitance : 0.3323460000;
+            max_transition : 1.4974240000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.2132241000, 0.2203240000, 0.2401869000, 0.2875317000, 0.4007389000, 0.7462077000, 1.9743664000", \
+                        "0.2216802000, 0.2288000000, 0.2486322000, 0.2960016000, 0.4092196000, 0.7546544000, 1.9829791000", \
+                        "0.2463227000, 0.2534363000, 0.2732785000, 0.3205835000, 0.4341262000, 0.7789213000, 2.0108648000", \
+                        "0.3150083000, 0.3220409000, 0.3419638000, 0.3889736000, 0.5029574000, 0.8478516000, 2.0835249000", \
+                        "0.5064041000, 0.5139345000, 0.5344265000, 0.5823201000, 0.6968113000, 1.0397526000, 2.2680016000", \
+                        "0.8812890000, 0.8925515000, 0.9225525000, 0.9864500000, 1.1179363000, 1.4709352000, 2.6901152000", \
+                        "1.4988674000, 1.5165819000, 1.5637125000, 1.6617858000, 1.8374078000, 2.2160871000, 3.4431087000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.3891706000, 0.4037193000, 0.4439769000, 0.5411336000, 0.7769052000, 1.4922150000, 4.0523287000", \
+                        "0.3947478000, 0.4092942000, 0.4496052000, 0.5470473000, 0.7825562000, 1.4979399000, 4.0561878000", \
+                        "0.4127961000, 0.4273362000, 0.4680450000, 0.5652772000, 0.8000038000, 1.5155213000, 4.0747574000", \
+                        "0.4651652000, 0.4796997000, 0.5206419000, 0.6173629000, 0.8527609000, 1.5695932000, 4.1279806000", \
+                        "0.6222337000, 0.6367579000, 0.6772066000, 0.7744331000, 1.0092801000, 1.7257024000, 4.2757384000", \
+                        "0.9808185000, 0.9984529000, 1.0443870000, 1.1492948000, 1.3918862000, 2.1050974000, 4.6551136000", \
+                        "1.5559457000, 1.5812797000, 1.6482570000, 1.7908051000, 2.0631448000, 2.7844720000, 5.3299414000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0381633000, 0.0423877000, 0.0550057000, 0.0927538000, 0.2132255000, 0.6697679000, 2.3626752000", \
+                        "0.0380704000, 0.0424249000, 0.0550057000, 0.0926795000, 0.2133561000, 0.6698990000, 2.3648190000", \
+                        "0.0385934000, 0.0422060000, 0.0551924000, 0.0927917000, 0.2128134000, 0.6693309000, 2.3754745000", \
+                        "0.0379444000, 0.0421150000, 0.0550858000, 0.0933220000, 0.2134084000, 0.6687699000, 2.3639612000", \
+                        "0.0430723000, 0.0474091000, 0.0591880000, 0.0952083000, 0.2139363000, 0.6686598000, 2.3633662000", \
+                        "0.0759047000, 0.0802341000, 0.0938804000, 0.1292946000, 0.2400212000, 0.6744342000, 2.3624591000", \
+                        "0.1398719000, 0.1484645000, 0.1677744000, 0.2095583000, 0.3163474000, 0.7089020000, 2.3777367000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0805508000, 0.0896085000, 0.1184812000, 0.1969152000, 0.4488805000, 1.4112650000, 5.0059277000", \
+                        "0.0805842000, 0.0896209000, 0.1194676000, 0.1968458000, 0.4487558000, 1.4112430000, 5.0021622000", \
+                        "0.0804174000, 0.0896700000, 0.1171747000, 0.1972720000, 0.4493564000, 1.4141954000, 4.9908011000", \
+                        "0.0804045000, 0.0895994000, 0.1178504000, 0.1974812000, 0.4485778000, 1.4151859000, 5.0094529000", \
+                        "0.0816065000, 0.0896509000, 0.1179596000, 0.1973896000, 0.4483182000, 1.4113527000, 5.0000692000", \
+                        "0.1081684000, 0.1178207000, 0.1427466000, 0.2162732000, 0.4589941000, 1.4156640000, 4.9930731000", \
+                        "0.1758245000, 0.1906035000, 0.2201117000, 0.2899621000, 0.5054054000, 1.4299745000, 4.9992388000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.2497446000, 0.2572719000, 0.2779323000, 0.3275205000, 0.4444848000, 0.7916983000, 2.0232336000", \
+                        "0.2583351000, 0.2657450000, 0.2867853000, 0.3362190000, 0.4531154000, 0.8005141000, 2.0353834000", \
+                        "0.2834864000, 0.2909815000, 0.3119511000, 0.3615043000, 0.4783551000, 0.8256013000, 2.0566071000", \
+                        "0.3524500000, 0.3600137000, 0.3809992000, 0.4302260000, 0.5471996000, 0.8944793000, 2.1279525000", \
+                        "0.5550191000, 0.5624824000, 0.5833486000, 0.6326280000, 0.7497903000, 1.0970038000, 2.3280680000", \
+                        "0.9939498000, 1.0048500000, 1.0343987000, 1.0970442000, 1.2288248000, 1.5821142000, 2.8141769000", \
+                        "1.7681711000, 1.7860398000, 1.8327733000, 1.9291391000, 2.1043938000, 2.4834237000, 3.7102044000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.4149545000, 0.4292659000, 0.4698029000, 0.5671623000, 0.8023644000, 1.5178901000, 4.0770143000", \
+                        "0.4212279000, 0.4358494000, 0.4765236000, 0.5736485000, 0.8088257000, 1.5243545000, 4.0829233000", \
+                        "0.4395017000, 0.4543350000, 0.4945625000, 0.5911244000, 0.8271140000, 1.5433594000, 4.0924508000", \
+                        "0.4885349000, 0.5030357000, 0.5440284000, 0.6409550000, 0.8756269000, 1.5916634000, 4.1459138000", \
+                        "0.6306107000, 0.6450318000, 0.6856399000, 0.7825905000, 1.0174394000, 1.7340162000, 4.2962127000", \
+                        "0.9561015000, 0.9729115000, 1.0187466000, 1.1244239000, 1.3676591000, 2.0860735000, 4.6475499000", \
+                        "1.5046028000, 1.5280401000, 1.5911723000, 1.7262438000, 1.9924955000, 2.7183278000, 5.2706537000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0419974000, 0.0464496000, 0.0596803000, 0.0981929000, 0.2177233000, 0.6703841000, 2.3747733000", \
+                        "0.0417883000, 0.0461653000, 0.0594914000, 0.0982437000, 0.2176609000, 0.6711571000, 2.3666618000", \
+                        "0.0417426000, 0.0462078000, 0.0596663000, 0.0982546000, 0.2175715000, 0.6720758000, 2.3664439000", \
+                        "0.0417316000, 0.0469922000, 0.0600294000, 0.0981769000, 0.2176413000, 0.6705385000, 2.3655029000", \
+                        "0.0431177000, 0.0473656000, 0.0602557000, 0.0984452000, 0.2177283000, 0.6715684000, 2.3729152000", \
+                        "0.0741920000, 0.0789708000, 0.0925777000, 0.1276509000, 0.2396874000, 0.6764658000, 2.3723743000", \
+                        "0.1373391000, 0.1461437000, 0.1644876000, 0.2054391000, 0.3108460000, 0.7076002000, 2.3641218000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0806142000, 0.0913762000, 0.1194353000, 0.1967700000, 0.4489767000, 1.4106881000, 5.0047468000", \
+                        "0.0813022000, 0.0912409000, 0.1190997000, 0.1969070000, 0.4488437000, 1.4107283000, 5.0040900000", \
+                        "0.0810145000, 0.0908510000, 0.1180493000, 0.1969188000, 0.4499413000, 1.4143298000, 4.9916813000", \
+                        "0.0804188000, 0.0895446000, 0.1180131000, 0.1972537000, 0.4495380000, 1.4143958000, 4.9906403000", \
+                        "0.0810760000, 0.0903554000, 0.1179261000, 0.1962974000, 0.4484385000, 1.4145128000, 4.9968891000", \
+                        "0.0994079000, 0.1093782000, 0.1371264000, 0.2144761000, 0.4588010000, 1.4141304000, 4.9956048000", \
+                        "0.1583287000, 0.1684995000, 0.2004589000, 0.2741551000, 0.5011639000, 1.4266569000, 4.9934239000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.2699622000, 0.2777458000, 0.2991633000, 0.3494458000, 0.4678267000, 0.8160452000, 2.0489406000", \
+                        "0.2783117000, 0.2858459000, 0.3072085000, 0.3577033000, 0.4761056000, 0.8244995000, 2.0589324000", \
+                        "0.3024034000, 0.3101319000, 0.3315898000, 0.3819082000, 0.5003851000, 0.8491077000, 2.0804782000", \
+                        "0.3730855000, 0.3808109000, 0.4020887000, 0.4524688000, 0.5709515000, 0.9197323000, 2.1549553000", \
+                        "0.5779673000, 0.5856780000, 0.6070460000, 0.6573577000, 0.7758509000, 1.1241137000, 2.3552700000", \
+                        "1.0453589000, 1.0562846000, 1.0851339000, 1.1477298000, 1.2784038000, 1.6303247000, 2.8637657000", \
+                        "1.8872153000, 1.9032691000, 1.9489437000, 2.0439910000, 2.2167161000, 2.5948759000, 3.8259289000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.4290592000, 0.4436063000, 0.4845939000, 0.5815160000, 0.8162831000, 1.5320241000, 4.0803241000", \
+                        "0.4360890000, 0.4506391000, 0.4913607000, 0.5883423000, 0.8234503000, 1.5387694000, 4.0976236000", \
+                        "0.4550915000, 0.4696322000, 0.5103420000, 0.6076250000, 0.8424827000, 1.5578645000, 4.1167878000", \
+                        "0.5017411000, 0.5163171000, 0.5567997000, 0.6538785000, 0.8888036000, 1.6048629000, 4.1536099000", \
+                        "0.6249342000, 0.6396992000, 0.6801815000, 0.7772124000, 1.0121066000, 1.7284630000, 4.2957122000", \
+                        "0.9098634000, 0.9264065000, 0.9716546000, 1.0770703000, 1.3206164000, 2.0399642000, 4.5909809000", \
+                        "1.4166149000, 1.4388936000, 1.4982429000, 1.6262801000, 1.8964095000, 2.6272273000, 5.1767834000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0440308000, 0.0480588000, 0.0614127000, 0.0994739000, 0.2199329000, 0.6727809000, 2.3762630000", \
+                        "0.0438499000, 0.0487638000, 0.0615679000, 0.1004633000, 0.2198934000, 0.6721371000, 2.3761249000", \
+                        "0.0440781000, 0.0486499000, 0.0623941000, 0.1004802000, 0.2197271000, 0.6733150000, 2.3725732000", \
+                        "0.0439497000, 0.0486594000, 0.0618786000, 0.1003889000, 0.2196022000, 0.6725350000, 2.3675966000", \
+                        "0.0442881000, 0.0489470000, 0.0618696000, 0.1004429000, 0.2197163000, 0.6731851000, 2.3703175000", \
+                        "0.0720015000, 0.0769331000, 0.0905633000, 0.1264108000, 0.2384473000, 0.6759085000, 2.3767539000", \
+                        "0.1335869000, 0.1415430000, 0.1607711000, 0.2011654000, 0.3068472000, 0.7061863000, 2.3682278000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0803396000, 0.0901419000, 0.1179082000, 0.1972721000, 0.4496269000, 1.4146617000, 4.9830842000", \
+                        "0.0805480000, 0.0899427000, 0.1193290000, 0.1969139000, 0.4489149000, 1.4108578000, 5.0043494000", \
+                        "0.0804913000, 0.0896036000, 0.1173284000, 0.1968558000, 0.4490528000, 1.4119064000, 5.0029185000", \
+                        "0.0806271000, 0.0900611000, 0.1187293000, 0.1960230000, 0.4501255000, 1.4145232000, 4.9895843000", \
+                        "0.0809543000, 0.0909628000, 0.1180494000, 0.1961466000, 0.4487204000, 1.4145948000, 5.0117941000", \
+                        "0.0962590000, 0.1064558000, 0.1346051000, 0.2138073000, 0.4600386000, 1.4136715000, 5.0021232000", \
+                        "0.1438468000, 0.1545451000, 0.1861519000, 0.2683039000, 0.4975284000, 1.4256645000, 4.9999067000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.2877057000, 0.2955085000, 0.3173040000, 0.3688274000, 0.4886871000, 0.8387267000, 2.0698911000", \
+                        "0.2952587000, 0.3032325000, 0.3251825000, 0.3762640000, 0.4962707000, 0.8464862000, 2.0793791000", \
+                        "0.3197678000, 0.3276832000, 0.3493485000, 0.4009235000, 0.5208373000, 0.8708324000, 2.1014741000", \
+                        "0.3918737000, 0.3998034000, 0.4217562000, 0.4729451000, 0.5929505000, 0.9433232000, 2.1754811000", \
+                        "0.5965429000, 0.6043648000, 0.6262691000, 0.6772076000, 0.7972489000, 1.1475673000, 2.3814775000", \
+                        "1.0832503000, 1.0939030000, 1.1224810000, 1.1845200000, 1.3149637000, 1.6682834000, 2.8990479000", \
+                        "1.9694639000, 1.9863677000, 2.0310269000, 2.1232718000, 2.2938922000, 2.6716378000, 3.9042507000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.4407852000, 0.4553272000, 0.4963210000, 0.5932558000, 0.8279964000, 1.5435575000, 4.0921768000", \
+                        "0.4486365000, 0.4632016000, 0.5041322000, 0.6011290000, 0.8357915000, 1.5512440000, 4.1023465000", \
+                        "0.4680349000, 0.4825921000, 0.5234120000, 0.6204254000, 0.8555360000, 1.5706494000, 4.1280503000", \
+                        "0.5135342000, 0.5281163000, 0.5689386000, 0.6658846000, 0.9006531000, 1.6163612000, 4.1649013000", \
+                        "0.6246875000, 0.6393029000, 0.6798898000, 0.7775790000, 1.0123360000, 1.7286810000, 4.2777590000", \
+                        "0.8727269000, 0.8891177000, 0.9340921000, 1.0378227000, 1.2812602000, 1.9999991000, 4.5633799000", \
+                        "1.3322752000, 1.3531324000, 1.4086969000, 1.5339718000, 1.8016931000, 2.5248639000, 5.0760072000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0454992000, 0.0503797000, 0.0635546000, 0.1022053000, 0.2224266000, 0.6742861000, 2.3692135000", \
+                        "0.0453224000, 0.0501808000, 0.0631579000, 0.1025781000, 0.2224822000, 0.6731037000, 2.3739553000", \
+                        "0.0453280000, 0.0498638000, 0.0634895000, 0.1021290000, 0.2224564000, 0.6744065000, 2.3705129000", \
+                        "0.0458497000, 0.0505960000, 0.0632604000, 0.1020302000, 0.2223261000, 0.6736790000, 2.3724147000", \
+                        "0.0454315000, 0.0501049000, 0.0635958000, 0.1023475000, 0.2225515000, 0.6740722000, 2.3769886000", \
+                        "0.0712508000, 0.0763573000, 0.0893000000, 0.1255715000, 0.2382158000, 0.6765402000, 2.3714335000", \
+                        "0.1309142000, 0.1395055000, 0.1573944000, 0.1975236000, 0.3033466000, 0.7054780000, 2.3705802000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0802866000, 0.0901113000, 0.1178697000, 0.1972936000, 0.4495554000, 1.4147417000, 4.9850231000", \
+                        "0.0801854000, 0.0900310000, 0.1178759000, 0.1973639000, 0.4492216000, 1.4146208000, 4.9872333000", \
+                        "0.0813823000, 0.0911674000, 0.1184498000, 0.1969123000, 0.4488306000, 1.4106317000, 5.0022875000", \
+                        "0.0807023000, 0.0899847000, 0.1184757000, 0.1968930000, 0.4499284000, 1.4145678000, 4.9860880000", \
+                        "0.0812254000, 0.0907587000, 0.1180260000, 0.1974180000, 0.4482028000, 1.4148496000, 4.9901403000", \
+                        "0.0928410000, 0.1024438000, 0.1316075000, 0.2125684000, 0.4587716000, 1.4149760000, 4.9954179000", \
+                        "0.1285338000, 0.1392243000, 0.1702344000, 0.2506156000, 0.4928005000, 1.4264864000, 4.9901052000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and4b_1 */
+
+/* removed sky130_fd_sc_hd__and4b_2 */
+
+/* removed sky130_fd_sc_hd__and4b_4 */
+
+/* removed sky130_fd_sc_hd__and4bb_1 */
+
+/* removed sky130_fd_sc_hd__and4bb_2 */
+
+/* removed sky130_fd_sc_hd__and4bb_4 */
+
+    cell ("sky130_fd_sc_hd__buf_1") {
+        leakage_power () {
+            value : 4.3935011000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 4.3936130000;
+            when : "!A";
+        }
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 4.3935570000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0020610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0019960000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0021250000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309010, 0.0040949580, 0.0117189600, 0.0335373600, 0.0959773200, 0.2746682000");
+                    values("0.0090164000, 0.0080220000, 0.0048016000, -0.004877500, -0.032828100, -0.112732300, -0.341509200", \
+                        "0.0088635000, 0.0078544000, 0.0046536000, -0.005019500, -0.032972400, -0.112926900, -0.341598600", \
+                        "0.0086208000, 0.0076139000, 0.0044046000, -0.005239100, -0.033172100, -0.113155100, -0.341635900", \
+                        "0.0083977000, 0.0073784000, 0.0041799000, -0.005422200, -0.033299400, -0.113156800, -0.341917300", \
+                        "0.0082207000, 0.0070731000, 0.0039800000, -0.005504700, -0.033308800, -0.113181800, -0.341873600", \
+                        "0.0084708000, 0.0073369000, 0.0040072000, -0.005571200, -0.033058900, -0.112874400, -0.341575200", \
+                        "0.0098523000, 0.0086071000, 0.0051842000, -0.004404400, -0.032131500, -0.111900800, -0.340417900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309010, 0.0040949580, 0.0117189600, 0.0335373600, 0.0959773200, 0.2746682000");
+                    values("0.0047615000, 0.0060527000, 0.0095205000, 0.0191823000, 0.0464695000, 0.1256732000, 0.3525026000", \
+                        "0.0046130000, 0.0058903000, 0.0093774000, 0.0190759000, 0.0467504000, 0.1259491000, 0.3521365000", \
+                        "0.0043340000, 0.0056031000, 0.0090851000, 0.0188361000, 0.0464650000, 0.1258802000, 0.3537328000", \
+                        "0.0039745000, 0.0052508000, 0.0087414000, 0.0184278000, 0.0460200000, 0.1245924000, 0.3522430000", \
+                        "0.0040012000, 0.0051888000, 0.0085693000, 0.0183477000, 0.0462035000, 0.1250437000, 0.3503759000", \
+                        "0.0042251000, 0.0054160000, 0.0089053000, 0.0186585000, 0.0462177000, 0.1253406000, 0.3524833000", \
+                        "0.0056127000, 0.0068262000, 0.0102226000, 0.0199946000, 0.0476237000, 0.1267035000, 0.3522791000");
+                }
+            }
+            max_capacitance : 0.0814920000;
+            max_transition : 1.5115830000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309000, 0.0040949600, 0.0117190000, 0.0335374000, 0.0959773000, 0.2746680000");
+                    values("0.1093883000, 0.1204931000, 0.1461460000, 0.2091402000, 0.3818712000, 0.8753879000, 2.2925226000", \
+                        "0.1169719000, 0.1280061000, 0.1538390000, 0.2168253000, 0.3897533000, 0.8861858000, 2.2926037000", \
+                        "0.1401732000, 0.1512485000, 0.1768464000, 0.2400101000, 0.4128832000, 0.9080025000, 2.3192830000", \
+                        "0.2086240000, 0.2196729000, 0.2457702000, 0.3094410000, 0.4826282000, 0.9795303000, 2.3915989000", \
+                        "0.3446584000, 0.3579130000, 0.3879410000, 0.4558358000, 0.6309727000, 1.1265255000, 2.5409801000", \
+                        "0.5665213000, 0.5854013000, 0.6234543000, 0.6988090000, 0.8775959000, 1.3759925000, 2.7956393000", \
+                        "0.8959715000, 0.9253047000, 0.9828504000, 1.0828631000, 1.2736316000, 1.7650407000, 3.1871230000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309000, 0.0040949600, 0.0117190000, 0.0335374000, 0.0959773000, 0.2746680000");
+                    values("0.0915572000, 0.1055097000, 0.1413636000, 0.2404113000, 0.5217313000, 1.3238868000, 3.6206582000", \
+                        "0.0992833000, 0.1130970000, 0.1491185000, 0.2477796000, 0.5286661000, 1.3332214000, 3.6176069000", \
+                        "0.1224066000, 0.1361332000, 0.1721714000, 0.2712719000, 0.5573192000, 1.3507311000, 3.6602334000", \
+                        "0.1814274000, 0.1955414000, 0.2318759000, 0.3310733000, 0.6141770000, 1.4137010000, 3.7138435000", \
+                        "0.2910658000, 0.3070951000, 0.3444337000, 0.4448144000, 0.7264561000, 1.5270377000, 3.8185686000", \
+                        "0.4735426000, 0.4950647000, 0.5394624000, 0.6404701000, 0.9211807000, 1.7199735000, 4.0293773000", \
+                        "0.7392112000, 0.7743879000, 0.8412444000, 0.9608069000, 1.2368894000, 2.0415860000, 4.3225783000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309000, 0.0040949600, 0.0117190000, 0.0335374000, 0.0959773000, 0.2746680000");
+                    values("0.0279045000, 0.0379674000, 0.0657181000, 0.1448363000, 0.3802569000, 1.0553975000, 2.9937017000", \
+                        "0.0278801000, 0.0381349000, 0.0655434000, 0.1447265000, 0.3801710000, 1.0667159000, 2.9764111000", \
+                        "0.0278851000, 0.0380578000, 0.0655049000, 0.1445815000, 0.3799613000, 1.0534549000, 2.9992286000", \
+                        "0.0289993000, 0.0390276000, 0.0661507000, 0.1446592000, 0.3800859000, 1.0671843000, 2.9759315000", \
+                        "0.0390616000, 0.0492104000, 0.0752925000, 0.1516394000, 0.3801019000, 1.0494735000, 2.9779008000", \
+                        "0.0634972000, 0.0732263000, 0.0985549000, 0.1673209000, 0.3883104000, 1.0544323000, 2.9961817000", \
+                        "0.1107628000, 0.1238865000, 0.1516414000, 0.2150104000, 0.4087759000, 1.0626322000, 2.9899347000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014309000, 0.0040949600, 0.0117190000, 0.0335374000, 0.0959773000, 0.2746680000");
+                    values("0.0346046000, 0.0509065000, 0.0982925000, 0.2362643000, 0.6322193000, 1.7618562000, 5.0005204000", \
+                        "0.0346348000, 0.0509208000, 0.0981821000, 0.2365678000, 0.6347451000, 1.7735092000, 4.9838627000", \
+                        "0.0346937000, 0.0508708000, 0.0980916000, 0.2365855000, 0.6364476000, 1.7648093000, 5.0191673000", \
+                        "0.0371229000, 0.0526280000, 0.0987282000, 0.2363020000, 0.6328856000, 1.7590021000, 5.0007314000", \
+                        "0.0450888000, 0.0593864000, 0.1034521000, 0.2387011000, 0.6328822000, 1.7621983000, 4.9896220000", \
+                        "0.0686647000, 0.0813767000, 0.1191271000, 0.2428112000, 0.6362716000, 1.7633933000, 5.0068221000", \
+                        "0.1195785000, 0.1365282000, 0.1724530000, 0.2726191000, 0.6392712000, 1.7774602000, 4.9912203000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_12") {
+        leakage_power () {
+            value : 17.928696700;
+            when : "A";
+        }
+        leakage_power () {
+            value : 14.732742100;
+            when : "!A";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 16.330720000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0089880000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0085860000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0093900000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0682435000, 0.0661283000, 0.0569720000, 0.0131831000, -0.215087700, -1.296061300, -6.316546100", \
+                        "0.0678346000, 0.0658204000, 0.0566970000, 0.0126772000, -0.215411000, -1.296337000, -6.317281200", \
+                        "0.0671931000, 0.0652047000, 0.0557686000, 0.0119477000, -0.216149700, -1.296944500, -6.317969100", \
+                        "0.0661839000, 0.0640693000, 0.0548538000, 0.0104904000, -0.217389900, -1.297845900, -6.318674700", \
+                        "0.0652440000, 0.0630958000, 0.0533406000, 0.0081295000, -0.219107400, -1.298528400, -6.319156100", \
+                        "0.0695146000, 0.0672611000, 0.0566944000, 0.0087650000, -0.220574600, -1.298641300, -6.318599600", \
+                        "0.0768280000, 0.0764496000, 0.0649189000, 0.0126150000, -0.217435600, -1.295396500, -6.314165900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0525991000, 0.0552735000, 0.0675340000, 0.1204376000, 0.3535832000, 1.4261369000, 6.4184115000", \
+                        "0.0522142000, 0.0549272000, 0.0671550000, 0.1204457000, 0.3531848000, 1.4256675000, 6.3871147000", \
+                        "0.0515561000, 0.0542184000, 0.0663983000, 0.1195031000, 0.3526419000, 1.4208533000, 6.3875056000", \
+                        "0.0504495000, 0.0530703000, 0.0648501000, 0.1168880000, 0.3507295000, 1.4168818000, 6.4177257000", \
+                        "0.0490782000, 0.0515589000, 0.0629810000, 0.1150389000, 0.3474710000, 1.4179052000, 6.3876470000", \
+                        "0.0508261000, 0.0531979000, 0.0641266000, 0.1157053000, 0.3470482000, 1.4151682000, 6.4030347000", \
+                        "0.0571613000, 0.0595072000, 0.0701575000, 0.1202673000, 0.3519017000, 1.4170472000, 6.3883218000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 8.3530110000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1723887000, 0.1756690000, 0.1882785000, 0.2271045000, 0.3426129000, 0.8072963000, 2.9488905000", \
+                        "0.1803486000, 0.1836663000, 0.1962021000, 0.2350844000, 0.3506725000, 0.8157321000, 2.9568281000", \
+                        "0.2040646000, 0.2072931000, 0.2196269000, 0.2585436000, 0.3742853000, 0.8395087000, 2.9749851000", \
+                        "0.2742523000, 0.2775165000, 0.2900720000, 0.3286847000, 0.4447915000, 0.9104340000, 3.0462088000", \
+                        "0.4623024000, 0.4659446000, 0.4796688000, 0.5206781000, 0.6388002000, 1.1035282000, 3.2400720000", \
+                        "0.8163878000, 0.8219246000, 0.8421707000, 0.8973405000, 1.0320347000, 1.5017703000, 3.6441721000", \
+                        "1.4282979000, 1.4370717000, 1.4692694000, 1.5556663000, 1.7367533000, 2.2221458000, 4.3554742000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1152479000, 0.1190952000, 0.1344089000, 0.1894010000, 0.4102589000, 1.4206155000, 6.0869589000", \
+                        "0.1233037000, 0.1271042000, 0.1424438000, 0.1976162000, 0.4182742000, 1.4270873000, 6.0938867000", \
+                        "0.1463871000, 0.1502310000, 0.1654770000, 0.2204597000, 0.4415898000, 1.4534336000, 6.1100018000", \
+                        "0.2112818000, 0.2150393000, 0.2301747000, 0.2849037000, 0.5070996000, 1.5123728000, 6.1899428000", \
+                        "0.3417286000, 0.3461539000, 0.3634286000, 0.4213522000, 0.6438150000, 1.6502741000, 6.3112613000", \
+                        "0.5586523000, 0.5650538000, 0.5884633000, 0.6575368000, 0.8835585000, 1.8821891000, 6.5586101000", \
+                        "0.8647495000, 0.8749587000, 0.9125761000, 1.0143397000, 1.2612936000, 2.2596716000, 6.9333774000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0314655000, 0.0331555000, 0.0417395000, 0.0729441000, 0.2022561000, 0.8340711000, 3.7981942000", \
+                        "0.0310080000, 0.0330757000, 0.0413480000, 0.0729310000, 0.2027577000, 0.8340866000, 3.8005545000", \
+                        "0.0314340000, 0.0334525000, 0.0415702000, 0.0729188000, 0.2024077000, 0.8341467000, 3.7985330000", \
+                        "0.0311624000, 0.0332028000, 0.0416451000, 0.0730526000, 0.2025213000, 0.8346055000, 3.7951766000", \
+                        "0.0387423000, 0.0406282000, 0.0485644000, 0.0778450000, 0.2043580000, 0.8352248000, 3.7948493000", \
+                        "0.0692740000, 0.0717043000, 0.0809823000, 0.1104978000, 0.2266142000, 0.8361836000, 3.7915333000", \
+                        "0.1293262000, 0.1340437000, 0.1459881000, 0.1828381000, 0.2915050000, 0.8538198000, 3.7944448000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0316656000, 0.0348728000, 0.0488243000, 0.1118704000, 0.4129338000, 1.8237530000, 8.3441982000", \
+                        "0.0315230000, 0.0346340000, 0.0486718000, 0.1118803000, 0.4121255000, 1.8248187000, 8.3173592000", \
+                        "0.0317042000, 0.0348773000, 0.0488830000, 0.1116441000, 0.4123188000, 1.8229186000, 8.3150367000", \
+                        "0.0323516000, 0.0355385000, 0.0495552000, 0.1123104000, 0.4135287000, 1.8226031000, 8.3529761000", \
+                        "0.0418876000, 0.0449426000, 0.0584573000, 0.1178112000, 0.4129835000, 1.8218740000, 8.3175022000", \
+                        "0.0679597000, 0.0713096000, 0.0840380000, 0.1372295000, 0.4188327000, 1.8173661000, 8.3357072000", \
+                        "0.1231017000, 0.1279604000, 0.1454933000, 0.1994618000, 0.4400931000, 1.8213944000, 8.3227780000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_16") {
+        leakage_power () {
+            value : 23.909615600;
+            when : "A";
+        }
+        leakage_power () {
+            value : 20.280582500;
+            when : "!A";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 22.095100000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0133140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0127080000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0139190000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.1000027000, 0.0980240000, 0.0891671000, 0.0455685000, -0.181972200, -1.262690800, -6.283534200", \
+                        "0.0993434000, 0.0974204000, 0.0883423000, 0.0448219000, -0.182631100, -1.263202600, -6.284429400", \
+                        "0.0983688000, 0.0963437000, 0.0874248000, 0.0439198000, -0.183501200, -1.264035300, -6.285579600", \
+                        "0.0969737000, 0.0949923000, 0.0857686000, 0.0415794000, -0.185155100, -1.265148300, -6.286349300", \
+                        "0.0959415000, 0.0939676000, 0.0842167000, 0.0389995000, -0.187543900, -1.266430200, -6.286998100", \
+                        "0.1019843000, 0.0997451000, 0.0897354000, 0.0383566000, -0.189002200, -1.266381000, -6.284427100", \
+                        "0.1091663000, 0.1066344000, 0.0956723000, 0.0459482000, -0.184472800, -1.259672100, -6.278900700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0724205000, 0.0751369000, 0.0875684000, 0.1411034000, 0.3734700000, 1.4459460000, 6.4054976000", \
+                        "0.0719026000, 0.0746679000, 0.0870570000, 0.1406549000, 0.3729770000, 1.4454786000, 6.4183872000", \
+                        "0.0708402000, 0.0735539000, 0.0859665000, 0.1393390000, 0.3720907000, 1.4451000000, 6.4045768000", \
+                        "0.0691957000, 0.0717881000, 0.0839239000, 0.1364199000, 0.3703159000, 1.4424982000, 6.4027084000", \
+                        "0.0674901000, 0.0699393000, 0.0814024000, 0.1341109000, 0.3671546000, 1.4397719000, 6.4026943000", \
+                        "0.0693831000, 0.0718205000, 0.0829006000, 0.1343792000, 0.3657309000, 1.4365785000, 6.4029923000", \
+                        "0.0774719000, 0.0796692000, 0.0904578000, 0.1410565000, 0.3732757000, 1.4431349000, 6.4079851000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 7.3154990000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1756809000, 0.1783796000, 0.1892713000, 0.2252482000, 0.3340322000, 0.7664079000, 2.7485267000", \
+                        "0.1833070000, 0.1860127000, 0.1969574000, 0.2328623000, 0.3419589000, 0.7744663000, 2.7661795000", \
+                        "0.2073218000, 0.2100169000, 0.2209236000, 0.2568552000, 0.3659636000, 0.7988874000, 2.7831062000", \
+                        "0.2775956000, 0.2802795000, 0.2911245000, 0.3267441000, 0.4358952000, 0.8686381000, 2.8602343000", \
+                        "0.4646659000, 0.4676122000, 0.4793272000, 0.5171019000, 0.6287095000, 1.0607909000, 3.0523521000", \
+                        "0.8191151000, 0.8233861000, 0.8399350000, 0.8904366000, 1.0169221000, 1.4556503000, 3.4418104000", \
+                        "1.4390430000, 1.4456885000, 1.4718681000, 1.5473969000, 1.7182173000, 2.1706035000, 4.1515364000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1201891000, 0.1233801000, 0.1366034000, 0.1848476000, 0.3743016000, 1.2348690000, 5.2318989000", \
+                        "0.1280668000, 0.1312514000, 0.1444797000, 0.1928044000, 0.3822833000, 1.2430990000, 5.2315574000", \
+                        "0.1509762000, 0.1541490000, 0.1673451000, 0.2155951000, 0.4058096000, 1.2667920000, 5.2398668000", \
+                        "0.2138712000, 0.2170079000, 0.2301136000, 0.2782087000, 0.4687216000, 1.3321958000, 5.3057541000", \
+                        "0.3393069000, 0.3428639000, 0.3573338000, 0.4082064000, 0.6004856000, 1.4612168000, 5.4517355000", \
+                        "0.5457089000, 0.5505823000, 0.5696987000, 0.6294558000, 0.8256285000, 1.6828342000, 5.6587242000", \
+                        "0.8260886000, 0.8338196000, 0.8639691000, 0.9511949000, 1.1708139000, 2.0276616000, 6.0108207000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0346603000, 0.0363710000, 0.0439507000, 0.0744291000, 0.2064904000, 0.8433572000, 3.8363162000", \
+                        "0.0348056000, 0.0362501000, 0.0439095000, 0.0748411000, 0.2068307000, 0.8424395000, 3.8372079000", \
+                        "0.0345221000, 0.0364539000, 0.0440035000, 0.0744885000, 0.2069520000, 0.8432806000, 3.8375219000", \
+                        "0.0345611000, 0.0362981000, 0.0438865000, 0.0748347000, 0.2069660000, 0.8422356000, 3.8369441000", \
+                        "0.0422327000, 0.0438934000, 0.0510968000, 0.0802413000, 0.2086460000, 0.8425380000, 3.8359729000", \
+                        "0.0737887000, 0.0756427000, 0.0839800000, 0.1116708000, 0.2299131000, 0.8483742000, 3.8299586000", \
+                        "0.1375034000, 0.1401058000, 0.1518578000, 0.1845770000, 0.2906129000, 0.8669196000, 3.8356567000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0363959000, 0.0391074000, 0.0513977000, 0.1068975000, 0.3703936000, 1.6075590000, 7.3033295000", \
+                        "0.0364613000, 0.0390961000, 0.0513483000, 0.1071413000, 0.3694087000, 1.6073456000, 7.3104238000", \
+                        "0.0363736000, 0.0391147000, 0.0513321000, 0.1071339000, 0.3701469000, 1.6087521000, 7.3068911000", \
+                        "0.0372387000, 0.0399231000, 0.0521142000, 0.1073291000, 0.3702803000, 1.6098116000, 7.3154962000", \
+                        "0.0456903000, 0.0483229000, 0.0604199000, 0.1131717000, 0.3708209000, 1.6066274000, 7.3076425000", \
+                        "0.0719809000, 0.0747258000, 0.0849896000, 0.1323685000, 0.3773074000, 1.6018068000, 7.3063138000", \
+                        "0.1304373000, 0.1347809000, 0.1475289000, 0.1934220000, 0.4030556000, 1.6118676000, 7.3039792000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_2") {
+        leakage_power () {
+            value : 4.6435882000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 6.6006294000;
+            when : "!A";
+        }
+        area : 5.0048000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 5.6221090000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0016890000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016250000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017530000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601230, 0.0055120140, 0.0183012400, 0.0607646100, 0.2017534000, 0.6698708000");
+                    values("0.0114081000, 0.0101446000, 0.0059979000, -0.009402800, -0.063373000, -0.243711300, -0.842698000", \
+                        "0.0113388000, 0.0100762000, 0.0059300000, -0.009486800, -0.063460400, -0.243827600, -0.843011100", \
+                        "0.0112017000, 0.0099462000, 0.0057567000, -0.009643300, -0.063588600, -0.243958500, -0.843144100", \
+                        "0.0110075000, 0.0097543000, 0.0055074000, -0.009920700, -0.063799800, -0.244104300, -0.843269800", \
+                        "0.0109034000, 0.0095393000, 0.0052132000, -0.010273000, -0.064044500, -0.244242600, -0.843326200", \
+                        "0.0119924000, 0.0105041000, 0.0056826000, -0.010510400, -0.064181300, -0.244293500, -0.843329900", \
+                        "0.0133049000, 0.0117762000, 0.0067733000, -0.010008100, -0.063958200, -0.243773500, -0.842616000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601230, 0.0055120140, 0.0183012400, 0.0607646100, 0.2017534000, 0.6698708000");
+                    values("0.0098555000, 0.0115169000, 0.0167726000, 0.0332817000, 0.0871943000, 0.2658523000, 0.8575931000", \
+                        "0.0098033000, 0.0114422000, 0.0166443000, 0.0332402000, 0.0870676000, 0.2660090000, 0.8622403000", \
+                        "0.0096491000, 0.0113218000, 0.0165229000, 0.0330688000, 0.0870949000, 0.2659789000, 0.8605653000", \
+                        "0.0094459000, 0.0110590000, 0.0162189000, 0.0328133000, 0.0867315000, 0.2645456000, 0.8578066000", \
+                        "0.0091558000, 0.0107074000, 0.0158925000, 0.0322325000, 0.0863208000, 0.2651428000, 0.8617378000", \
+                        "0.0095206000, 0.0110045000, 0.0159610000, 0.0325901000, 0.0864250000, 0.2659149000, 0.8571363000", \
+                        "0.0105961000, 0.0118883000, 0.0168704000, 0.0330688000, 0.0870450000, 0.2644305000, 0.8593129000");
+                }
+            }
+            max_capacitance : 0.1986790000;
+            max_transition : 1.5092220000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
+                    values("0.1792932000, 0.1892139000, 0.2133868000, 0.2670034000, 0.4028960000, 0.8250789000, 2.2191862000", \
+                        "0.1871287000, 0.1970866000, 0.2210889000, 0.2748479000, 0.4105168000, 0.8315783000, 2.2243745000", \
+                        "0.2106823000, 0.2205745000, 0.2446495000, 0.2982169000, 0.4341444000, 0.8554000000, 2.2481992000", \
+                        "0.2799382000, 0.2898951000, 0.3138765000, 0.3677327000, 0.5037561000, 0.9255889000, 2.3203426000", \
+                        "0.4672344000, 0.4781244000, 0.5041376000, 0.5602953000, 0.6958281000, 1.1186306000, 2.5260194000", \
+                        "0.8101472000, 0.8265185000, 0.8635023000, 0.9333720000, 1.0847886000, 1.5091776000, 2.9005294000", \
+                        "1.3600734000, 1.3859723000, 1.4428624000, 1.5490592000, 1.7344262000, 2.1691255000, 3.5662060000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
+                    values("0.1223381000, 0.1326455000, 0.1596562000, 0.2318837000, 0.4568384000, 1.1978754000, 3.6524452000", \
+                        "0.1302204000, 0.1403412000, 0.1677390000, 0.2400523000, 0.4654440000, 1.2089935000, 3.6676835000", \
+                        "0.1541482000, 0.1645033000, 0.1912796000, 0.2635832000, 0.4888030000, 1.2279676000, 3.7022767000", \
+                        "0.2219590000, 0.2320275000, 0.2587865000, 0.3310327000, 0.5568049000, 1.2984541000, 3.7519770000", \
+                        "0.3715447000, 0.3840245000, 0.4146360000, 0.4888566000, 0.7143833000, 1.4546266000, 3.9179868000", \
+                        "0.6314031000, 0.6501129000, 0.6919922000, 0.7786307000, 1.0057660000, 1.7429683000, 4.1897121000", \
+                        "1.0490839000, 1.0793150000, 1.1464256000, 1.2692332000, 1.5133310000, 2.2499729000, 4.6949586000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
+                    values("0.0326383000, 0.0388792000, 0.0570961000, 0.1072918000, 0.2698199000, 0.8430761000, 2.7455656000", \
+                        "0.0325373000, 0.0394768000, 0.0571115000, 0.1073651000, 0.2708044000, 0.8448503000, 2.7405382000", \
+                        "0.0325853000, 0.0394171000, 0.0570797000, 0.1070333000, 0.2703807000, 0.8412561000, 2.7441960000", \
+                        "0.0327381000, 0.0393401000, 0.0571526000, 0.1072078000, 0.2706572000, 0.8416168000, 2.7472584000", \
+                        "0.0399550000, 0.0462274000, 0.0634366000, 0.1108246000, 0.2713273000, 0.8426275000, 2.7528677000", \
+                        "0.0712085000, 0.0781038000, 0.0956834000, 0.1446937000, 0.2920024000, 0.8431466000, 2.7429092000", \
+                        "0.1289667000, 0.1404027000, 0.1656724000, 0.2143093000, 0.3476842000, 0.8662676000, 2.7465112000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
+                    values("0.0305471000, 0.0394456000, 0.0664014000, 0.1577033000, 0.4728385000, 1.5279653000, 5.0057238000", \
+                        "0.0306153000, 0.0394675000, 0.0665389000, 0.1575114000, 0.4725135000, 1.5285890000, 5.0131672000", \
+                        "0.0303964000, 0.0391392000, 0.0665922000, 0.1575755000, 0.4727875000, 1.5273635000, 5.0006665000", \
+                        "0.0310338000, 0.0399008000, 0.0669550000, 0.1576669000, 0.4731400000, 1.5279790000, 5.0034896000", \
+                        "0.0423107000, 0.0504480000, 0.0758846000, 0.1619731000, 0.4723255000, 1.5233685000, 5.0113349000", \
+                        "0.0713334000, 0.0804936000, 0.1044274000, 0.1803058000, 0.4775647000, 1.5246026000, 5.0024740000", \
+                        "0.1270634000, 0.1423647000, 0.1735217000, 0.2418689000, 0.4980814000, 1.5298507000, 4.9966333000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_4") {
+        leakage_power () {
+            value : 6.0855538000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 7.7954185000;
+            when : "!A";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 6.9404860000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023180000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025110000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276110, 0.0066803240, 0.0244180700, 0.0892534700, 0.3262413000, 1.1924840000");
+                    values("0.0204078000, 0.0189941000, 0.0136853000, -0.006812200, -0.088552900, -0.391634800, -1.500076000", \
+                        "0.0203258000, 0.0188429000, 0.0136362000, -0.006905700, -0.088636000, -0.391699100, -1.500188000", \
+                        "0.0201921000, 0.0187415000, 0.0133900000, -0.007089100, -0.088773700, -0.391799500, -1.500472500", \
+                        "0.0199177000, 0.0184422000, 0.0130900000, -0.007559600, -0.089182700, -0.392068700, -1.500741300", \
+                        "0.0197517000, 0.0181979000, 0.0126767000, -0.008182400, -0.089653300, -0.392320700, -1.500892700", \
+                        "0.0220561000, 0.0203871000, 0.0138513000, -0.008373000, -0.090000400, -0.392433600, -1.500830700", \
+                        "0.0241050000, 0.0222803000, 0.0158206000, -0.006979300, -0.089538300, -0.392000600, -1.500001800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276110, 0.0066803240, 0.0244180700, 0.0892534700, 0.3262413000, 1.1924840000");
+                    values("0.0185842000, 0.0204810000, 0.0272475000, 0.0505668000, 0.1331822000, 0.4343570000, 1.5380208000", \
+                        "0.0185376000, 0.0204206000, 0.0271911000, 0.0506271000, 0.1331899000, 0.4356448000, 1.5277904000", \
+                        "0.0183459000, 0.0202270000, 0.0269118000, 0.0502801000, 0.1329562000, 0.4338499000, 1.5339730000", \
+                        "0.0181673000, 0.0199681000, 0.0266175000, 0.0498909000, 0.1325210000, 0.4328279000, 1.5284526000", \
+                        "0.0175477000, 0.0193510000, 0.0259874000, 0.0486094000, 0.1317413000, 0.4332142000, 1.5373632000", \
+                        "0.0185300000, 0.0202446000, 0.0265048000, 0.0496712000, 0.1319548000, 0.4311140000, 1.5293206000", \
+                        "0.0202709000, 0.0217872000, 0.0278841000, 0.0504724000, 0.1333418000, 0.4294095000, 1.5322250000");
+                }
+            }
+            max_capacitance : 0.3534030000;
+            max_transition : 1.5123320000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
+                    values("0.1902497000, 0.1971157000, 0.2157510000, 0.2610498000, 0.3727349000, 0.7289759000, 2.0173765000", \
+                        "0.1980286000, 0.2048574000, 0.2237077000, 0.2688738000, 0.3804807000, 0.7367326000, 2.0259872000", \
+                        "0.2219959000, 0.2287388000, 0.2475648000, 0.2926421000, 0.4042006000, 0.7604152000, 2.0475375000", \
+                        "0.2899761000, 0.2966962000, 0.3154882000, 0.3605554000, 0.4726657000, 0.8293691000, 2.1151638000", \
+                        "0.4775383000, 0.4848470000, 0.5048983000, 0.5517460000, 0.6652214000, 1.0191386000, 2.3072764000", \
+                        "0.8284319000, 0.8395636000, 0.8689292000, 0.9315299000, 1.0601042000, 1.4220166000, 2.7083646000", \
+                        "1.3986508000, 1.4164481000, 1.4623595000, 1.5578311000, 1.7305671000, 2.1130155000, 3.3968441000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
+                    values("0.1332108000, 0.1406323000, 0.1624074000, 0.2234062000, 0.4188125000, 1.1266336000, 3.7000452000", \
+                        "0.1411014000, 0.1484465000, 0.1706838000, 0.2316877000, 0.4273928000, 1.1309109000, 3.7041707000", \
+                        "0.1651489000, 0.1725079000, 0.1942932000, 0.2550686000, 0.4513106000, 1.1565385000, 3.7246159000", \
+                        "0.2332486000, 0.2404185000, 0.2621345000, 0.3228431000, 0.5187201000, 1.2339395000, 3.7888812000", \
+                        "0.3886047000, 0.3974205000, 0.4222556000, 0.4857827000, 0.6819963000, 1.3901942000, 3.9677452000", \
+                        "0.6596343000, 0.6729095000, 0.7073554000, 0.7845570000, 0.9861227000, 1.6831992000, 4.2502162000", \
+                        "1.0930461000, 1.1126842000, 1.1687665000, 1.2825181000, 1.5108080000, 2.2098503000, 4.7659667000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
+                    values("0.0336166000, 0.0377793000, 0.0505988000, 0.0872205000, 0.2109134000, 0.6870773000, 2.4531632000", \
+                        "0.0336626000, 0.0378012000, 0.0505987000, 0.0878217000, 0.2108410000, 0.6871822000, 2.4519975000", \
+                        "0.0338281000, 0.0377485000, 0.0502780000, 0.0877771000, 0.2108483000, 0.6854405000, 2.4472753000", \
+                        "0.0337237000, 0.0378320000, 0.0509682000, 0.0876673000, 0.2104038000, 0.6867484000, 2.4515387000", \
+                        "0.0404713000, 0.0449477000, 0.0562911000, 0.0914166000, 0.2123574000, 0.6846614000, 2.4477169000", \
+                        "0.0717623000, 0.0772444000, 0.0899121000, 0.1237104000, 0.2361900000, 0.6904752000, 2.4529967000", \
+                        "0.1331769000, 0.1408534000, 0.1600472000, 0.2014477000, 0.3052840000, 0.7171650000, 2.4613160000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
+                    values("0.0325505000, 0.0385199000, 0.0586107000, 0.1282675000, 0.3959699000, 1.3928613000, 5.0165858000", \
+                        "0.0327210000, 0.0388621000, 0.0586074000, 0.1283457000, 0.3960781000, 1.3944654000, 4.9917275000", \
+                        "0.0327365000, 0.0386999000, 0.0585157000, 0.1285708000, 0.3963007000, 1.3918375000, 5.0062559000", \
+                        "0.0328350000, 0.0389711000, 0.0588681000, 0.1288800000, 0.3960932000, 1.3939321000, 4.9977942000", \
+                        "0.0434637000, 0.0494244000, 0.0683817000, 0.1346310000, 0.3966836000, 1.3905053000, 5.0173643000", \
+                        "0.0727950000, 0.0792365000, 0.0978456000, 0.1570717000, 0.4035518000, 1.3848362000, 5.0030788000", \
+                        "0.1316381000, 0.1397762000, 0.1657093000, 0.2238969000, 0.4341293000, 1.3957608000, 4.9963207000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_6") {
+        leakage_power () {
+            value : 9.3850323000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 9.7104769000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 9.5477550000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0046320000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0044430000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048220000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347620, 0.0074866080, 0.0289696100, 0.1120986000, 0.4337682000, 1.6784770000");
+                    values("0.0334484000, 0.0319076000, 0.0259243000, 0.0015393000, -0.102882200, -0.514065600, -2.107268100", \
+                        "0.0333681000, 0.0318044000, 0.0258357000, 0.0014356000, -0.103049500, -0.514302400, -2.107509000", \
+                        "0.0328603000, 0.0313436000, 0.0253988000, 0.0009767000, -0.103460300, -0.514588700, -2.108053100", \
+                        "0.0324056000, 0.0308549000, 0.0248127000, 0.0001681000, -0.104091700, -0.515090100, -2.108159800", \
+                        "0.0320324000, 0.0303489000, 0.0240442000, -0.000952000, -0.104889500, -0.515452600, -2.108316000", \
+                        "0.0349129000, 0.0331264000, 0.0256786000, -0.001113200, -0.105997400, -0.515556800, -2.108068800", \
+                        "0.0381929000, 0.0371229000, 0.0290678000, 0.0014479000, -0.103253900, -0.513657100, -2.105557400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347620, 0.0074866080, 0.0289696100, 0.1120986000, 0.4337682000, 1.6784770000");
+                    values("0.0262179000, 0.0283489000, 0.0361116000, 0.0648837000, 0.1709092000, 0.5802117000, 2.1536822000", \
+                        "0.0260314000, 0.0281711000, 0.0360730000, 0.0647518000, 0.1708000000, 0.5793276000, 2.1535391000", \
+                        "0.0256588000, 0.0277450000, 0.0356145000, 0.0642484000, 0.1704115000, 0.5752001000, 2.1503018000", \
+                        "0.0250498000, 0.0270884000, 0.0347153000, 0.0629555000, 0.1695045000, 0.5761579000, 2.1528778000", \
+                        "0.0245609000, 0.0264507000, 0.0338451000, 0.0621226000, 0.1682633000, 0.5773143000, 2.1508001000", \
+                        "0.0255121000, 0.0273330000, 0.0346399000, 0.0625702000, 0.1678441000, 0.5734896000, 2.1643498000", \
+                        "0.0283486000, 0.0302957000, 0.0376654000, 0.0650865000, 0.1713089000, 0.5780528000, 2.1535658000");
+                }
+            }
+            max_capacitance : 0.4953100000;
+            max_transition : 1.5031750000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347600, 0.0074866100, 0.0289696000, 0.1120990000, 0.4337680000, 1.6784800000");
+                    values("0.1586972000, 0.1633158000, 0.1773740000, 0.2142387000, 0.3108029000, 0.6385875000, 1.8952759000", \
+                        "0.1667166000, 0.1712646000, 0.1853550000, 0.2220141000, 0.3185786000, 0.6464146000, 1.9047621000", \
+                        "0.1902938000, 0.1948183000, 0.2088304000, 0.2453492000, 0.3419582000, 0.6697493000, 1.9281150000", \
+                        "0.2601927000, 0.2647403000, 0.2788035000, 0.3154177000, 0.4124360000, 0.7401695000, 1.9947626000", \
+                        "0.4412543000, 0.4464941000, 0.4622146000, 0.5018582000, 0.6015811000, 0.9274370000, 2.1871216000", \
+                        "0.7702886000, 0.7781904000, 0.8021069000, 0.8552375000, 0.9689102000, 1.3029869000, 2.5655003000", \
+                        "1.3204340000, 1.3331907000, 1.3703438000, 1.4531578000, 1.6091103000, 1.9620343000, 3.2138152000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347600, 0.0074866100, 0.0289696000, 0.1120990000, 0.4337680000, 1.6784800000");
+                    values("0.1102870000, 0.1155336000, 0.1325243000, 0.1848416000, 0.3636288000, 1.0493535000, 3.6788415000", \
+                        "0.1184000000, 0.1236375000, 0.1407660000, 0.1929459000, 0.3716822000, 1.0565759000, 3.6859649000", \
+                        "0.1415843000, 0.1468320000, 0.1639521000, 0.2159568000, 0.3949974000, 1.0795819000, 3.7118946000", \
+                        "0.2071184000, 0.2123025000, 0.2293057000, 0.2814800000, 0.4614340000, 1.1422409000, 3.7766248000", \
+                        "0.3413169000, 0.3475516000, 0.3672571000, 0.4227047000, 0.6013868000, 1.2863570000, 3.9182178000", \
+                        "0.5660442000, 0.5753935000, 0.6028738000, 0.6693317000, 0.8535959000, 1.5302661000, 4.1720947000", \
+                        "0.8975699000, 0.9129496000, 0.9568192000, 1.0559462000, 1.2642659000, 1.9406234000, 4.5729784000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347600, 0.0074866100, 0.0289696000, 0.1120990000, 0.4337680000, 1.6784800000");
+                    values("0.0284088000, 0.0316925000, 0.0409372000, 0.0718056000, 0.1801791000, 0.6211816000, 2.3390874000", \
+                        "0.0287093000, 0.0316030000, 0.0412024000, 0.0717658000, 0.1802520000, 0.6200727000, 2.3485025000", \
+                        "0.0284583000, 0.0315741000, 0.0412824000, 0.0718561000, 0.1801211000, 0.6209118000, 2.3417128000", \
+                        "0.0284837000, 0.0314071000, 0.0412215000, 0.0718206000, 0.1799304000, 0.6214825000, 2.3459454000", \
+                        "0.0372121000, 0.0401792000, 0.0492238000, 0.0783067000, 0.1827419000, 0.6208976000, 2.3565407000", \
+                        "0.0667803000, 0.0699545000, 0.0803688000, 0.1079946000, 0.2067721000, 0.6240574000, 2.3529905000", \
+                        "0.1231519000, 0.1285081000, 0.1437205000, 0.1797666000, 0.2680813000, 0.6475932000, 2.3459044000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0019347600, 0.0074866100, 0.0289696000, 0.1120990000, 0.4337680000, 1.6784800000");
+                    values("0.0290231000, 0.0334793000, 0.0500411000, 0.1107581000, 0.3555902000, 1.3216052000, 5.0058730000", \
+                        "0.0290803000, 0.0334765000, 0.0496336000, 0.1109379000, 0.3553735000, 1.3185685000, 5.0099320000", \
+                        "0.0291488000, 0.0335835000, 0.0496259000, 0.1108096000, 0.3555292000, 1.3129503000, 4.9916609000", \
+                        "0.0301534000, 0.0344761000, 0.0504993000, 0.1111923000, 0.3567804000, 1.3154039000, 5.0099622000", \
+                        "0.0404695000, 0.0447715000, 0.0596003000, 0.1171103000, 0.3565579000, 1.3140367000, 5.0010494000", \
+                        "0.0668471000, 0.0713173000, 0.0861339000, 0.1368526000, 0.3633396000, 1.3094987000, 5.0227396000", \
+                        "0.1203508000, 0.1280929000, 0.1485341000, 0.1996383000, 0.3898379000, 1.3225648000, 5.0002709000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_8") {
+        leakage_power () {
+            value : 12.511564200;
+            when : "A";
+        }
+        leakage_power () {
+            value : 11.917618900;
+            when : "!A";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 12.214590000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0068550000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0065550000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0071560000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0482864000, 0.0463953000, 0.0372267000, -0.008213600, -0.239222300, -1.320581000, -6.341755100", \
+                        "0.0480217000, 0.0460699000, 0.0368949000, -0.008597200, -0.239446000, -1.320905700, -6.342317400", \
+                        "0.0473397000, 0.0453681000, 0.0363150000, -0.009086500, -0.240116000, -1.321303900, -6.343081000", \
+                        "0.0465945000, 0.0446748000, 0.0353789000, -0.010335200, -0.240937100, -1.322119900, -6.343265800", \
+                        "0.0459163000, 0.0438205000, 0.0341960000, -0.011912800, -0.241822800, -1.322504100, -6.343498100", \
+                        "0.0488926000, 0.0466074000, 0.0362025000, -0.012694200, -0.242401300, -1.322254600, -6.343042800", \
+                        "0.0546137000, 0.0523694000, 0.0410475000, -0.008778300, -0.240710900, -1.319260500, -6.339676400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0360687000, 0.0387952000, 0.0508918000, 0.1026426000, 0.3327931000, 1.4076874000, 6.3843626000", \
+                        "0.0358765000, 0.0385701000, 0.0505221000, 0.1025474000, 0.3343021000, 1.3994704000, 6.3882848000", \
+                        "0.0352301000, 0.0378867000, 0.0499168000, 0.1018053000, 0.3336752000, 1.3984902000, 6.3724870000", \
+                        "0.0344003000, 0.0369302000, 0.0485762000, 0.0998338000, 0.3309506000, 1.4031133000, 6.3891350000", \
+                        "0.0335289000, 0.0359425000, 0.0471475000, 0.0984446000, 0.3304422000, 1.4007744000, 6.3768912000", \
+                        "0.0345702000, 0.0369571000, 0.0480727000, 0.0990786000, 0.3314091000, 1.4029848000, 6.3777224000", \
+                        "0.0392410000, 0.0413985000, 0.0518777000, 0.1020916000, 0.3336909000, 1.3981500000, 6.3737360000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 11.865947000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1628220000, 0.1672627000, 0.1833001000, 0.2319893000, 0.3873698000, 1.0622462000, 4.1864441000", \
+                        "0.1705390000, 0.1749575000, 0.1910426000, 0.2394226000, 0.3953347000, 1.0683312000, 4.1787849000", \
+                        "0.1938934000, 0.1983276000, 0.2145049000, 0.2627592000, 0.4185169000, 1.0931503000, 4.2095971000", \
+                        "0.2636654000, 0.2680891000, 0.2842574000, 0.3326232000, 0.4884917000, 1.1625690000, 4.2816870000", \
+                        "0.4463491000, 0.4514163000, 0.4694416000, 0.5209426000, 0.6791461000, 1.3483680000, 4.4615871000", \
+                        "0.7820790000, 0.7898602000, 0.8164495000, 0.8840023000, 1.0551716000, 1.7308119000, 4.8537463000", \
+                        "1.3514849000, 1.3629545000, 1.4059238000, 1.5092041000, 1.7269730000, 2.4047440000, 5.5160148000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.1096476000, 0.1147600000, 0.1347187000, 0.2074092000, 0.5164609000, 1.9487896000, 8.5639877000", \
+                        "0.1176248000, 0.1227203000, 0.1425239000, 0.2154587000, 0.5250339000, 1.9534965000, 8.5585281000", \
+                        "0.1406120000, 0.1457382000, 0.1656604000, 0.2382823000, 0.5489065000, 1.9748974000, 8.5946397000", \
+                        "0.2052837000, 0.2102405000, 0.2300069000, 0.3027910000, 0.6128212000, 2.0377444000, 8.6705425000", \
+                        "0.3344441000, 0.3405518000, 0.3631274000, 0.4388807000, 0.7479198000, 2.1726525000, 8.8210799000", \
+                        "0.5477228000, 0.5567204000, 0.5875163000, 0.6742833000, 0.9859132000, 2.4085303000, 9.0125427000", \
+                        "0.8498863000, 0.8643130000, 0.9135410000, 1.0369544000, 1.3590513000, 2.7821037000, 9.4161168000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0290154000, 0.0321070000, 0.0432452000, 0.0863603000, 0.2777273000, 1.2093322000, 5.5378107000", \
+                        "0.0292245000, 0.0320530000, 0.0432517000, 0.0865659000, 0.2779052000, 1.2106438000, 5.5362310000", \
+                        "0.0289855000, 0.0317967000, 0.0429958000, 0.0862802000, 0.2776141000, 1.2116310000, 5.5460396000", \
+                        "0.0290163000, 0.0318564000, 0.0431396000, 0.0862078000, 0.2777075000, 1.2113701000, 5.5476845000", \
+                        "0.0376463000, 0.0404774000, 0.0508035000, 0.0914633000, 0.2784780000, 1.2067809000, 5.5364597000", \
+                        "0.0675293000, 0.0710281000, 0.0830116000, 0.1209926000, 0.2966779000, 1.2100837000, 5.5415050000", \
+                        "0.1255217000, 0.1307568000, 0.1480040000, 0.1956071000, 0.3465401000, 1.2216100000, 5.5398135000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0294548000, 0.0339018000, 0.0533431000, 0.1435501000, 0.5750551000, 2.5879985000, 11.861519800", \
+                        "0.0294476000, 0.0339550000, 0.0534078000, 0.1435601000, 0.5762281000, 2.5764806000, 11.861323500", \
+                        "0.0295582000, 0.0339631000, 0.0533755000, 0.1435769000, 0.5745656000, 2.5706717000, 11.848060300", \
+                        "0.0305590000, 0.0349637000, 0.0542747000, 0.1438506000, 0.5725555000, 2.5777585000, 11.872257000", \
+                        "0.0403257000, 0.0449385000, 0.0630096000, 0.1480741000, 0.5755733000, 2.5753022000, 11.853288100", \
+                        "0.0671535000, 0.0711327000, 0.0885258000, 0.1638999000, 0.5787023000, 2.5830866000, 11.837553200", \
+                        "0.1206640000, 0.1278172000, 0.1518516000, 0.2196710000, 0.5897643000, 2.5774209000, 11.853589200");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__bufbuf_16 */
+
+/* removed sky130_fd_sc_hd__bufbuf_8 */
+
+/* removed sky130_fd_sc_hd__bufinv_16 */
+
+/* removed sky130_fd_sc_hd__bufinv_8 */
+
+/* removed sky130_fd_sc_hd__clkbuf_1 */
+
+/* removed sky130_fd_sc_hd__clkbuf_16 */
+
+/* removed sky130_fd_sc_hd__clkbuf_2 */
+
+/* removed sky130_fd_sc_hd__clkbuf_4 */
+
+/* removed sky130_fd_sc_hd__clkbuf_8 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_1 */
+
+/* removed sky130_fd_sc_hd__clkinv_16 */
+
+/* removed sky130_fd_sc_hd__clkinv_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_4 */
+
+/* removed sky130_fd_sc_hd__clkinv_8 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_2 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_4 */
+
+    cell ("sky130_fd_sc_hd__conb_1") {
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__conb";
+        cell_leakage_power : 0.0030330610;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("HI") {
+            direction : "output";
+            function : "1";
+            max_capacitance : 1.7899000000;
+            max_transition : 1.0000000000;
+            power_down_function : "!VPWR";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+        pin ("LO") {
+            direction : "output";
+            function : "0";
+            max_capacitance : 1.9210000000;
+            max_transition : 1.0000000000;
+            power_down_function : "VGND";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+    }
+
+/* removed sky130_fd_sc_hd__decap_12 */
+
+/* removed sky130_fd_sc_hd__decap_3 */
+
+/* removed sky130_fd_sc_hd__decap_4 */
+
+/* removed sky130_fd_sc_hd__decap_6 */
+
+/* removed sky130_fd_sc_hd__decap_8 */
+
+/* removed sky130_fd_sc_hd__dfbbn_1 */
+
+    cell ("sky130_fd_sc_hd__dfbbn_2") {
+        leakage_power () {
+            value : 28.489404600;
+            when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 23.659618300;
+            when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 23.073422300;
+            when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 17.724934400;
+            when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 25.713138300;
+            when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 24.668622700;
+            when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 22.980660900;
+            when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 22.162050000;
+            when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 21.065742900;
+            when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 21.617514900;
+            when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 22.029096800;
+            when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 24.789727600;
+            when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 19.047231300;
+            when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 25.620307700;
+            when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 21.524766000;
+            when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 20.573185800;
+            when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 17.332880000;
+            when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 24.051637100;
+            when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 21.453820100;
+            when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 25.291756200;
+            when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        area : 35.033600000;
+        cell_footprint : "sky130_fd_sc_hd__dfbbn";
+        cell_leakage_power : 22.643480000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clear_preset_var1 : "H";
+            clear_preset_var2 : "L";
+            clocked_on : "!CLK_N";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK_N") {
+            capacitance : 0.0016750000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0015950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0259917000, 0.0254621000, 0.0241331000, 0.0241161000, 0.0242312000, 0.0247187000, 0.0262554000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0134162000, 0.0131146000, 0.0124307000, 0.0123482000, 0.0122815000, 0.0122594000, 0.0123631000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017550000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.5861758000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK_N";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4428068000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0014960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014350000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0042910000, 0.0041902000, 0.0040805000, 0.0039994000, 0.0039451000, 0.0039661000, 0.0041996000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0004095000, 0.0001944000, -0.000249600, -0.000331800, -0.000401600, -0.000436500, -0.000373100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015570000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3425762000, 1.3657207000, 2.0234763000", \
+                        "-0.122096700, 0.8772442000, 1.5075338000", \
+                        "-0.344061200, 0.6589417000, 1.2874003000");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1631328000, 0.8200664000, 1.1756979000", \
+                        "-0.751979500, -0.085890600, 0.2697409000", \
+                        "-1.202825800, -0.545892200, -0.190260700");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.300124000, -1.310451100, -1.955389400", \
+                        "0.1627178000, -0.827467800, -1.452264400", \
+                        "0.4029929000, -0.598179000, -1.224806700");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.0770732000, -0.567043000, -0.900701800", \
+                        "0.8841533000, 0.2675029000, -0.066155900", \
+                        "1.2892233000, 0.6945456000, 0.3663799000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
+                    values("-0.010977700, -0.006730100, 0.0034196000, 0.0145234000, -0.011670300, -0.175877700, -0.765598100", \
+                        "-0.010704700, -0.006439700, 0.0037514000, 0.0149169000, -0.011219100, -0.175319700, -0.765035400", \
+                        "-0.010046000, -0.005789900, 0.0043750000, 0.0155252000, -0.010647900, -0.174797900, -0.764520700", \
+                        "-0.010045500, -0.005802500, 0.0043422000, 0.0154448000, -0.010757100, -0.174973400, -0.764712200", \
+                        "-0.010123500, -0.005891900, 0.0042081000, 0.0152494000, -0.010982500, -0.175199200, -0.764939300", \
+                        "-0.010400500, -0.006180000, 0.0039077000, 0.0148935000, -0.011402400, -0.175694500, -0.765436800", \
+                        "-0.011239400, -0.006962000, 0.0032666000, 0.0145477000, -0.011820500, -0.175866500, -0.765598000");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
+                    values("-0.012196100, -0.010314300, -0.004289300, 0.0144339000, 0.0703861000, 0.2503472000, 0.8407387000", \
+                        "-0.011934000, -0.010055500, -0.004053000, 0.0145970000, 0.0706032000, 0.2491719000, 0.8401675000", \
+                        "-0.011263500, -0.009369600, -0.003334600, 0.0154164000, 0.0715377000, 0.2506257000, 0.8409511000", \
+                        "-0.011266700, -0.009389200, -0.003398200, 0.0153305000, 0.0714083000, 0.2512496000, 0.8415590000", \
+                        "-0.011345300, -0.009487500, -0.003536100, 0.0150214000, 0.0710794000, 0.2508146000, 0.8405219000", \
+                        "-0.011626200, -0.009780700, -0.003875100, 0.0146202000, 0.0704355000, 0.2492146000, 0.8417128000", \
+                        "-0.012461300, -0.010536200, -0.004410800, 0.0141305000, 0.0700749000, 0.2490364000, 0.8398766000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
+                    values("-0.002616800, 0.0021292000, 0.0135724000, 0.0270320000, 0.0042472000, -0.158295000, -0.747450400", \
+                        "-0.002572900, 0.0021639000, 0.0135909000, 0.0270379000, 0.0042645000, -0.158319700, -0.747480600", \
+                        "-0.002530000, 0.0022058000, 0.0136103000, 0.0270168000, 0.0041839000, -0.158390600, -0.747563200", \
+                        "-0.002501800, 0.0022251000, 0.0136217000, 0.0270090000, 0.0041906000, -0.158429700, -0.747555200", \
+                        "-0.002509600, 0.0021975000, 0.0135425000, 0.0268260000, 0.0038864000, -0.158746700, -0.747919700", \
+                        "-0.002605500, 0.0020846000, 0.0133842000, 0.0265880000, 0.0035333000, -0.159178200, -0.748391500", \
+                        "-0.002908900, 0.0018817000, 0.0134391000, 0.0271350000, 0.0031603000, -0.159598300, -0.748806100");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
+                    values("0.0035331000, 0.0076009000, 0.0172698000, 0.0275582000, 0.0016131000, -0.162642100, -0.752420900", \
+                        "0.0036549000, 0.0077280000, 0.0174138000, 0.0277044000, 0.0018312000, -0.162439100, -0.752223600", \
+                        "0.0038988000, 0.0079299000, 0.0175030000, 0.0275876000, 0.0014779000, -0.162881300, -0.752703800", \
+                        "0.0039394000, 0.0079553000, 0.0175056000, 0.0275513000, 0.0014161000, -0.163011200, -0.752843100", \
+                        "0.0039626000, 0.0079448000, 0.0173855000, 0.0272350000, 0.0007897000, -0.163725700, -0.753606500", \
+                        "0.0039943000, 0.0079482000, 0.0173254000, 0.0270461000, 0.0002397000, -0.164485400, -0.754406400", \
+                        "0.0040399000, 0.0080620000, 0.0176028000, 0.0276110000, 3.135000e-05, -0.164877900, -0.754802300");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
+                    values("-0.000773800, 0.0013372000, 0.0079118000, 0.0279404000, 0.0857450000, 0.2651263000, 0.8586610000", \
+                        "-0.000775200, 0.0013683000, 0.0080223000, 0.0281995000, 0.0859936000, 0.2662867000, 0.8587075000", \
+                        "-0.000916100, 0.0012145000, 0.0078524000, 0.0279688000, 0.0857093000, 0.2652515000, 0.8588137000", \
+                        "-0.000878800, 0.0012385000, 0.0078232000, 0.0279152000, 0.0858712000, 0.2661350000, 0.8563965000", \
+                        "-0.000853100, 0.0012483000, 0.0078017000, 0.0277951000, 0.0855347000, 0.2660442000, 0.8571810000", \
+                        "-0.000869200, 0.0011988000, 0.0076451000, 0.0274495000, 0.0848750000, 0.2641693000, 0.8570371000", \
+                        "-0.000936600, 0.0011883000, 0.0078155000, 0.0271760000, 0.0850733000, 0.2651089000, 0.8561585000");
+                }
+            }
+            max_capacitance : 0.1977640000;
+            max_transition : 1.4963000000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("1.1567622000, 1.1672893000, 1.1931047000, 1.2495663000, 1.3882819000, 1.8100338000, 3.2090675000", \
+                        "1.1648765000, 1.1754168000, 1.2010333000, 1.2575980000, 1.3959283000, 1.8185349000, 3.2120446000", \
+                        "1.1879375000, 1.1985110000, 1.2240305000, 1.2805791000, 1.4192738000, 1.8416138000, 3.2377130000", \
+                        "1.2555700000, 1.2661031000, 1.2916538000, 1.3481686000, 1.4867443000, 1.9089688000, 3.3012868000", \
+                        "1.4467734000, 1.4574130000, 1.4826051000, 1.5391235000, 1.6780641000, 2.1017339000, 3.4969900000", \
+                        "1.8350661000, 1.8458347000, 1.8712474000, 1.9277930000, 2.0664417000, 2.4886972000, 3.8833305000", \
+                        "2.4780644000, 2.4887017000, 2.5142768000, 2.5707574000, 2.7093610000, 3.1330023000, 4.5226707000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.9420029000, 0.9545253000, 0.9850369000, 1.0606341000, 1.2857951000, 2.0241038000, 4.4743884000", \
+                        "0.9498696000, 0.9623907000, 0.9930472000, 1.0683346000, 1.2934211000, 2.0309177000, 4.4897748000", \
+                        "0.9739186000, 0.9864121000, 1.0169849000, 1.0923703000, 1.3171184000, 2.0555284000, 4.5080544000", \
+                        "1.0419372000, 1.0543049000, 1.0848800000, 1.1607666000, 1.3858127000, 2.1244069000, 4.5709583000", \
+                        "1.2333630000, 1.2458706000, 1.2762341000, 1.3520386000, 1.5772641000, 2.3156879000, 4.7647832000", \
+                        "1.6230645000, 1.6354859000, 1.6662191000, 1.7416723000, 1.9663404000, 2.7047709000, 5.1613802000", \
+                        "2.2638496000, 2.2761220000, 2.3068478000, 2.3823894000, 2.6073004000, 3.3462106000, 5.7941238000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.0369773000, 0.0435893000, 0.0616740000, 0.1120194000, 0.2740784000, 0.8414621000, 2.7474152000", \
+                        "0.0367994000, 0.0436007000, 0.0618620000, 0.1118678000, 0.2733627000, 0.8434868000, 2.7484928000", \
+                        "0.0369219000, 0.0435706000, 0.0620363000, 0.1121269000, 0.2739685000, 0.8438706000, 2.7461708000", \
+                        "0.0366749000, 0.0437252000, 0.0617618000, 0.1118524000, 0.2736208000, 0.8417293000, 2.7394855000", \
+                        "0.0369287000, 0.0432879000, 0.0618929000, 0.1119070000, 0.2736911000, 0.8441898000, 2.7462290000", \
+                        "0.0367822000, 0.0433393000, 0.0621494000, 0.1117569000, 0.2734961000, 0.8420912000, 2.7609885000", \
+                        "0.0368561000, 0.0435543000, 0.0618843000, 0.1118721000, 0.2734058000, 0.8438424000, 2.7464560000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.0417490000, 0.0503121000, 0.0762705000, 0.1631547000, 0.4724865000, 1.5162290000, 4.9840816000", \
+                        "0.0419406000, 0.0510334000, 0.0766199000, 0.1629921000, 0.4716517000, 1.5180505000, 4.9717594000", \
+                        "0.0418625000, 0.0509914000, 0.0765264000, 0.1629386000, 0.4726585000, 1.5181073000, 4.9814686000", \
+                        "0.0415931000, 0.0502758000, 0.0760184000, 0.1628272000, 0.4726829000, 1.5175695000, 4.9885385000", \
+                        "0.0417879000, 0.0503613000, 0.0763449000, 0.1627455000, 0.4726199000, 1.5149151000, 4.9859867000", \
+                        "0.0413314000, 0.0507682000, 0.0764867000, 0.1627456000, 0.4725325000, 1.5139455000, 4.9839355000", \
+                        "0.0415057000, 0.0502122000, 0.0763895000, 0.1628601000, 0.4720993000, 1.5134557000, 4.9749689000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.7069342000, 0.7177874000, 0.7437462000, 0.8008359000, 0.9402161000, 1.3621071000, 2.7546125000", \
+                        "0.7150955000, 0.7259282000, 0.7517892000, 0.8090422000, 0.9484230000, 1.3705098000, 2.7644129000", \
+                        "0.7376226000, 0.7484569000, 0.7745228000, 0.8314526000, 0.9708649000, 1.3927630000, 2.7863154000", \
+                        "0.8078401000, 0.8186846000, 0.8445520000, 0.9018075000, 1.0412051000, 1.4632274000, 2.8576528000", \
+                        "1.0006420000, 1.0114871000, 1.0374611000, 1.0944604000, 1.2339374000, 1.6559306000, 3.0500831000", \
+                        "1.4011173000, 1.4119979000, 1.4377421000, 1.4949012000, 1.6345167000, 2.0566002000, 3.4511216000", \
+                        "2.0888471000, 2.0997064000, 2.1258464000, 2.1832127000, 2.3229056000, 2.7448523000, 4.1363069000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.0384606000, 0.0446490000, 0.0633747000, 0.1136721000, 0.2746481000, 0.8383512000, 2.7469611000", \
+                        "0.0377476000, 0.0445891000, 0.0633231000, 0.1135207000, 0.2750207000, 0.8396188000, 2.7513254000", \
+                        "0.0383754000, 0.0444509000, 0.0627954000, 0.1135618000, 0.2751323000, 0.8412187000, 2.7484184000", \
+                        "0.0377646000, 0.0445789000, 0.0635914000, 0.1134094000, 0.2744204000, 0.8394216000, 2.7580132000", \
+                        "0.0382512000, 0.0451029000, 0.0630932000, 0.1132555000, 0.2752948000, 0.8392967000, 2.7414877000", \
+                        "0.0380611000, 0.0446399000, 0.0635520000, 0.1130528000, 0.2751029000, 0.8396933000, 2.7588891000", \
+                        "0.0384726000, 0.0452232000, 0.0633896000, 0.1134772000, 0.2749013000, 0.8399795000, 2.7403686000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.5196561000, 0.5304624000, 0.5564713000, 0.6135696000, 0.7529531000, 1.1751233000, 2.5682259000", \
+                        "0.5278942000, 0.5386832000, 0.5644033000, 0.6215746000, 0.7611969000, 1.1834819000, 2.5750055000", \
+                        "0.5518495000, 0.5626981000, 0.5886582000, 0.6457401000, 0.7851534000, 1.2072884000, 2.6013862000", \
+                        "0.6087389000, 0.6195600000, 0.6454006000, 0.7026996000, 0.8420801000, 1.2642654000, 2.6586540000", \
+                        "0.7650790000, 0.7759007000, 0.8019225000, 0.8590425000, 0.9984226000, 1.4204318000, 2.8134111000", \
+                        "1.1375646000, 1.1489247000, 1.1755934000, 1.2336241000, 1.3737581000, 1.7961637000, 3.1885021000", \
+                        "1.8266321000, 1.8389175000, 1.8673452000, 1.9277260000, 2.0700341000, 2.4924516000, 3.8859032000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.8749166000, 0.8887408000, 0.9221103000, 0.9998492000, 1.2257274000, 1.9636763000, 4.4121489000", \
+                        "0.8833117000, 0.8972733000, 0.9300530000, 1.0080591000, 1.2326725000, 1.9706579000, 4.4280788000", \
+                        "0.9083514000, 0.9223816000, 0.9553114000, 1.0329368000, 1.2587384000, 1.9967563000, 4.4452348000", \
+                        "0.9770402000, 0.9908546000, 1.0240987000, 1.1018140000, 1.3267027000, 2.0665512000, 4.5107313000", \
+                        "1.1890676000, 1.2030413000, 1.2362117000, 1.3139121000, 1.5391147000, 2.2765969000, 4.7321698000", \
+                        "1.7920413000, 1.8063254000, 1.8390212000, 1.9168156000, 2.1423261000, 2.8805569000, 5.3389897000", \
+                        "3.2383438000, 3.2547653000, 3.2918865000, 3.3733307000, 3.5979475000, 4.3356434000, 6.7834947000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.0384043000, 0.0452892000, 0.0632461000, 0.1135268000, 0.2750359000, 0.8409132000, 2.7461797000", \
+                        "0.0378765000, 0.0445604000, 0.0638910000, 0.1133170000, 0.2747252000, 0.8385669000, 2.7519635000", \
+                        "0.0384364000, 0.0445815000, 0.0633033000, 0.1135224000, 0.2751216000, 0.8395711000, 2.7563754000", \
+                        "0.0377558000, 0.0444868000, 0.0633023000, 0.1133291000, 0.2749611000, 0.8392244000, 2.7582239000", \
+                        "0.0384686000, 0.0453368000, 0.0633001000, 0.1135769000, 0.2748499000, 0.8384998000, 2.7450962000", \
+                        "0.0401524000, 0.0467783000, 0.0648870000, 0.1151314000, 0.2750823000, 0.8394197000, 2.7471256000", \
+                        "0.0462969000, 0.0530694000, 0.0713693000, 0.1204778000, 0.2788220000, 0.8410245000, 2.7446332000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
+                    values("0.0488758000, 0.0576217000, 0.0831146000, 0.1668437000, 0.4730238000, 1.5162451000, 4.9876974000", \
+                        "0.0491466000, 0.0574919000, 0.0828447000, 0.1669057000, 0.4724922000, 1.5155182000, 4.9863940000", \
+                        "0.0488109000, 0.0576755000, 0.0829931000, 0.1669055000, 0.4725903000, 1.5159130000, 4.9878985000", \
+                        "0.0488123000, 0.0574734000, 0.0828117000, 0.1666495000, 0.4733183000, 1.5137613000, 4.9828488000", \
+                        "0.0490622000, 0.0574170000, 0.0833169000, 0.1668557000, 0.4732982000, 1.5166975000, 4.9781264000", \
+                        "0.0485544000, 0.0572859000, 0.0825124000, 0.1667268000, 0.4734881000, 1.5140357000, 4.9966187000", \
+                        "0.0599868000, 0.0684926000, 0.0938073000, 0.1724546000, 0.4746866000, 1.5163535000, 4.9890372000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("-0.010930400, -0.006670100, 0.0033699000, 0.0144943000, -0.009700500, -0.162816600, -0.709382000", \
+                        "-0.010667600, -0.006412400, 0.0036191000, 0.0146662000, -0.009698000, -0.162640400, -0.709207200", \
+                        "-0.009998200, -0.005731800, 0.0043295000, 0.0153898000, -0.008794200, -0.161805400, -0.708334300", \
+                        "-0.010001500, -0.005751300, 0.0042757000, 0.0153052000, -0.008940800, -0.161997000, -0.708471400", \
+                        "-0.010076600, -0.005843800, 0.0041318000, 0.0150190000, -0.009242900, -0.162400400, -0.708991800", \
+                        "-0.010361000, -0.006140100, 0.0037838000, 0.0146153000, -0.009809100, -0.162960400, -0.709599700", \
+                        "-0.011210700, -0.006961300, 0.0030808000, 0.0140717000, -0.010324300, -0.163303000, -0.709911600");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("-0.012122100, -0.010108100, -0.003863300, 0.0145013000, 0.0683138000, 0.2381345000, 0.7862154000", \
+                        "-0.011849400, -0.009816000, -0.003522300, 0.0149222000, 0.0689936000, 0.2379223000, 0.7867122000", \
+                        "-0.011191100, -0.009167000, -0.002894900, 0.0154900000, 0.0695906000, 0.2387869000, 0.7853541000", \
+                        "-0.011190300, -0.009173300, -0.002927600, 0.0154356000, 0.0693003000, 0.2384459000, 0.7877153000", \
+                        "-0.011268000, -0.009265100, -0.003074000, 0.0152215000, 0.0690185000, 0.2383787000, 0.7862856000", \
+                        "-0.011547900, -0.009555600, -0.003381100, 0.0148794000, 0.0686310000, 0.2374224000, 0.7867858000", \
+                        "-0.012388600, -0.010353400, -0.004048600, 0.0145159000, 0.0683089000, 0.2368172000, 0.7864750000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("-0.003773500, -0.001294700, 0.0061355000, 0.0269469000, 0.0845536000, 0.2534382000, 0.8030396000", \
+                        "-0.003726500, -0.001251600, 0.0061805000, 0.0269559000, 0.0845541000, 0.2533880000, 0.8030844000", \
+                        "-0.003685700, -0.001217000, 0.0061841000, 0.0269449000, 0.0845108000, 0.2534964000, 0.8023791000", \
+                        "-0.003657300, -0.001192400, 0.0062103000, 0.0269208000, 0.0845421000, 0.2532831000, 0.8052127000", \
+                        "-0.003666700, -0.001221000, 0.0061318000, 0.0267440000, 0.0842953000, 0.2542017000, 0.8020116000", \
+                        "-0.003759300, -0.001333000, 0.0059827000, 0.0265063000, 0.0837354000, 0.2529351000, 0.8001329000", \
+                        "-0.004048400, -0.001485100, 0.0061764000, 0.0271108000, 0.0837526000, 0.2533561000, 0.7998925000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0005365000, 0.0051107000, 0.0158998000, 0.0282885000, 0.0057465000, -0.146062200, -0.692021500", \
+                        "0.0005192000, 0.0050840000, 0.0158807000, 0.0281947000, 0.0056288000, -0.146192700, -0.692176300", \
+                        "0.0003740000, 0.0049195000, 0.0156718000, 0.0279784000, 0.0053705000, -0.146446700, -0.692448800", \
+                        "0.0004222000, 0.0049733000, 0.0157199000, 0.0279305000, 0.0053237000, -0.146585600, -0.692562800", \
+                        "0.0004449000, 0.0049664000, 0.0156698000, 0.0277704000, 0.0050183000, -0.146887700, -0.692878500", \
+                        "0.0004345000, 0.0049285000, 0.0155307000, 0.0274409000, 0.0045387000, -0.147547200, -0.693464700", \
+                        "0.0003364000, 0.0048112000, 0.0153419000, 0.0271311000, 0.0039094000, -0.148000300, -0.693806800");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0023629000, 0.0041607000, 0.0098152000, 0.0274700000, 0.0819201000, 0.2489896000, 0.7969487000", \
+                        "0.0024676000, 0.0042220000, 0.0097843000, 0.0272479000, 0.0815358000, 0.2484435000, 0.7984888000", \
+                        "0.0027286000, 0.0044849000, 0.0100406000, 0.0274843000, 0.0817730000, 0.2485730000, 0.7969195000", \
+                        "0.0027644000, 0.0044986000, 0.0100138000, 0.0273539000, 0.0814348000, 0.2486377000, 0.7982650000", \
+                        "0.0027970000, 0.0045021000, 0.0099422000, 0.0271252000, 0.0810798000, 0.2481445000, 0.7968746000", \
+                        "0.0028405000, 0.0045486000, 0.0099741000, 0.0270373000, 0.0803605000, 0.2474197000, 0.7961570000", \
+                        "0.0028932000, 0.0046856000, 0.0103163000, 0.0275673000, 0.0804708000, 0.2479767000, 0.7947015000");
+                }
+            }
+            max_capacitance : 0.1849460000;
+            max_transition : 1.5052510000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.7365878000, 0.7540736000, 0.7946566000, 0.8786136000, 1.0548580000, 1.4870294000, 2.8243305000", \
+                        "0.7448024000, 0.7621273000, 0.8026913000, 0.8866414000, 1.0628912000, 1.4949281000, 2.8377642000", \
+                        "0.7685662000, 0.7860428000, 0.8265679000, 0.9104277000, 1.0866595000, 1.5187851000, 2.8605491000", \
+                        "0.8368330000, 0.8542167000, 0.8947434000, 0.9788099000, 1.1549773000, 1.5871109000, 2.9290590000", \
+                        "1.0283577000, 1.0456371000, 1.0861276000, 1.1700961000, 1.3463030000, 1.7784870000, 3.1150627000", \
+                        "1.4178095000, 1.4353052000, 1.4757416000, 1.5596722000, 1.7358921000, 2.1680224000, 3.5045000000", \
+                        "2.0581639000, 2.0755939000, 2.1161364000, 2.2001945000, 2.3764308000, 2.8086293000, 4.1447339000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.9469660000, 0.9628514000, 1.0032448000, 1.0969055000, 1.3412879000, 2.0884918000, 4.5273882000", \
+                        "0.9549533000, 0.9711140000, 1.0114705000, 1.1050506000, 1.3494241000, 2.0983526000, 4.5458002000", \
+                        "0.9777656000, 0.9939217000, 1.0342834000, 1.1278632000, 1.3722407000, 2.1223032000, 4.5676201000", \
+                        "1.0462810000, 1.0625204000, 1.1028425000, 1.1965136000, 1.4408131000, 2.1891193000, 4.6285895000", \
+                        "1.2365053000, 1.2527560000, 1.2929455000, 1.3864829000, 1.6308693000, 2.3795226000, 4.8174926000", \
+                        "1.6255098000, 1.6417839000, 1.6821043000, 1.7758003000, 2.0201214000, 2.7690770000, 5.2096225000", \
+                        "2.2667155000, 2.2825264000, 2.3230027000, 2.4166409000, 2.6610423000, 3.4089414000, 5.8689280000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0646453000, 0.0743382000, 0.0997127000, 0.1594426000, 0.3202749000, 0.8407581000, 2.6521594000", \
+                        "0.0644361000, 0.0740692000, 0.0982530000, 0.1593082000, 0.3203816000, 0.8410506000, 2.6590024000", \
+                        "0.0641336000, 0.0739826000, 0.0996806000, 0.1594552000, 0.3202591000, 0.8400997000, 2.6445031000", \
+                        "0.0645605000, 0.0739896000, 0.0997031000, 0.1598746000, 0.3204197000, 0.8403767000, 2.6469760000", \
+                        "0.0643278000, 0.0740227000, 0.1000017000, 0.1597599000, 0.3205169000, 0.8395732000, 2.6655465000", \
+                        "0.0645045000, 0.0743381000, 0.0985597000, 0.1595900000, 0.3203527000, 0.8396532000, 2.6618274000", \
+                        "0.0647569000, 0.0741816000, 0.0999042000, 0.1600788000, 0.3205737000, 0.8389996000, 2.6453286000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0554750000, 0.0675702000, 0.0992694000, 0.1918175000, 0.4968050000, 1.5375985000, 4.9745266000", \
+                        "0.0558444000, 0.0667483000, 0.0989198000, 0.1915271000, 0.4966899000, 1.5378111000, 4.9761533000", \
+                        "0.0558271000, 0.0667471000, 0.0989231000, 0.1914356000, 0.4968799000, 1.5378391000, 4.9704636000", \
+                        "0.0556416000, 0.0670556000, 0.0992200000, 0.1916570000, 0.4976750000, 1.5359870000, 4.9736197000", \
+                        "0.0553946000, 0.0670958000, 0.0991032000, 0.1916606000, 0.4969482000, 1.5380445000, 4.9779856000", \
+                        "0.0557870000, 0.0672587000, 0.0992418000, 0.1916452000, 0.4968903000, 1.5430143000, 4.9752971000", \
+                        "0.0556092000, 0.0677591000, 0.0995489000, 0.1919331000, 0.4964741000, 1.5316282000, 4.9794986000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.4905097000, 0.5076732000, 0.5497573000, 0.6468088000, 0.9006669000, 1.6519455000, 4.0934078000", \
+                        "0.4985309000, 0.5156529000, 0.5579880000, 0.6547732000, 0.9087190000, 1.6599666000, 4.0995118000", \
+                        "0.5225333000, 0.5396482000, 0.5817561000, 0.6787845000, 0.9326604000, 1.6839550000, 4.1290344000", \
+                        "0.5910999000, 0.6082057000, 0.6504634000, 0.7473732000, 1.0012933000, 1.7523718000, 4.1956527000", \
+                        "0.7832495000, 0.8003707000, 0.8426708000, 0.9396708000, 1.1936071000, 1.9446933000, 4.3886663000", \
+                        "1.1833298000, 1.2007274000, 1.2435143000, 1.3409961000, 1.5952250000, 2.3461120000, 4.7872342000", \
+                        "1.8648649000, 1.8836619000, 1.9285646000, 2.0284798000, 2.2840094000, 3.0341205000, 5.4709846000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0584505000, 0.0702883000, 0.1039521000, 0.1990033000, 0.5096324000, 1.5321742000, 4.9750302000", \
+                        "0.0583701000, 0.0701827000, 0.1038991000, 0.1988135000, 0.5101675000, 1.5337709000, 4.9752553000", \
+                        "0.0583588000, 0.0703221000, 0.1039553000, 0.1990087000, 0.5102016000, 1.5328094000, 4.9691318000", \
+                        "0.0582632000, 0.0705925000, 0.1036317000, 0.1991921000, 0.5095079000, 1.5344637000, 4.9702278000", \
+                        "0.0583228000, 0.0706622000, 0.1036702000, 0.1992467000, 0.5091853000, 1.5347597000, 4.9713123000", \
+                        "0.0600748000, 0.0717228000, 0.1051373000, 0.2002525000, 0.5091613000, 1.5335961000, 4.9742654000", \
+                        "0.0670281000, 0.0786889000, 0.1121031000, 0.2051933000, 0.5105222000, 1.5354603000, 4.9717888000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.6170892000, 0.6407669000, 0.6947301000, 0.8046127000, 1.0168760000, 1.4801652000, 2.8256659000", \
+                        "0.6250447000, 0.6487198000, 0.7026507000, 0.8128502000, 1.0247972000, 1.4880891000, 2.8354563000", \
+                        "0.6491092000, 0.6726822000, 0.7265955000, 0.8364798000, 1.0487505000, 1.5119048000, 2.8592060000", \
+                        "0.7222495000, 0.7458253000, 0.7997202000, 0.9097351000, 1.1216804000, 1.5850038000, 2.9296872000", \
+                        "0.9320676000, 0.9555037000, 1.0094745000, 1.1194533000, 1.3313940000, 1.7947273000, 3.1399137000", \
+                        "1.5369902000, 1.5599553000, 1.6130128000, 1.7216250000, 1.9326798000, 2.3959137000, 3.7412122000", \
+                        "2.9076439000, 2.9395872000, 3.0136793000, 3.1567831000, 3.4098329000, 3.9020418000, 5.2525593000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.3034340000, 0.3206866000, 0.3627477000, 0.4598833000, 0.7134463000, 1.4643897000, 3.9051438000", \
+                        "0.3124663000, 0.3295831000, 0.3717761000, 0.4687764000, 0.7224467000, 1.4734575000, 3.9090291000", \
+                        "0.3351859000, 0.3522932000, 0.3944544000, 0.4914879000, 0.7451856000, 1.4963187000, 3.9415977000", \
+                        "0.3920802000, 0.4091943000, 0.4514336000, 0.5483561000, 0.8021434000, 1.5529125000, 3.9970902000", \
+                        "0.5484139000, 0.5657104000, 0.6080887000, 0.7050116000, 0.9588473000, 1.7096885000, 4.1466373000", \
+                        "0.8913444000, 0.9130404000, 0.9648292000, 1.0741679000, 1.3350845000, 2.0846609000, 4.5271089000", \
+                        "1.4954248000, 1.5285510000, 1.6051283000, 1.7535516000, 2.0395547000, 2.7936644000, 5.2339924000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0970080000, 0.1085022000, 0.1373887000, 0.2075209000, 0.3709788000, 0.8753459000, 2.6629423000", \
+                        "0.0968954000, 0.1085405000, 0.1383220000, 0.2077154000, 0.3707601000, 0.8741672000, 2.6575337000", \
+                        "0.0966542000, 0.1082952000, 0.1372673000, 0.2074858000, 0.3705177000, 0.8753868000, 2.6670941000", \
+                        "0.0966645000, 0.1082174000, 0.1379882000, 0.2074888000, 0.3708642000, 0.8750060000, 2.6601905000", \
+                        "0.0970290000, 0.1082231000, 0.1380652000, 0.2075347000, 0.3708781000, 0.8751934000, 2.6597697000", \
+                        "0.0963805000, 0.1069195000, 0.1360394000, 0.2067320000, 0.3709704000, 0.8737074000, 2.6614924000", \
+                        "0.1468436000, 0.1648822000, 0.2008697000, 0.2764662000, 0.4332769000, 0.9058798000, 2.6683600000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0581115000, 0.0703973000, 0.1041161000, 0.1989259000, 0.5102180000, 1.5345716000, 4.9680713000", \
+                        "0.0579690000, 0.0702703000, 0.1038669000, 0.1988396000, 0.5098318000, 1.5346696000, 4.9715099000", \
+                        "0.0579298000, 0.0702712000, 0.1038397000, 0.1988516000, 0.5096042000, 1.5355470000, 4.9674322000", \
+                        "0.0577814000, 0.0703843000, 0.1037314000, 0.1990912000, 0.5099110000, 1.5349966000, 4.9751705000", \
+                        "0.0592806000, 0.0712332000, 0.1047771000, 0.1991715000, 0.5090026000, 1.5339937000, 4.9705937000", \
+                        "0.0786624000, 0.0916698000, 0.1260220000, 0.2194294000, 0.5169074000, 1.5343047000, 4.9747375000", \
+                        "0.1315575000, 0.1496590000, 0.1932278000, 0.2820504000, 0.5435530000, 1.5389854000, 4.9739683000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0015480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015220000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0097582000, 0.0096623000, 0.0095689000, 0.0094978000, 0.0094745000, 0.0095856000, 0.0100754000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066812000, 0.0065662000, 0.0063922000, 0.0063147000, 0.0062462000, 0.0062030000, 0.0062310000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015740000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1191875000, 0.8145732000, 1.1885153000", \
+                        "-0.821559600, -0.122511700, 0.2514303000", \
+                        "-1.298040700, -0.608148100, -0.228712900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1887676000, -0.488307600, -0.831121700", \
+                        "1.0489482000, 0.3682110000, 0.0199036000", \
+                        "1.5144431000, 0.8282125000, 0.4835674000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.5103715000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.236037100, 0.0766582000, 0.1924215000", \
+                        "-0.938747000, -0.538161100, -0.407749300", \
+                        "-1.327337500, -0.877313200, -0.721266600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3590557000, 0.3942608000, 0.6904847000", \
+                        "1.1478252000, 0.8497783000, 0.8493714000", \
+                        "1.6389548000, 1.2237204000, 1.1573956000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0031850000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0031710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0033749000, 0.0034047000, 0.0036756000, 0.0035780000, 0.0034899000, 0.0034286000, 0.0034431000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003162300, -0.003408600, -0.003937500, -0.004035000, -0.004144300, -0.004286800, -0.004522900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0031990000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.128004900, 0.4703350000, 1.4302145000", \
+                        "-0.916774400, -0.391676800, 0.2221335000", \
+                        "-1.310858000, -0.796746700, -0.366042000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1411602000, -0.363795900, -0.571112000", \
+                        "0.9280986000, 0.4268047000, 0.2139954000", \
+                        "1.3130271000, 0.8172262000, 0.6099102000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3590557000, 1.1478252000, 1.6389548000", \
+                        "0.3942608000, 0.8497783000, 1.2237204000", \
+                        "0.6904847000, 0.8493714000, 1.1573956000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.6471487000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.236037100, -0.938747000, -1.327337500", \
+                        "0.0766582000, -0.538161100, -0.877313200", \
+                        "0.1924215000, -0.407749300, -0.721266600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfbbp_1 */
+
+/* removed sky130_fd_sc_hd__dfrbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfrbp_2") {
+        leakage_power () {
+            value : 24.258819000;
+            when : "RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 19.736592800;
+            when : "RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 18.240383400;
+            when : "!RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 22.713667400;
+            when : "RESET_B&CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 20.231580000;
+            when : "RESET_B&!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 23.039493900;
+            when : "!RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 20.891645700;
+            when : "RESET_B&!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 19.459738700;
+            when : "RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 19.139205800;
+            when : "!RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 22.455017400;
+            when : "RESET_B&CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 24.151894700;
+            when : "RESET_B&!CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 21.385597300;
+            when : "!RESET_B&!CLK&D&!Q&Q_N";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfrbp";
+        cell_leakage_power : 21.308640000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017330000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0193350000, 0.0190173000, 0.0182764000, 0.0182382000, 0.0182848000, 0.0185703000, 0.0195291000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0136317000, 0.0133392000, 0.0126704000, 0.0125852000, 0.0125008000, 0.0124180000, 0.0123400000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018110000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4642298000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3702984000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0018710000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0018890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0050531000, 0.0048777000, 0.0045469000, 0.0044783000, 0.0044487000, 0.0045290000, 0.0049186000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000500000, -0.000647600, -0.000910600, -0.000985500, -0.001043900, -0.001055800, -0.000936700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018530000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2656719000, 1.3071269000, 1.9850242000", \
+                        "-0.394923800, 0.5970928000, 1.2676657000", \
+                        "-0.737737900, 0.2286439000, 0.8918926000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1283428000, 0.7578105000, 1.0768210000", \
+                        "-0.307033200, 0.2565166000, 0.5334127000", \
+                        "-0.521673500, 0.0308900000, 0.2949688000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.089552700, -1.013820300, -1.556219400", \
+                        "0.5179424000, -0.411818400, -1.018304400", \
+                        "0.8442770000, -0.078159500, -0.690138700");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.074904300, -0.643947200, -0.898870800", \
+                        "0.3348369000, -0.206740200, -0.465325800", \
+                        "0.5421530000, 0.0024069000, -0.252516600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
+                    values("-0.004880700, -0.001031400, 0.0077509000, 0.0167802000, -0.006315100, -0.143976000, -0.626559500", \
+                        "-0.004736200, -0.000886900, 0.0078809000, 0.0169063000, -0.006192500, -0.143893100, -0.626372600", \
+                        "-0.004408300, -0.000569500, 0.0082015000, 0.0171249000, -0.005980000, -0.143808100, -0.626276500", \
+                        "-0.004383900, -0.000570000, 0.0081268000, 0.0169405000, -0.006211100, -0.144082400, -0.626565800", \
+                        "-0.004363000, -0.000566100, 0.0080612000, 0.0167710000, -0.006547300, -0.144498500, -0.627007900", \
+                        "-0.004347600, -0.000555800, 0.0080932000, 0.0168235000, -0.006481900, -0.144423100, -0.626948100", \
+                        "-0.004369100, -0.000506300, 0.0083060000, 0.0173528000, -0.005768200, -0.143853600, -0.626316600");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
+                    values("-0.006099900, -0.004511500, 0.0005973000, 0.0160964000, 0.0640124000, 0.2147042000, 0.6983162000", \
+                        "-0.005965500, -0.004367800, 0.0006399000, 0.0162083000, 0.0641300000, 0.2159462000, 0.6989231000", \
+                        "-0.005638200, -0.004048000, 0.0009403000, 0.0164862000, 0.0643302000, 0.2149723000, 0.6965811000", \
+                        "-0.005611800, -0.004048100, 0.0008767000, 0.0163059000, 0.0641867000, 0.2145527000, 0.6997762000", \
+                        "-0.005594900, -0.004060100, 0.0007869000, 0.0160865000, 0.0638474000, 0.2143272000, 0.6976069000", \
+                        "-0.005586800, -0.004064200, 0.0007604000, 0.0159798000, 0.0635419000, 0.2137709000, 0.6978613000", \
+                        "-0.005613300, -0.004025100, 0.0009445000, 0.0164743000, 0.0643823000, 0.2152110000, 0.6982719000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
+                    values("-0.000149300, 0.0032466000, 0.0108976000, 0.0179137000, -0.007134200, -0.146101500, -0.629022400", \
+                        "-0.000108100, 0.0032903000, 0.0109046000, 0.0179449000, -0.007124900, -0.146100400, -0.629001400", \
+                        "-5.64500e-05, 0.0033355000, 0.0109355000, 0.0179224000, -0.007215200, -0.146196200, -0.629122900", \
+                        "-2.27000e-05, 0.0033673000, 0.0109825000, 0.0179417000, -0.007178400, -0.146176300, -0.629076400", \
+                        "-5.80000e-06, 0.0033732000, 0.0109562000, 0.0178412000, -0.007368400, -0.146371500, -0.629241800", \
+                        "-2.98500e-05, 0.0033275000, 0.0108240000, 0.0175309000, -0.007897200, -0.146883800, -0.629741200", \
+                        "-0.000135100, 0.0032373000, 0.0107272000, 0.0173315000, -0.008420900, -0.147371900, -0.630098400");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.1629740000;
+            max_transition : 1.4956210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.7877980000, 0.8056143000, 0.8465146000, 0.9291802000, 1.0989532000, 1.4853470000, 2.6249571000", \
+                        "0.7959357000, 0.8138287000, 0.8544933000, 0.9372902000, 1.1071154000, 1.4934528000, 2.6363260000", \
+                        "0.8197196000, 0.8375690000, 0.8783022000, 0.9611332000, 1.1309806000, 1.5173086000, 2.6569034000", \
+                        "0.8861988000, 0.9040155000, 0.9449396000, 1.0278284000, 1.1973601000, 1.5837287000, 2.7267757000", \
+                        "1.0522402000, 1.0700535000, 1.1107945000, 1.1936874000, 1.3634702000, 1.7498347000, 2.8915608000", \
+                        "1.3709242000, 1.3887926000, 1.4296415000, 1.5122570000, 1.6820370000, 2.0684241000, 3.2124130000", \
+                        "1.9293205000, 1.9472035000, 1.9880961000, 2.0710071000, 2.2405935000, 2.6269809000, 3.7692867000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.6687489000, 0.6873104000, 0.7307265000, 0.8320821000, 1.0909226000, 1.8468099000, 4.2597841000", \
+                        "0.6770849000, 0.6951799000, 0.7391219000, 0.8400136000, 1.0988627000, 1.8552180000, 4.2645074000", \
+                        "0.7008381000, 0.7189291000, 0.7628441000, 0.8637489000, 1.1226130000, 1.8788873000, 4.2967541000", \
+                        "0.7684339000, 0.7862986000, 0.8303206000, 0.9313017000, 1.1901214000, 1.9450115000, 4.3643147000", \
+                        "0.9372289000, 0.9552520000, 0.9991366000, 1.1000648000, 1.3589786000, 2.1168680000, 4.5303197000", \
+                        "1.2688102000, 1.2862839000, 1.3307290000, 1.4314718000, 1.6902767000, 2.4453350000, 4.8511009000", \
+                        "1.8631556000, 1.8812865000, 1.9253037000, 2.0261990000, 2.2851478000, 3.0418459000, 5.4505751000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.0679508000, 0.0777237000, 0.1022180000, 0.1601018000, 0.3083875000, 0.7504366000, 2.2874145000", \
+                        "0.0679693000, 0.0779927000, 0.1018614000, 0.1601885000, 0.3087356000, 0.7504935000, 2.2834137000", \
+                        "0.0679689000, 0.0781057000, 0.1018880000, 0.1613188000, 0.3084249000, 0.7500432000, 2.2834818000", \
+                        "0.0679485000, 0.0777184000, 0.1021961000, 0.1612780000, 0.3086618000, 0.7498218000, 2.2824223000", \
+                        "0.0680477000, 0.0777415000, 0.1019538000, 0.1612589000, 0.3087142000, 0.7504405000, 2.2846205000", \
+                        "0.0679291000, 0.0781898000, 0.1020748000, 0.1610954000, 0.3086879000, 0.7491642000, 2.2917814000", \
+                        "0.0679740000, 0.0778176000, 0.1024355000, 0.1613875000, 0.3080938000, 0.7507936000, 2.2865774000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.0620834000, 0.0750834000, 0.1106151000, 0.2119136000, 0.5313382000, 1.5755486000, 4.9950692000", \
+                        "0.0622989000, 0.0751693000, 0.1106945000, 0.2118700000, 0.5296961000, 1.5773869000, 4.9986362000", \
+                        "0.0622707000, 0.0750017000, 0.1106452000, 0.2119149000, 0.5294042000, 1.5747401000, 4.9814650000", \
+                        "0.0623210000, 0.0751317000, 0.1106554000, 0.2118702000, 0.5305440000, 1.5768852000, 4.9997677000", \
+                        "0.0624629000, 0.0751155000, 0.1107150000, 0.2117992000, 0.5300396000, 1.5740809000, 4.9926663000", \
+                        "0.0624338000, 0.0752209000, 0.1107174000, 0.2117816000, 0.5291761000, 1.5763286000, 4.9953397000", \
+                        "0.0624683000, 0.0753429000, 0.1107808000, 0.2122084000, 0.5294448000, 1.5743413000, 4.9975775000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.4680826000, 0.4868394000, 0.5307869000, 0.6219449000, 0.7943676000, 1.1617662000, 2.2986599000", \
+                        "0.4755293000, 0.4942938000, 0.5382663000, 0.6294463000, 0.8019219000, 1.1693341000, 2.3046582000", \
+                        "0.4992717000, 0.5180184000, 0.5619143000, 0.6532279000, 0.8257372000, 1.1930839000, 2.3321700000", \
+                        "0.5710826000, 0.5897990000, 0.6339405000, 0.7250166000, 0.8976329000, 1.2651218000, 2.4010369000", \
+                        "0.7798406000, 0.7985113000, 0.8425509000, 0.9334336000, 1.1058957000, 1.4734282000, 2.6089761000", \
+                        "1.3572279000, 1.3783471000, 1.4262689000, 1.5216413000, 1.6947382000, 2.0621433000, 3.2034142000", \
+                        "2.5137297000, 2.5476501000, 2.6240596000, 2.7702605000, 2.9762195000, 3.3471144000, 4.4824491000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
+                    values("0.0730034000, 0.0838618000, 0.1106981000, 0.1763223000, 0.2994428000, 0.7283891000, 2.2809439000", \
+                        "0.0727388000, 0.0841216000, 0.1106444000, 0.1764330000, 0.2991549000, 0.7282647000, 2.2811906000", \
+                        "0.0727316000, 0.0839312000, 0.1107271000, 0.1763548000, 0.2993394000, 0.7284762000, 2.2838260000", \
+                        "0.0727282000, 0.0837399000, 0.1115997000, 0.1764311000, 0.2995281000, 0.7279172000, 2.2801292000", \
+                        "0.0728398000, 0.0838015000, 0.1114231000, 0.1764651000, 0.2995218000, 0.7273311000, 2.2825772000", \
+                        "0.0871175000, 0.0985126000, 0.1236297000, 0.1845764000, 0.3005015000, 0.7271878000, 2.2884239000", \
+                        "0.1567412000, 0.1741405000, 0.2123354000, 0.2789186000, 0.3401699000, 0.7348656000, 2.2797519000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
+                    values("-0.004968300, -0.001195300, 0.0074720000, 0.0163213000, -0.009722600, -0.161632000, -0.698065100", \
+                        "-0.004835200, -0.001082800, 0.0076080000, 0.0162281000, -0.009935700, -0.162028800, -0.698347800", \
+                        "-0.004506800, -0.000766500, 0.0079091000, 0.0165140000, -0.009681800, -0.161847500, -0.698111400", \
+                        "-0.004478400, -0.000761400, 0.0078514000, 0.0163267000, -0.009999400, -0.162113800, -0.698529900", \
+                        "-0.004461200, -0.000768800, 0.0077667000, 0.0161108000, -0.010336300, -0.162497200, -0.698872600", \
+                        "-0.004451900, -0.000777800, 0.0077190000, 0.0160104000, -0.010538900, -0.162770900, -0.699153500", \
+                        "-0.004481700, -0.000743500, 0.0079099000, 0.0164903000, -0.010018400, -0.162327100, -0.698686500");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
+                    values("-0.006164600, -0.004633100, 0.0004411000, 0.0168008000, 0.0676474000, 0.2328788000, 0.7689941000", \
+                        "-0.006019000, -0.004490600, 0.0005768000, 0.0169435000, 0.0677264000, 0.2329418000, 0.7690479000", \
+                        "-0.005689400, -0.004168800, 0.0008822000, 0.0171430000, 0.0681240000, 0.2321637000, 0.7715150000", \
+                        "-0.005664100, -0.004173700, 0.0008123000, 0.0170122000, 0.0677658000, 0.2327570000, 0.7687532000", \
+                        "-0.005642500, -0.004166100, 0.0007669000, 0.0167876000, 0.0677084000, 0.2328701000, 0.7707918000", \
+                        "-0.005627800, -0.004153800, 0.0007672000, 0.0168438000, 0.0675038000, 0.2326149000, 0.7692760000", \
+                        "-0.005631600, -0.004035600, 0.0011174000, 0.0174083000, 0.0684548000, 0.2321795000, 0.7694187000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
+                    values("-0.001414500, -0.000306000, 0.0036894000, 0.0179156000, 0.0668937000, 0.2311171000, 0.7676969000", \
+                        "-0.001372400, -0.000270400, 0.0037070000, 0.0179423000, 0.0668163000, 0.2298053000, 0.7682102000", \
+                        "-0.001323200, -0.000227800, 0.0037391000, 0.0179254000, 0.0667626000, 0.2312871000, 0.7662376000", \
+                        "-0.001287800, -0.000195100, 0.0037624000, 0.0179548000, 0.0667537000, 0.2303760000, 0.7662714000", \
+                        "-0.001271700, -0.000193500, 0.0037209000, 0.0178525000, 0.0665980000, 0.2297965000, 0.7680474000", \
+                        "-0.001301700, -0.000256100, 0.0035730000, 0.0175394000, 0.0663124000, 0.2289709000, 0.7657436000", \
+                        "-0.001410400, -0.000331100, 0.0034857000, 0.0173614000, 0.0661929000, 0.2293996000, 0.7650337000");
+                }
+            }
+            max_capacitance : 0.1812840000;
+            max_transition : 1.5010700000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("0.9161645000, 0.9267851000, 0.9537747000, 1.0099891000, 1.1426403000, 1.5229739000, 2.7493749000", \
+                        "0.9244391000, 0.9354868000, 0.9617079000, 1.0185689000, 1.1508685000, 1.5308820000, 2.7613911000", \
+                        "0.9482417000, 0.9587690000, 0.9857639000, 1.0420410000, 1.1747812000, 1.5548144000, 2.7834031000", \
+                        "1.0157951000, 1.0267903000, 1.0527897000, 1.1097089000, 1.2421063000, 1.6225313000, 2.8500362000", \
+                        "1.1846888000, 1.1956821000, 1.2220687000, 1.2785264000, 1.4110273000, 1.7909522000, 3.0254008000", \
+                        "1.5158645000, 1.5268722000, 1.5530705000, 1.6096150000, 1.7423124000, 2.1223485000, 3.3606864000", \
+                        "2.1108102000, 2.1219135000, 2.1480502000, 2.2047089000, 2.3371627000, 2.7164748000, 3.9495447000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("1.0316483000, 1.0447069000, 1.0767977000, 1.1559652000, 1.3876479000, 2.1358408000, 4.5919744000", \
+                        "1.0398616000, 1.0529027000, 1.0849324000, 1.1638461000, 1.3956819000, 2.1443580000, 4.5989599000", \
+                        "1.0638371000, 1.0768527000, 1.1089747000, 1.1880964000, 1.4193205000, 2.1681601000, 4.6175450000", \
+                        "1.1303849000, 1.1429179000, 1.1749492000, 1.2543687000, 1.4866618000, 2.2354902000, 4.6847632000", \
+                        "1.2962069000, 1.3091290000, 1.3414736000, 1.4203368000, 1.6521006000, 2.4008255000, 4.8499960000", \
+                        "1.6147897000, 1.6278402000, 1.6598570000, 1.7392437000, 1.9713498000, 2.7193177000, 5.1649778000", \
+                        "2.1733224000, 2.1862958000, 2.2184414000, 2.2976441000, 2.5299975000, 3.2786400000, 5.7198757000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("0.0430831000, 0.0496188000, 0.0679296000, 0.1162008000, 0.2598887000, 0.7621672000, 2.4432003000", \
+                        "0.0430589000, 0.0499083000, 0.0673774000, 0.1162004000, 0.2607648000, 0.7588483000, 2.4326845000", \
+                        "0.0429368000, 0.0501277000, 0.0684105000, 0.1157811000, 0.2600410000, 0.7622316000, 2.4317268000", \
+                        "0.0431047000, 0.0495870000, 0.0683532000, 0.1160233000, 0.2606204000, 0.7623106000, 2.4463240000", \
+                        "0.0432707000, 0.0502317000, 0.0679059000, 0.1163329000, 0.2606054000, 0.7603764000, 2.4307554000", \
+                        "0.0432427000, 0.0496094000, 0.0674404000, 0.1160843000, 0.2602160000, 0.7624405000, 2.4418969000", \
+                        "0.0429757000, 0.0498053000, 0.0674811000, 0.1160029000, 0.2607759000, 0.7598641000, 2.4261630000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("0.0474847000, 0.0566214000, 0.0841613000, 0.1734999000, 0.4879352000, 1.5403111000, 4.9842863000", \
+                        "0.0474096000, 0.0565641000, 0.0841081000, 0.1733703000, 0.4878148000, 1.5394817000, 4.9820055000", \
+                        "0.0472523000, 0.0563670000, 0.0840671000, 0.1735803000, 0.4869891000, 1.5346903000, 4.9760689000", \
+                        "0.0471858000, 0.0571415000, 0.0841390000, 0.1734168000, 0.4889548000, 1.5382960000, 4.9694289000", \
+                        "0.0471545000, 0.0568717000, 0.0841498000, 0.1732679000, 0.4872164000, 1.5352539000, 4.9770952000", \
+                        "0.0474005000, 0.0567662000, 0.0841303000, 0.1736107000, 0.4875201000, 1.5368603000, 4.9723616000", \
+                        "0.0474841000, 0.0565594000, 0.0840613000, 0.1734377000, 0.4880701000, 1.5374612000, 4.9762758000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("0.7312693000, 0.7442925000, 0.7766382000, 0.8556710000, 1.0867170000, 1.8370715000, 4.2882351000", \
+                        "0.7385665000, 0.7519560000, 0.7842779000, 0.8631169000, 1.0942330000, 1.8470739000, 4.2865529000", \
+                        "0.7623833000, 0.7754984000, 0.8078225000, 0.8867205000, 1.1181771000, 1.8703272000, 4.3111812000", \
+                        "0.8343940000, 0.8473963000, 0.8798545000, 0.9587200000, 1.1900534000, 1.9420985000, 4.3872204000", \
+                        "1.0426253000, 1.0555742000, 1.0878821000, 1.1668648000, 1.3983832000, 2.1476616000, 4.5908561000", \
+                        "1.6317508000, 1.6450251000, 1.6774422000, 1.7564221000, 1.9878594000, 2.7363387000, 5.1860964000", \
+                        "2.9095804000, 2.9239786000, 2.9586382000, 3.0392933000, 3.2709941000, 4.0191934000, 6.4725882000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
+                    values("0.0487609000, 0.0573334000, 0.0844431000, 0.1726329000, 0.4873352000, 1.5436562000, 4.9762727000", \
+                        "0.0486412000, 0.0574343000, 0.0845136000, 0.1726688000, 0.4874829000, 1.5426981000, 4.9735926000", \
+                        "0.0487762000, 0.0572779000, 0.0844241000, 0.1729889000, 0.4867374000, 1.5435448000, 4.9677253000", \
+                        "0.0489782000, 0.0574137000, 0.0846667000, 0.1725988000, 0.4861738000, 1.5409654000, 4.9725255000", \
+                        "0.0489286000, 0.0574534000, 0.0844437000, 0.1728852000, 0.4872290000, 1.5412599000, 4.9726450000", \
+                        "0.0492150000, 0.0576822000, 0.0848158000, 0.1729087000, 0.4862021000, 1.5384943000, 4.9728883000", \
+                        "0.0589549000, 0.0668474000, 0.0917216000, 0.1756470000, 0.4878342000, 1.5357646000, 4.9649764000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0033250000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0037410000, 0.0036479000, 0.0035421000, 0.0034620000, 0.0033928000, 0.0033541000, 0.0034018000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003551500, -0.003806300, -0.004372300, -0.004463600, -0.004568700, -0.004712900, -0.004967600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0032620000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.464918900, 0.6168193000, 1.8055807000", \
+                        "-1.286647400, -0.378859400, 0.5938376000", \
+                        "-1.750311200, -0.906610000, -0.014479500");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.6208965000, 1.3866924000, 1.9868714000", \
+                        "1.3730449000, 2.1095439000, 2.6840881000", \
+                        "1.8165671000, 2.5310934000, 3.0909893000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.5614570000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfrtn_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfrtp_4") {
+        leakage_power () {
+            value : 25.338030300;
+            when : "RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 20.818571800;
+            when : "RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 19.319452700;
+            when : "!RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 19.571988500;
+            when : "RESET_B&CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 17.089909900;
+            when : "RESET_B&!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 24.118570300;
+            when : "!RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 21.973368900;
+            when : "RESET_B&!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 20.538944800;
+            when : "RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 20.221060400;
+            when : "!RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 19.313336700;
+            when : "RESET_B&CLK&D&Q";
+        }
+        leakage_power () {
+            value : 21.014225000;
+            when : "RESET_B&!CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 22.467199700;
+            when : "!RESET_B&!CLK&D&!Q";
+        }
+        area : 28.777600000;
+        cell_footprint : "sky130_fd_sc_hd__dfrtp";
+        cell_leakage_power : 20.982050000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017100000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016200000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0193209000, 0.0189999000, 0.0182501000, 0.0182096000, 0.0182500000, 0.0185184000, 0.0194290000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0136377000, 0.0133465000, 0.0126789000, 0.0125951000, 0.0125117000, 0.0124296000, 0.0123511000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017990000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4625819000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4065526000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0018460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0018380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0050529000, 0.0048787000, 0.0045487000, 0.0044811000, 0.0044517000, 0.0045303000, 0.0049126000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000499900, -0.000647000, -0.000911000, -0.000984600, -0.001041700, -0.001052600, -0.000932800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018530000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2656719000, 1.3052959000, 1.9868552000", \
+                        "-0.394923800, 0.5970928000, 1.2694968000", \
+                        "-0.739569000, 0.2268128000, 0.8918926000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1320049000, 0.7651348000, 1.0841452000", \
+                        "-0.305202200, 0.2583477000, 0.5370749000", \
+                        "-0.519842500, 0.0308900000, 0.2967998000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.084059600, -0.997340800, -1.517767200", \
+                        "0.5197734000, -0.406325200, -1.009149100", \
+                        "0.8479392000, -0.072666300, -0.680983400");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.074904300, -0.643947200, -0.898870800", \
+                        "0.3348369000, -0.206740200, -0.461663700", \
+                        "0.5403219000, 0.0024069000, -0.252516600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
+                    values("0.0224049000, 0.0207296000, 0.0151595000, -0.005082500, -0.084481200, -0.384966700, -1.487363800", \
+                        "0.0226563000, 0.0209850000, 0.0153649000, -0.004820300, -0.084244200, -0.384685900, -1.487110500", \
+                        "0.0231471000, 0.0215070000, 0.0159358000, -0.004304400, -0.083701400, -0.384173700, -1.486588500", \
+                        "0.0227988000, 0.0211248000, 0.0155680000, -0.004616700, -0.084037100, -0.384530400, -1.486941200", \
+                        "0.0224833000, 0.0208474000, 0.0152402000, -0.004943800, -0.084364100, -0.384820900, -1.487260200", \
+                        "0.0226247000, 0.0209412000, 0.0153145000, -0.004856400, -0.084262700, -0.384741700, -1.487168800", \
+                        "0.0282067000, 0.0265236000, 0.0204176000, -0.001597100, -0.082941900, -0.384075400, -1.486487000");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
+                    values("0.0274608000, 0.0292080000, 0.0359976000, 0.0597856000, 0.1425407000, 0.4419331000, 1.5352179000", \
+                        "0.0276025000, 0.0293956000, 0.0358668000, 0.0595700000, 0.1427073000, 0.4445970000, 1.5313663000", \
+                        "0.0282230000, 0.0299257000, 0.0363630000, 0.0602073000, 0.1434205000, 0.4425414000, 1.5361256000", \
+                        "0.0278796000, 0.0295171000, 0.0360197000, 0.0599062000, 0.1430420000, 0.4421342000, 1.5365400000", \
+                        "0.0274502000, 0.0291264000, 0.0356788000, 0.0594750000, 0.1424822000, 0.4424891000, 1.5388579000", \
+                        "0.0272610000, 0.0290471000, 0.0355584000, 0.0592511000, 0.1424741000, 0.4412145000, 1.5345452000", \
+                        "0.0296592000, 0.0313844000, 0.0377447000, 0.0609713000, 0.1440028000, 0.4445460000, 1.5367687000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
+                    values("0.0212403000, 0.0197059000, 0.0152169000, -0.002265100, -0.081999800, -0.382728000, -1.485221700", \
+                        "0.0211904000, 0.0196690000, 0.0152738000, -0.002326400, -0.082016700, -0.382770300, -1.485129500", \
+                        "0.0212263000, 0.0197047000, 0.0152478000, -0.002338600, -0.082060000, -0.382776000, -1.485183100", \
+                        "0.0212690000, 0.0197902000, 0.0153986000, -0.002190800, -0.081943900, -0.382670200, -1.485038300", \
+                        "0.0211337000, 0.0196455000, 0.0153960000, -0.002378800, -0.082183100, -0.382871200, -1.485208900", \
+                        "0.0211755000, 0.0196303000, 0.0152445000, -0.002725900, -0.082860900, -0.383453600, -1.485533200", \
+                        "0.0305262000, 0.0285604000, 0.0219021000, -0.001107600, -0.083616700, -0.384204100, -1.486063600");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.3488260000;
+            max_transition : 1.5014030000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.9056373000, 0.9191919000, 0.9560784000, 1.0383978000, 1.2106646000, 1.6303918000, 3.0022542000", \
+                        "0.9137782000, 0.9272897000, 0.9641039000, 1.0465158000, 1.2182964000, 1.6385353000, 3.0081351000", \
+                        "0.9375013000, 0.9511791000, 0.9880087000, 1.0702976000, 1.2424831000, 1.6622622000, 3.0313598000", \
+                        "1.0040359000, 1.0175929000, 1.0544032000, 1.1367075000, 1.3087802000, 1.7288561000, 3.1006178000", \
+                        "1.1700415000, 1.1836097000, 1.2204905000, 1.3028028000, 1.4746629000, 1.8948603000, 3.2631169000", \
+                        "1.4887334000, 1.5021658000, 1.5390457000, 1.6213809000, 1.7933369000, 2.2132429000, 3.5844387000", \
+                        "2.0470809000, 2.0605799000, 2.0974851000, 2.1797804000, 2.3518165000, 2.7717912000, 4.1398103000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.7304750000, 0.7431429000, 0.7794293000, 0.8682541000, 1.0911504000, 1.7987904000, 4.3569259000", \
+                        "0.7382807000, 0.7513078000, 0.7879927000, 0.8768519000, 1.0992902000, 1.8086198000, 4.3655550000", \
+                        "0.7618058000, 0.7748634000, 0.8118053000, 0.9006754000, 1.1229391000, 1.8327609000, 4.3854280000", \
+                        "0.8295632000, 0.8414226000, 0.8791973000, 0.9681372000, 1.1902220000, 1.8980342000, 4.4605986000", \
+                        "0.9985373000, 1.0111673000, 1.0481033000, 1.1369771000, 1.3594846000, 2.0688435000, 4.6262612000", \
+                        "1.3297156000, 1.3427505000, 1.3794590000, 1.4683597000, 1.6906925000, 2.3997055000, 4.9592024000", \
+                        "1.9251809000, 1.9377744000, 1.9749209000, 2.0638578000, 2.2861490000, 2.9942733000, 5.5648770000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.0937030000, 0.1005857000, 0.1205804000, 0.1683569000, 0.3078754000, 0.7771204000, 2.6099980000", \
+                        "0.0937429000, 0.1010252000, 0.1196622000, 0.1690304000, 0.3084079000, 0.7779999000, 2.6171774000", \
+                        "0.0937993000, 0.1011055000, 0.1206628000, 0.1706850000, 0.3077204000, 0.7783182000, 2.6119622000", \
+                        "0.0937082000, 0.1009103000, 0.1196944000, 0.1685300000, 0.3078496000, 0.7767717000, 2.6127929000", \
+                        "0.0936877000, 0.1008875000, 0.1212227000, 0.1690934000, 0.3081130000, 0.7759209000, 2.6128113000", \
+                        "0.0942072000, 0.1013464000, 0.1196664000, 0.1686319000, 0.3080029000, 0.7770712000, 2.6122471000", \
+                        "0.0935265000, 0.1005891000, 0.1196022000, 0.1685653000, 0.3079803000, 0.7751559000, 2.6090508000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.0753825000, 0.0826525000, 0.1075748000, 0.1809869000, 0.4302375000, 1.3974224000, 4.9911644000", \
+                        "0.0753135000, 0.0837801000, 0.1079695000, 0.1812042000, 0.4295253000, 1.3998718000, 4.9841908000", \
+                        "0.0749423000, 0.0823627000, 0.1078756000, 0.1807319000, 0.4292437000, 1.4004365000, 4.9902482000", \
+                        "0.0753941000, 0.0837366000, 0.1078092000, 0.1808384000, 0.4288569000, 1.3968197000, 4.9856821000", \
+                        "0.0753282000, 0.0827278000, 0.1080098000, 0.1811511000, 0.4295133000, 1.3998986000, 4.9844426000", \
+                        "0.0747782000, 0.0831972000, 0.1080446000, 0.1799955000, 0.4293177000, 1.3975507000, 4.9805768000", \
+                        "0.0752010000, 0.0826959000, 0.1080589000, 0.1813221000, 0.4287242000, 1.3961268000, 4.9855196000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.5967564000, 0.6110538000, 0.6506777000, 0.7398994000, 0.9159040000, 1.3085315000, 2.6735258000", \
+                        "0.6044876000, 0.6186565000, 0.6582338000, 0.7475271000, 0.9234405000, 1.3161866000, 2.6800997000", \
+                        "0.6287044000, 0.6430482000, 0.6826177000, 0.7718748000, 0.9478418000, 1.3402822000, 2.7012175000", \
+                        "0.7010900000, 0.7153301000, 0.7549353000, 0.8446943000, 1.0201627000, 1.4130820000, 2.7754112000", \
+                        "0.9094203000, 0.9235779000, 0.9631326000, 1.0524875000, 1.2282202000, 1.6211144000, 2.9859366000", \
+                        "1.5040923000, 1.5184833000, 1.5580629000, 1.6475339000, 1.8225472000, 2.2153059000, 3.5801360000", \
+                        "2.7999221000, 2.8217368000, 2.8817317000, 3.0116145000, 3.2170524000, 3.6111889000, 4.9687787000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
+                    values("0.1011223000, 0.1089242000, 0.1306652000, 0.1858736000, 0.2950998000, 0.7425516000, 2.6054230000", \
+                        "0.1010907000, 0.1086754000, 0.1310349000, 0.1858571000, 0.2949041000, 0.7427788000, 2.6038920000", \
+                        "0.1016900000, 0.1089195000, 0.1309083000, 0.1857765000, 0.2952066000, 0.7433732000, 2.5902260000", \
+                        "0.1012291000, 0.1092142000, 0.1310893000, 0.1856724000, 0.2950696000, 0.7433407000, 2.6009277000", \
+                        "0.1010793000, 0.1086875000, 0.1311972000, 0.1858933000, 0.2950963000, 0.7432570000, 2.6015312000", \
+                        "0.1053170000, 0.1134883000, 0.1337128000, 0.1872583000, 0.2948851000, 0.7432361000, 2.6027542000", \
+                        "0.1930028000, 0.2030210000, 0.2277790000, 0.2840547000, 0.3394044000, 0.7498480000, 2.5963245000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0033280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0036959000, 0.0036119000, 0.0035397000, 0.0034544000, 0.0033785000, 0.0033291000, 0.0033545000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003544300, -0.003800600, -0.004362800, -0.004459400, -0.004571700, -0.004728400, -0.005009900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0032660000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.452101600, 0.7193584000, 2.0234763000", \
+                        "-1.277492200, -0.300124000, 0.7696188000", \
+                        "-1.742986900, -0.835198900, 0.1503154000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.6227275000, 1.3866924000, 1.9850404000", \
+                        "1.3730449000, 2.1095439000, 2.6822571000", \
+                        "1.8147360000, 2.5310934000, 3.0909893000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.6801071000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfsbp_1 */
+
+/* removed sky130_fd_sc_hd__dfsbp_2 */
+
+/* removed sky130_fd_sc_hd__dfstp_1 */
+
+/* removed sky130_fd_sc_hd__dfstp_2 */
+
+    cell ("sky130_fd_sc_hd__dfstp_4") {
+        leakage_power () {
+            value : 29.838204800;
+            when : "CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 23.635747600;
+            when : "!CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 21.858767200;
+            when : "CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 22.440350000;
+            when : "CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 23.730663700;
+            when : "!CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 23.790256900;
+            when : "CLK&D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 27.251914500;
+            when : "!CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 23.303544000;
+            when : "CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 20.220444000;
+            when : "!CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 24.371781000;
+            when : "CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 22.056774200;
+            when : "!CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 22.608563900;
+            when : "!CLK&D&!SET_B&Q";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfstp";
+        cell_leakage_power : 23.758920000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017010000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016130000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0181710000, 0.0178798000, 0.0172325000, 0.0171704000, 0.0171686000, 0.0173363000, 0.0179822000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0140155000, 0.0138255000, 0.0134629000, 0.0133656000, 0.0132638000, 0.0131499000, 0.0130012000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017890000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4082005000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.8580823000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0023140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0057656000, 0.0056760000, 0.0056112000, 0.0055892000, 0.0057144000, 0.0062549000, 0.0079650000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("3.0322508e-05, -0.000174500, -0.000586500, -0.000610500, -0.000513200, -7.3950316e-05, 0.0013286000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024390000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1484844000, 0.8255596000, 1.1775290000", \
+                        "-0.493800800, 0.1100322000, 0.4491842000", \
+                        "-0.829290700, -0.254754600, 0.0752422000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1191875000, 0.6882305000, 0.9925925000", \
+                        "-0.288722700, 0.2308818000, 0.5041159000", \
+                        "-0.494207700, 0.0162415000, 0.2839824000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.005324200, -0.523097600, -0.732244800", \
+                        "0.5893535000, 0.0441143000, -0.247430300", \
+                        "0.8937155000, 0.3539694000, 0.0551006000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.065749000, -0.583522500, -0.834783900", \
+                        "0.3110332000, -0.188429700, -0.447015300", \
+                        "0.5110250000, 0.0079001000, -0.250685500");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
+                    values("0.0344351000, 0.0328013000, 0.0268554000, 0.0048925000, -0.083350500, -0.422235000, -1.691916000", \
+                        "0.0341987000, 0.0325299000, 0.0266414000, 0.0046937000, -0.083542200, -0.422497400, -1.692158200", \
+                        "0.0343581000, 0.0327289000, 0.0267430000, 0.0047492000, -0.083332200, -0.422307600, -1.691987300", \
+                        "0.0342185000, 0.0325470000, 0.0266062000, 0.0046387000, -0.083539000, -0.422506800, -1.692108100", \
+                        "0.0338338000, 0.0322349000, 0.0262372000, 0.0043047000, -0.083860100, -0.422822400, -1.692463600", \
+                        "0.0339691000, 0.0323077000, 0.0263215000, 0.0043536000, -0.083783500, -0.422720300, -1.692382800", \
+                        "0.0382131000, 0.0365436000, 0.0303227000, 0.0068641000, -0.082827700, -0.422217500, -1.691896800");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
+                    values("0.0390552000, 0.0409007000, 0.0476765000, 0.0729615000, 0.1637483000, 0.4998835000, 1.7658801000", \
+                        "0.0392385000, 0.0410969000, 0.0477739000, 0.0730616000, 0.1640115000, 0.4993281000, 1.7651657000", \
+                        "0.0395010000, 0.0413362000, 0.0480863000, 0.0733677000, 0.1640376000, 0.5006232000, 1.7605765000", \
+                        "0.0391533000, 0.0409619000, 0.0476463000, 0.0729834000, 0.1638966000, 0.5021428000, 1.7617268000", \
+                        "0.0387554000, 0.0404843000, 0.0473522000, 0.0725543000, 0.1634548000, 0.5017328000, 1.7604280000", \
+                        "0.0385534000, 0.0403506000, 0.0470935000, 0.0724679000, 0.1636132000, 0.4998731000, 1.7643806000", \
+                        "0.0407583000, 0.0424226000, 0.0491849000, 0.0739559000, 0.1649844000, 0.5012880000, 1.7556791000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
+                    values("0.0619625000, 0.0637515000, 0.0706621000, 0.0960427000, 0.1869470000, 0.5242558000, 1.7758007000", \
+                        "0.0617367000, 0.0635542000, 0.0704175000, 0.0959303000, 0.1866634000, 0.5237747000, 1.7811281000", \
+                        "0.0616971000, 0.0635297000, 0.0704909000, 0.0956731000, 0.1868160000, 0.5210605000, 1.7867125000", \
+                        "0.0616895000, 0.0635588000, 0.0704805000, 0.0956794000, 0.1869601000, 0.5217744000, 1.7778321000", \
+                        "0.0620708000, 0.0639473000, 0.0708476000, 0.0961721000, 0.1876792000, 0.5242992000, 1.7840693000", \
+                        "0.0620871000, 0.0639360000, 0.0707687000, 0.0961211000, 0.1869545000, 0.5243235000, 1.7761348000", \
+                        "0.0637448000, 0.0655719000, 0.0723787000, 0.0974185000, 0.1871732000, 0.5243975000, 1.7782537000");
+                }
+            }
+            max_capacitance : 0.4000950000;
+            max_transition : 1.5027310000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("0.8698823000, 0.8780506000, 0.9019585000, 0.9597642000, 1.0915062000, 1.4521194000, 2.7308011000", \
+                        "0.8791395000, 0.8872909000, 0.9111856000, 0.9690240000, 1.1005991000, 1.4612690000, 2.7313420000", \
+                        "0.9020428000, 0.9101675000, 0.9340473000, 0.9918433000, 1.1233060000, 1.4845831000, 2.7639591000", \
+                        "0.9694193000, 0.9775594000, 1.0015011000, 1.0594318000, 1.1907135000, 1.5514142000, 2.8260660000", \
+                        "1.1375186000, 1.1456673000, 1.1696002000, 1.2274295000, 1.3587865000, 1.7196528000, 2.9897602000", \
+                        "1.4556306000, 1.4637755000, 1.4875851000, 1.5452917000, 1.6768066000, 2.0376327000, 3.3084846000", \
+                        "2.0174573000, 2.0256057000, 2.0494342000, 2.1072706000, 2.2389888000, 2.5994875000, 3.8755887000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("1.2179950000, 1.2277201000, 1.2571486000, 1.3299133000, 1.5300036000, 2.2248394000, 4.8147197000", \
+                        "1.2263912000, 1.2363386000, 1.2647949000, 1.3378585000, 1.5377994000, 2.2314568000, 4.8213535000", \
+                        "1.2502495000, 1.2601606000, 1.2884668000, 1.3617432000, 1.5616906000, 2.2577016000, 4.8452016000", \
+                        "1.3167610000, 1.3266891000, 1.3551263000, 1.4280503000, 1.6280610000, 2.3219497000, 4.9198867000", \
+                        "1.4808270000, 1.4900494000, 1.5195812000, 1.5919608000, 1.7918557000, 2.4872164000, 5.0735274000", \
+                        "1.8061027000, 1.8158836000, 1.8447223000, 1.9179032000, 2.1176683000, 2.8129303000, 5.4027054000", \
+                        "2.3958375000, 2.4055073000, 2.4349538000, 2.5078073000, 2.7073037000, 3.4025500000, 5.9865073000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("0.0662744000, 0.0707549000, 0.0837091000, 0.1237396000, 0.2407795000, 0.6811303000, 2.4058149000", \
+                        "0.0654396000, 0.0698279000, 0.0837866000, 0.1237003000, 0.2406120000, 0.6804380000, 2.4138647000", \
+                        "0.0655295000, 0.0701983000, 0.0850817000, 0.1227110000, 0.2424149000, 0.6798486000, 2.4152602000", \
+                        "0.0658433000, 0.0707057000, 0.0840140000, 0.1245966000, 0.2412107000, 0.6811620000, 2.4137836000", \
+                        "0.0657370000, 0.0702959000, 0.0839017000, 0.1228920000, 0.2411641000, 0.6800002000, 2.4068409000", \
+                        "0.0656292000, 0.0701668000, 0.0837713000, 0.1229722000, 0.2414988000, 0.6813125000, 2.4073081000", \
+                        "0.0664868000, 0.0709084000, 0.0854380000, 0.1226828000, 0.2400721000, 0.6810416000, 2.4020270000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("0.0713066000, 0.0766847000, 0.0951125000, 0.1570435000, 0.3965016000, 1.3565546000, 4.9745124000", \
+                        "0.0709896000, 0.0766671000, 0.0958842000, 0.1571176000, 0.3971655000, 1.3580032000, 4.9734488000", \
+                        "0.0715485000, 0.0767681000, 0.0960289000, 0.1574652000, 0.3958998000, 1.3592204000, 4.9717213000", \
+                        "0.0708193000, 0.0766411000, 0.0955750000, 0.1569427000, 0.3958305000, 1.3546844000, 4.9726880000", \
+                        "0.0708994000, 0.0767753000, 0.0953037000, 0.1575177000, 0.3975071000, 1.3567109000, 4.9656794000", \
+                        "0.0713487000, 0.0771812000, 0.0952262000, 0.1575288000, 0.3971130000, 1.3562661000, 4.9779949000", \
+                        "0.0714820000, 0.0773076000, 0.0958152000, 0.1570539000, 0.3972125000, 1.3541982000, 4.9718358000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("0.7794179000, 0.7878637000, 0.8130077000, 0.8812955000, 1.0773739000, 1.7728884000, 4.3658810000", \
+                        "0.7856268000, 0.7940023000, 0.8194404000, 0.8877496000, 1.0840261000, 1.7788760000, 4.3631712000", \
+                        "0.8088281000, 0.8172231000, 0.8426558000, 0.9105638000, 1.1071057000, 1.8043204000, 4.3869828000", \
+                        "0.8820188000, 0.8904081000, 0.9158350000, 0.9836886000, 1.1799215000, 1.8747586000, 4.4593329000", \
+                        "1.0951242000, 1.1035728000, 1.1291650000, 1.1969269000, 1.3935105000, 2.0880815000, 4.6718194000", \
+                        "1.6406555000, 1.6489997000, 1.6743726000, 1.7422973000, 1.9384514000, 2.6334945000, 5.2298468000", \
+                        "2.7098757000, 2.7185365000, 2.7443989000, 2.8130827000, 3.0101366000, 3.7053633000, 6.2936039000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
+                    values("0.0562319000, 0.0619363000, 0.0815107000, 0.1459436000, 0.3927263000, 1.3604149000, 4.9614881000", \
+                        "0.0561123000, 0.0622444000, 0.0817496000, 0.1459412000, 0.3921847000, 1.3611079000, 4.9713900000", \
+                        "0.0563141000, 0.0625259000, 0.0819060000, 0.1460494000, 0.3919662000, 1.3556971000, 4.9740621000", \
+                        "0.0562300000, 0.0622715000, 0.0819300000, 0.1461708000, 0.3922734000, 1.3537541000, 4.9718101000", \
+                        "0.0561502000, 0.0619432000, 0.0815619000, 0.1459024000, 0.3921840000, 1.3611489000, 4.9715920000", \
+                        "0.0562776000, 0.0622970000, 0.0819191000, 0.1460762000, 0.3917481000, 1.3669993000, 4.9620610000", \
+                        "0.0593485000, 0.0650325000, 0.0842862000, 0.1477859000, 0.3924500000, 1.3601812000, 4.9641957000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0032420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0032160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0039104000, 0.0038199000, 0.0037366000, 0.0036478000, 0.0035697000, 0.0035214000, 0.0035569000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0016135000, 0.0013247000, 0.0006753000, 0.0005751000, 0.0004570000, 0.0002883000, -2.2687707e-05");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0032690000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.258009800, 0.0656719000, 0.1411520000", \
+                        "-1.008327200, -0.679152400, -0.603672200", \
+                        "-1.411566000, -1.078729200, -0.999586900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2913066000, -0.001247100, -0.067571900", \
+                        "1.0288066000, 0.7216045000, 0.6571107000", \
+                        "1.4283835000, 1.1156881000, 1.0475322000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3917213000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfxbp_2") {
+        leakage_power () {
+            value : 23.674786600;
+            when : "CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 20.100346300;
+            when : "!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 20.445179800;
+            when : "CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 19.917260700;
+            when : "!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 23.142686000;
+            when : "!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 18.993050700;
+            when : "CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 20.313827100;
+            when : "CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 21.240504500;
+            when : "!CLK&!D&Q&!Q_N";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__dfxbp";
+        cell_leakage_power : 20.978460000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0016940000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016310000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0173643000, 0.0171924000, 0.0168540000, 0.0168075000, 0.0168226000, 0.0170108000, 0.0176872000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0147333000, 0.0144260000, 0.0137166000, 0.0136338000, 0.0135567000, 0.0134965000, 0.0134832000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017560000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4576381000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4065526000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0015780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016200000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0041653000, 0.0040849000, 0.0040196000, 0.0039462000, 0.0039012000, 0.0039355000, 0.0041938000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0001036000, -0.000117000, -0.000588500, -0.000658800, -0.000707000, -0.000693200, -0.000504100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015360000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2638408000, 1.2998028000, 1.9685447000", \
+                        "-0.407741200, 0.5879375000, 1.2566794000", \
+                        "-0.754217500, 0.2176575000, 0.8809062000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1320049000, 0.7871074000, 1.1317526000", \
+                        "-0.294215800, 0.2821514000, 0.5773581000", \
+                        "-0.499700800, 0.0638490000, 0.3499004000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.085890600, -1.006496100, -1.550726300", \
+                        "0.5051250000, -0.442946300, -1.062249700", \
+                        "0.8314596000, -0.103794300, -0.730421900");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.067580100, -0.645778300, -0.911688100", \
+                        "0.3275127000, -0.223219700, -0.498284800", \
+                        "0.5256735000, -0.023227900, -0.294630900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419870, 0.0053922450, 0.0177079900, 0.0581526100, 0.1909717000, 0.6271462000");
+                    values("-0.005432200, -0.001456300, 0.0078723000, 0.0176499000, -0.008297500, -0.163986700, -0.716866500", \
+                        "-0.005285000, -0.001303800, 0.0080177000, 0.0177895000, -0.008209500, -0.163889000, -0.716747100", \
+                        "-0.004933000, -0.000965800, 0.0083582000, 0.0180682000, -0.007974900, -0.163694200, -0.716567400", \
+                        "-0.004906900, -0.000953200, 0.0083108000, 0.0178862000, -0.008277200, -0.164064500, -0.716969200", \
+                        "-0.004889900, -0.000968200, 0.0082188000, 0.0177717000, -0.008497800, -0.164308700, -0.717224900", \
+                        "-0.004886700, -0.000968000, 0.0082275000, 0.0177326000, -0.008525500, -0.164357800, -0.717268700", \
+                        "-0.004949900, -0.000970600, 0.0083692000, 0.0181719000, -0.007957400, -0.163767200, -0.716660500");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419870, 0.0053922450, 0.0177079900, 0.0581526100, 0.1909717000, 0.6271462000");
+                    values("-0.006688900, -0.005094300, 6.825000e-05, 0.0165107000, 0.0685335000, 0.2381118000, 0.7904347000", \
+                        "-0.006548000, -0.004980400, 0.0001157000, 0.0164235000, 0.0683431000, 0.2367578000, 0.7923558000", \
+                        "-0.006199200, -0.004639700, 0.0004368000, 0.0167108000, 0.0686335000, 0.2367302000, 0.7925184000", \
+                        "-0.006169300, -0.004625500, 0.0004042000, 0.0165957000, 0.0683535000, 0.2367972000, 0.7896602000", \
+                        "-0.006157500, -0.004649100, 0.0002969000, 0.0163429000, 0.0679635000, 0.2376686000, 0.7921160000", \
+                        "-0.006160400, -0.004663700, 0.0002477000, 0.0162381000, 0.0677673000, 0.2359619000, 0.7865653000", \
+                        "-0.006235100, -0.004704100, 0.0003172000, 0.0165904000, 0.0686032000, 0.2373218000, 0.7909810000");
+                }
+            }
+            max_capacitance : 0.1858620000;
+            max_transition : 1.4981170000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
+                    values("0.5763474000, 0.5868289000, 0.6121080000, 0.6689531000, 0.8099809000, 1.2303045000, 2.6106020000", \
+                        "0.5845001000, 0.5949836000, 0.6202471000, 0.6771276000, 0.8181438000, 1.2384653000, 2.6138596000", \
+                        "0.6078237000, 0.6182270000, 0.6435662000, 0.7003640000, 0.8414400000, 1.2619297000, 2.6369795000", \
+                        "0.6745410000, 0.6849815000, 0.7102931000, 0.7671315000, 0.9081841000, 1.3285041000, 2.6996863000", \
+                        "0.8395717000, 0.8500639000, 0.8753896000, 0.9322140000, 1.0732363000, 1.4935376000, 2.8686846000", \
+                        "1.1562433000, 1.1667165000, 1.1920034000, 1.2488841000, 1.3899188000, 1.8102311000, 3.1851808000", \
+                        "1.7130732000, 1.7235077000, 1.7489012000, 1.8056835000, 1.9467881000, 2.3671256000, 3.7441494000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
+                    values("0.6071784000, 0.6196942000, 0.6510213000, 0.7296621000, 0.9632939000, 1.7137005000, 4.1680144000", \
+                        "0.6163247000, 0.6288238000, 0.6601255000, 0.7387870000, 0.9723854000, 1.7235114000, 4.1878003000", \
+                        "0.6395390000, 0.6520593000, 0.6833829000, 0.7620547000, 0.9956784000, 1.7456316000, 4.2110697000", \
+                        "0.7066621000, 0.7191318000, 0.7504850000, 0.8291371000, 1.0627549000, 1.8117493000, 4.2786437000", \
+                        "0.8765069000, 0.8890085000, 0.9203171000, 0.9989785000, 1.2325868000, 1.9838613000, 4.4422479000", \
+                        "1.2055512000, 1.2180127000, 1.2493365000, 1.3279796000, 1.5616747000, 2.3109681000, 4.7770614000", \
+                        "1.8041746000, 1.8164626000, 1.8479583000, 1.9267914000, 2.1604674000, 2.9114460000, 5.3739488000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
+                    values("0.0331261000, 0.0399250000, 0.0591570000, 0.1108429000, 0.2737567000, 0.8309325000, 2.7046163000", \
+                        "0.0332005000, 0.0400699000, 0.0589743000, 0.1108651000, 0.2737070000, 0.8303882000, 2.7086910000", \
+                        "0.0331904000, 0.0402805000, 0.0589576000, 0.1109290000, 0.2736941000, 0.8299005000, 2.7089797000", \
+                        "0.0333476000, 0.0403305000, 0.0589755000, 0.1109215000, 0.2737837000, 0.8310310000, 2.7076463000", \
+                        "0.0331218000, 0.0400231000, 0.0590597000, 0.1108594000, 0.2737374000, 0.8309688000, 2.7091873000", \
+                        "0.0331817000, 0.0400269000, 0.0590146000, 0.1108613000, 0.2733936000, 0.8305670000, 2.7093676000", \
+                        "0.0331088000, 0.0403841000, 0.0590797000, 0.1107711000, 0.2735090000, 0.8306360000, 2.6876441000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
+                    values("0.0405296000, 0.0501148000, 0.0778997000, 0.1688625000, 0.4841201000, 1.5397845000, 4.9974354000", \
+                        "0.0404395000, 0.0498461000, 0.0777809000, 0.1688986000, 0.4838607000, 1.5378694000, 5.0020645000", \
+                        "0.0405316000, 0.0499261000, 0.0778836000, 0.1687587000, 0.4845762000, 1.5382624000, 5.0025739000", \
+                        "0.0405568000, 0.0501026000, 0.0779518000, 0.1687832000, 0.4844093000, 1.5326206000, 4.9858326000", \
+                        "0.0404506000, 0.0498571000, 0.0777652000, 0.1688856000, 0.4835058000, 1.5396808000, 4.9947215000", \
+                        "0.0407659000, 0.0502041000, 0.0779552000, 0.1690426000, 0.4839540000, 1.5344038000, 4.9834017000", \
+                        "0.0414735000, 0.0505549000, 0.0783375000, 0.1689149000, 0.4840534000, 1.5369066000, 4.9959010000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629470, 0.0055307840, 0.0183948000, 0.0611791400, 0.2034753000, 0.6767372000");
+                    values("-0.005543300, -0.001712400, 0.0073722000, 0.0165232000, -0.012216000, -0.180022600, -0.780443900", \
+                        "-0.005402100, -0.001597100, 0.0074202000, 0.0164410000, -0.012386600, -0.180287500, -0.780745500", \
+                        "-0.005051600, -0.001257300, 0.0077412000, 0.0167287000, -0.012140100, -0.180067200, -0.780503700", \
+                        "-0.005022100, -0.001244600, 0.0077049000, 0.0166133000, -0.012351600, -0.180303300, -0.780819000", \
+                        "-0.005009500, -0.001263800, 0.0075985000, 0.0163598000, -0.012777400, -0.180794900, -0.781301900", \
+                        "-0.005012900, -0.001280600, 0.0075483000, 0.0162565000, -0.012918700, -0.181033800, -0.781553200", \
+                        "-0.005085000, -0.001305000, 0.0076496000, 0.0165978000, -0.012638000, -0.180708000, -0.781204300");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629470, 0.0055307840, 0.0183948000, 0.0611791400, 0.2034753000, 0.6767372000");
+                    values("-0.006682100, -0.005051000, 0.0003238000, 0.0176634000, 0.0731737000, 0.2526054000, 0.8561611000", \
+                        "-0.006529600, -0.004903000, 0.0004608000, 0.0178128000, 0.0733006000, 0.2532341000, 0.8540877000", \
+                        "-0.006181200, -0.004561700, 0.0007823000, 0.0180699000, 0.0733158000, 0.2556169000, 0.8540249000", \
+                        "-0.006154100, -0.004558400, 0.0007242000, 0.0178831000, 0.0728541000, 0.2535777000, 0.8542148000", \
+                        "-0.006136900, -0.004564800, 0.0006653000, 0.0177352000, 0.0730618000, 0.2539018000, 0.8537751000", \
+                        "-0.006132800, -0.004559700, 0.0006696000, 0.0177368000, 0.0727768000, 0.2537773000, 0.8523896000", \
+                        "-0.006202100, -0.004563000, 0.0008104000, 0.0181849000, 0.0740298000, 0.2544273000, 0.8558131000");
+                }
+            }
+            max_capacitance : 0.2005100000;
+            max_transition : 1.5024360000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
+                    values("0.7857323000, 0.7959437000, 0.8208564000, 0.8759068000, 1.0133944000, 1.4353710000, 2.8388811000", \
+                        "0.7948618000, 0.8050937000, 0.8299801000, 0.8849823000, 1.0225066000, 1.4446697000, 2.8447618000", \
+                        "0.8181053000, 0.8283275000, 0.8532046000, 0.9082556000, 1.0457661000, 1.4680247000, 2.8751583000", \
+                        "0.8852019000, 0.8954157000, 0.9203054000, 0.9753610000, 1.1128667000, 1.5349864000, 2.9390497000", \
+                        "1.0550009000, 1.0651430000, 1.0898835000, 1.1451730000, 1.2825184000, 1.7054109000, 3.1036298000", \
+                        "1.3838870000, 1.3942250000, 1.4190341000, 1.4742366000, 1.6116396000, 2.0336550000, 3.4362941000", \
+                        "1.9827522000, 1.9929485000, 2.0177886000, 2.0729700000, 2.2102764000, 2.6330798000, 4.0296695000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
+                    values("0.7225686000, 0.7336317000, 0.7616752000, 0.8349221000, 1.0598594000, 1.8032297000, 4.2677875000", \
+                        "0.7307839000, 0.7417312000, 0.7697333000, 0.8431448000, 1.0681237000, 1.8097723000, 4.2833190000", \
+                        "0.7542286000, 0.7651763000, 0.7930594000, 0.8666048000, 1.0907883000, 1.8331308000, 4.3053210000", \
+                        "0.8208091000, 0.8317814000, 0.8597891000, 0.9332913000, 1.1584342000, 1.9016742000, 4.3660249000", \
+                        "0.9856609000, 0.9966261000, 1.0247083000, 1.0982406000, 1.3234953000, 2.0648707000, 4.5342703000", \
+                        "1.3023482000, 1.3134340000, 1.3415045000, 1.4150259000, 1.6405020000, 2.3842288000, 4.8563540000", \
+                        "1.8595165000, 1.8704465000, 1.8984245000, 1.9719237000, 2.1962467000, 2.9410645000, 5.4096412000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
+                    values("0.0347752000, 0.0408358000, 0.0589378000, 0.1096623000, 0.2733906000, 0.8463285000, 2.7741960000", \
+                        "0.0345263000, 0.0413001000, 0.0591414000, 0.1097212000, 0.2730105000, 0.8433678000, 2.7820235000", \
+                        "0.0346685000, 0.0414878000, 0.0595773000, 0.1095946000, 0.2730882000, 0.8436077000, 2.7648508000", \
+                        "0.0347276000, 0.0415445000, 0.0587234000, 0.1096152000, 0.2731045000, 0.8453275000, 2.7775606000", \
+                        "0.0344292000, 0.0408516000, 0.0592031000, 0.1093295000, 0.2730646000, 0.8471222000, 2.7865132000", \
+                        "0.0345718000, 0.0411142000, 0.0591977000, 0.1091391000, 0.2730075000, 0.8453682000, 2.7736131000", \
+                        "0.0349191000, 0.0416984000, 0.0593010000, 0.1092442000, 0.2731339000, 0.8479017000, 2.7597040000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
+                    values("0.0342221000, 0.0428786000, 0.0693738000, 0.1583941000, 0.4695385000, 1.5124900000, 4.9895079000", \
+                        "0.0342252000, 0.0429533000, 0.0693319000, 0.1583651000, 0.4693468000, 1.5147533000, 4.9999915000", \
+                        "0.0338631000, 0.0428544000, 0.0695118000, 0.1579772000, 0.4691926000, 1.5225745000, 4.9776112000", \
+                        "0.0344632000, 0.0429148000, 0.0695463000, 0.1582697000, 0.4683208000, 1.5180369000, 4.9941193000", \
+                        "0.0343991000, 0.0430101000, 0.0695785000, 0.1583370000, 0.4696445000, 1.5133607000, 4.9890252000", \
+                        "0.0341208000, 0.0430299000, 0.0695740000, 0.1583084000, 0.4700085000, 1.5186715000, 4.9869699000", \
+                        "0.0341876000, 0.0429657000, 0.0696716000, 0.1581167000, 0.4694088000, 1.5177222000, 4.9926512000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxtp_1 */
+
+/* removed sky130_fd_sc_hd__dfxtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfxtp_4") {
+        leakage_power () {
+            value : 20.448256400;
+            when : "CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 16.819310400;
+            when : "!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 15.230847700;
+            when : "CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 14.770591900;
+            when : "!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 19.857218100;
+            when : "!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 15.822150700;
+            when : "CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 15.150813900;
+            when : "CLK&D&Q";
+        }
+        leakage_power () {
+            value : 16.079322800;
+            when : "!CLK&!D&Q";
+        }
+        area : 23.772800000;
+        cell_footprint : "sky130_fd_sc_hd__dfxtp";
+        cell_leakage_power : 16.772310000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0016970000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0169023000, 0.0166800000, 0.0161687000, 0.0161405000, 0.0161762000, 0.0163915000, 0.0171128000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0135422000, 0.0132855000, 0.0126878000, 0.0126166000, 0.0125412000, 0.0124540000, 0.0123338000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017220000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4444547000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4131443000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0014370000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014100000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0036683000, 0.0036042000, 0.0035542000, 0.0034993000, 0.0034745000, 0.0035351000, 0.0038361000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0001264000, 1.1943381e-05, -0.000191800, -0.000248200, -0.000288400, -0.000282800, -0.000148600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014650000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2638408000, 1.2869853000, 1.9465718000", \
+                        "-0.389430700, 0.5915996000, 1.2456930000", \
+                        "-0.717596400, 0.2359681000, 0.8845684000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.1356670000, 0.7926006000, 1.1354147000", \
+                        "-0.292384800, 0.2876445000, 0.5846823000", \
+                        "-0.496038700, 0.0711732000, 0.3608867000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.082228500, -0.982692300, -1.493963600", \
+                        "0.4849834000, -0.444777300, -1.051263400", \
+                        "0.7948385000, -0.120273800, -0.726759800");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.065749000, -0.645778300, -0.911688100", \
+                        "0.3256816000, -0.228712900, -0.501946900", \
+                        "0.5201803000, -0.030552100, -0.303786100");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0257361000, 0.0242203000, 0.0189149000, -0.001127900, -0.080737300, -0.376440400, -1.454296900", \
+                        "0.0259211000, 0.0244289000, 0.0190713000, -0.000902300, -0.080545800, -0.376257700, -1.454090900", \
+                        "0.0263752000, 0.0248746000, 0.0195130000, -0.000456700, -0.080094800, -0.375796800, -1.453635000", \
+                        "0.0260252000, 0.0244825000, 0.0191633000, -0.000819500, -0.080455100, -0.376163700, -1.454003200", \
+                        "0.0257590000, 0.0242577000, 0.0189092000, -0.001064800, -0.080697000, -0.376390500, -1.454232700", \
+                        "0.0272112000, 0.0256700000, 0.0198438000, -0.000961800, -0.080604100, -0.376300300, -1.454157800", \
+                        "0.0293292000, 0.0277793000, 0.0221031000, 0.0008120000, -0.079586100, -0.375427600, -1.453342100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0295013000, 0.0313923000, 0.0379872000, 0.0611668000, 0.1430606000, 0.4371757000, 1.5037205000", \
+                        "0.0292725000, 0.0311459000, 0.0377101000, 0.0609905000, 0.1427979000, 0.4371960000, 1.5078891000", \
+                        "0.0297537000, 0.0315030000, 0.0381217000, 0.0614082000, 0.1424464000, 0.4359663000, 1.5081949000", \
+                        "0.0295393000, 0.0314057000, 0.0380120000, 0.0611796000, 0.1425485000, 0.4374377000, 1.5049258000", \
+                        "0.0290992000, 0.0309199000, 0.0375116000, 0.0607057000, 0.1423405000, 0.4330871000, 1.5054148000", \
+                        "0.0290186000, 0.0308660000, 0.0373973000, 0.0606845000, 0.1415858000, 0.4365989000, 1.5072952000", \
+                        "0.0306407000, 0.0324303000, 0.0388546000, 0.0618256000, 0.1434966000, 0.4381330000, 1.5069412000");
+                }
+            }
+            max_capacitance : 0.3451640000;
+            max_transition : 1.5064370000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.5963538000, 0.6034872000, 0.6239783000, 0.6728109000, 0.7904776000, 1.1494964000, 2.4345197000", \
+                        "0.6041355000, 0.6113826000, 0.6317482000, 0.6805926000, 0.7982718000, 1.1570255000, 2.4425102000", \
+                        "0.6277250000, 0.6349734000, 0.6553413000, 0.7042481000, 0.8217834000, 1.1809040000, 2.4629496000", \
+                        "0.6944694000, 0.7017188000, 0.7220816000, 0.7709289000, 0.8886087000, 1.2475901000, 2.5309375000", \
+                        "0.8574981000, 0.8647616000, 0.8850596000, 0.9339265000, 1.0516334000, 1.4106176000, 2.6956377000", \
+                        "1.1664377000, 1.1737099000, 1.1940715000, 1.2427540000, 1.3606074000, 1.7194804000, 3.0044471000", \
+                        "1.7046526000, 1.7118887000, 1.7322928000, 1.7811059000, 1.8988011000, 2.2577618000, 3.5431627000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.6305783000, 0.6391481000, 0.6638388000, 0.7297157000, 0.9295370000, 1.6382727000, 4.2081933000", \
+                        "0.6393729000, 0.6478958000, 0.6726816000, 0.7385212000, 0.9378763000, 1.6462741000, 4.2146144000", \
+                        "0.6623689000, 0.6707622000, 0.6953290000, 0.7613253000, 0.9608164000, 1.6677350000, 4.2443431000", \
+                        "0.7294362000, 0.7380065000, 0.7627077000, 0.8285078000, 1.0284595000, 1.7363010000, 4.3096189000", \
+                        "0.8968655000, 0.9054751000, 0.9300627000, 0.9959312000, 1.1952394000, 1.9014723000, 4.4710720000", \
+                        "1.2171321000, 1.2257415000, 1.2503369000, 1.3161499000, 1.5155792000, 2.2234721000, 4.7993627000", \
+                        "1.7965494000, 1.8051526000, 1.8299786000, 1.8958430000, 2.0955786000, 2.8026139000, 5.3761826000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0422755000, 0.0463910000, 0.0595030000, 0.0972236000, 0.2201915000, 0.6883679000, 2.4415114000", \
+                        "0.0420021000, 0.0464144000, 0.0589308000, 0.0969477000, 0.2188103000, 0.6867233000, 2.4299123000", \
+                        "0.0420537000, 0.0464996000, 0.0590381000, 0.0973012000, 0.2201495000, 0.6877864000, 2.4453803000", \
+                        "0.0420076000, 0.0464143000, 0.0590722000, 0.0972675000, 0.2195378000, 0.6877433000, 2.4444080000", \
+                        "0.0421183000, 0.0464904000, 0.0589157000, 0.0973421000, 0.2190155000, 0.6881446000, 2.4416669000", \
+                        "0.0421392000, 0.0463806000, 0.0591096000, 0.0971620000, 0.2192763000, 0.6887158000, 2.4331892000", \
+                        "0.0419987000, 0.0462730000, 0.0589817000, 0.0972600000, 0.2196886000, 0.6885423000, 2.4372420000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0459028000, 0.0520134000, 0.0719155000, 0.1400362000, 0.4026960000, 1.3919321000, 4.9716840000", \
+                        "0.0458311000, 0.0522297000, 0.0720562000, 0.1399706000, 0.4022888000, 1.3861451000, 4.9876911000", \
+                        "0.0464914000, 0.0526963000, 0.0724993000, 0.1402185000, 0.4021709000, 1.3909356000, 5.0005715000", \
+                        "0.0459450000, 0.0519446000, 0.0719737000, 0.1400376000, 0.4028939000, 1.3906767000, 4.9810680000", \
+                        "0.0459662000, 0.0520409000, 0.0721336000, 0.1400377000, 0.4025128000, 1.3870753000, 4.9804099000", \
+                        "0.0462306000, 0.0523134000, 0.0721290000, 0.1400502000, 0.4021039000, 1.3926491000, 4.9862399000", \
+                        "0.0461755000, 0.0524826000, 0.0721293000, 0.1402392000, 0.4009025000, 1.3909131000, 4.9836730000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__diode_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_1 */
+
+/* removed sky130_fd_sc_hd__dlclkp_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_4 */
+
+/* removed sky130_fd_sc_hd__dlrbn_1 */
+
+/* removed sky130_fd_sc_hd__dlrbn_2 */
+
+/* removed sky130_fd_sc_hd__dlrbp_1 */
+
+/* removed sky130_fd_sc_hd__dlrbp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_1 */
+
+/* removed sky130_fd_sc_hd__dlrtn_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_4 */
+
+/* removed sky130_fd_sc_hd__dlrtp_1 */
+
+/* removed sky130_fd_sc_hd__dlrtp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtp_4 */
+
+    cell ("sky130_fd_sc_hd__dlxbn_1") {
+        leakage_power () {
+            value : 18.736161100;
+            when : "D&!GATE_N&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 16.669831700;
+            when : "!D&GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 16.155203400;
+            when : "!D&!GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 18.619617800;
+            when : "D&GATE_N&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 20.899459900;
+            when : "D&GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 16.557509100;
+            when : "!D&GATE_N&Q&!Q_N";
+        }
+        area : 18.768000000;
+        cell_footprint : "sky130_fd_sc_hd__dlxbn";
+        cell_leakage_power : 17.939630000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        latch ("IQ","IQ_N") {
+            data_in : "D";
+            enable : "!GATE_N";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("D") {
+            capacitance : 0.0016780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0059156000, 0.0058542000, 0.0058280000, 0.0057739000, 0.0057679000, 0.0058978000, 0.0064105000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0027550000, 0.0025140000, 0.0019584000, 0.0019073000, 0.0018866000, 0.0019518000, 0.0022586000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017160000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2418682000, 0.8786601000, 1.1811911000", \
+                        "-0.014064500, 0.5970928000, 0.8904684000", \
+                        "-0.065740900, 0.4904847000, 0.7783672000");
+                }
+                related_pin : "GATE_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3810283000, 0.8786601000, 1.1061178000", \
+                        "-0.264918900, 0.2363750000, 0.4620016000", \
+                        "-0.556463600, -0.058831700, 0.1667949000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.210402300, -0.858180600, -1.164373700", \
+                        "0.1132793000, -0.527174800, -0.827874700", \
+                        "0.2565085000, -0.374790400, -0.677321300");
+                }
+                related_pin : "GATE_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.345900400, -0.854518600, -1.085638300", \
+                        "0.2945537000, -0.214064500, -0.443353200", \
+                        "0.5879294000, 0.0811423000, -0.146315400");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("GATE_N") {
+            capacitance : 0.0016370000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0015470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0133187000, 0.0132691000, 0.0132604000, 0.0132044000, 0.0131779000, 0.0132339000, 0.0135228000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0088053000, 0.0085647000, 0.0080164000, 0.0079502000, 0.0078929000, 0.0078613000, 0.0079016000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017280000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.2944941000, 4.1666666000, 8.3333333000");
+                }
+                related_output_pin : "Q";
+                related_pin : "GATE_N";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856670, 0.0044144140, 0.0131167000, 0.0389741000, 0.1158051000, 0.3440957000");
+                    values("-0.001164600, 0.0019247000, 0.0082523000, 0.0138275000, -0.002483100, -0.090690000, -0.378609000", \
+                        "-0.001138600, 0.0019440000, 0.0082478000, 0.0137869000, -0.002559100, -0.090793000, -0.378733400", \
+                        "-0.001135400, 0.0019342000, 0.0082169000, 0.0137131000, -0.002670700, -0.090942900, -0.378859600", \
+                        "-0.001113400, 0.0019584000, 0.0082212000, 0.0137244000, -0.002673400, -0.090978600, -0.378899400", \
+                        "-0.001123500, 0.0019447000, 0.0081969000, 0.0136425000, -0.002788800, -0.091083400, -0.378978200", \
+                        "-0.001207300, 0.0018579000, 0.0081336000, 0.0136100000, -0.002768300, -0.091043400, -0.378953600", \
+                        "-0.001525700, 0.0015995000, 0.0079857000, 0.0136704000, -0.002654600, -0.090716300, -0.378561200");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856670, 0.0044144140, 0.0131167000, 0.0389741000, 0.1158051000, 0.3440957000");
+                    values("-0.000943500, -8.10000e-06, 0.0030096000, 0.0128910000, 0.0442289000, 0.1416061000, 0.4301001000", \
+                        "-0.000839800, 6.660000e-05, 0.0030118000, 0.0127607000, 0.0440080000, 0.1413516000, 0.4305356000", \
+                        "-0.000569100, 0.0003271000, 0.0032461000, 0.0129683000, 0.0441578000, 0.1407841000, 0.4304126000", \
+                        "-0.000553900, 0.0003280000, 0.0032241000, 0.0129021000, 0.0443100000, 0.1412343000, 0.4298312000", \
+                        "-0.000561500, 0.0003021000, 0.0031460000, 0.0127465000, 0.0438523000, 0.1411632000, 0.4306628000", \
+                        "-0.000607900, 0.0002633000, 0.0031229000, 0.0127531000, 0.0441329000, 0.1404865000, 0.4307602000", \
+                        "-0.000806400, 0.0001303000, 0.0031195000, 0.0130343000, 0.0446501000, 0.1419012000, 0.4302875000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856670, 0.0044144140, 0.0131167000, 0.0389741000, 0.1158051000, 0.3440957000");
+                    values("-0.004647900, -0.001168700, 0.0060596000, 0.0131248000, -0.001694300, -0.088992000, -0.376447000", \
+                        "-0.004626400, -0.001141600, 0.0060707000, 0.0130980000, -0.001754800, -0.089065400, -0.376576100", \
+                        "-0.004615600, -0.001122700, 0.0061144000, 0.0132346000, -0.001551700, -0.088829800, -0.376313900", \
+                        "-0.004597400, -0.001117300, 0.0060771000, 0.0131520000, -0.001726500, -0.089063900, -0.376576500", \
+                        "-0.004604700, -0.001148400, 0.0060143000, 0.0129875000, -0.001934100, -0.089327900, -0.376852200", \
+                        "-0.004661400, -0.001218500, 0.0059395000, 0.0128406000, -0.002094800, -0.089457400, -0.376980400", \
+                        "-0.004872200, -0.001401100, 0.0058107000, 0.0128561000, -0.002094500, -0.089191400, -0.376683200");
+                }
+                related_pin : "GATE_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856670, 0.0044144140, 0.0131167000, 0.0389741000, 0.1158051000, 0.3440957000");
+                    values("-0.005849600, -0.004243800, 0.0003170000, 0.0127618000, 0.0467279000, 0.1455010000, 0.4336984000", \
+                        "-0.005814100, -0.004189200, 0.0004215000, 0.0129344000, 0.0471804000, 0.1458011000, 0.4350099000", \
+                        "-0.005818100, -0.004207200, 0.0003692000, 0.0128415000, 0.0468073000, 0.1449993000, 0.4357278000", \
+                        "-0.005801000, -0.004201800, 0.0003434000, 0.0127690000, 0.0467473000, 0.1454542000, 0.4346366000", \
+                        "-0.005804300, -0.004217200, 0.0003020000, 0.0126772000, 0.0465525000, 0.1454576000, 0.4356557000", \
+                        "-0.005858400, -0.004276300, 0.0002296000, 0.0125734000, 0.0464486000, 0.1451841000, 0.4346275000", \
+                        "-0.006065400, -0.004449400, 0.0001367000, 0.0126828000, 0.0468466000, 0.1452821000, 0.4350770000");
+                }
+            }
+            max_capacitance : 0.1016340000;
+            max_transition : 1.4949700000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.4256729000, 0.4375617000, 0.4657703000, 0.5317130000, 0.6996253000, 1.1839760000, 2.6270808000", \
+                        "0.4327935000, 0.4448884000, 0.4730167000, 0.5389969000, 0.7069839000, 1.1913129000, 2.6309885000", \
+                        "0.4555366000, 0.4677019000, 0.4959301000, 0.5618857000, 0.7299004000, 1.2140857000, 2.6595151000", \
+                        "0.5256872000, 0.5376428000, 0.5658425000, 0.6317823000, 0.7997191000, 1.2839444000, 2.7213065000", \
+                        "0.6826134000, 0.6946987000, 0.7228479000, 0.7887908000, 0.9567553000, 1.4409031000, 2.8793605000", \
+                        "0.9508697000, 0.9629519000, 0.9912650000, 1.0572399000, 1.2252405000, 1.7094993000, 3.1521061000", \
+                        "1.3787654000, 1.3910361000, 1.4192810000, 1.4853078000, 1.6534047000, 2.1378137000, 3.5839691000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.6354673000, 0.6504954000, 0.6866377000, 0.7803458000, 1.0477362000, 1.8381463000, 4.1821484000", \
+                        "0.6446669000, 0.6593286000, 0.6954531000, 0.7890427000, 1.0564442000, 1.8468048000, 4.1914996000", \
+                        "0.6677071000, 0.6824268000, 0.7185866000, 0.8122810000, 1.0797225000, 1.8700357000, 4.2153254000", \
+                        "0.7296818000, 0.7447366000, 0.7808736000, 0.8745827000, 1.1420065000, 1.9315829000, 4.2707242000", \
+                        "0.8487524000, 0.8636367000, 0.8997032000, 0.9934190000, 1.2608328000, 2.0511766000, 4.3951260000", \
+                        "1.0524323000, 1.0671170000, 1.1032115000, 1.1969256000, 1.4643493000, 2.2507909000, 4.5910404000", \
+                        "1.3759460000, 1.3910104000, 1.4269711000, 1.5207094000, 1.7880940000, 2.5783697000, 4.9108686000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.0348082000, 0.0444086000, 0.0698313000, 0.1412533000, 0.3531227000, 1.0070494000, 2.9719769000", \
+                        "0.0348696000, 0.0446014000, 0.0696864000, 0.1411535000, 0.3533769000, 1.0075416000, 2.9674025000", \
+                        "0.0347591000, 0.0449535000, 0.0697852000, 0.1411702000, 0.3532352000, 1.0081487000, 2.9598068000", \
+                        "0.0348414000, 0.0443665000, 0.0696971000, 0.1411946000, 0.3530312000, 1.0077404000, 2.9721161000", \
+                        "0.0348794000, 0.0447463000, 0.0695907000, 0.1411961000, 0.3531931000, 1.0061149000, 2.9731098000", \
+                        "0.0351463000, 0.0448260000, 0.0698993000, 0.1411984000, 0.3529566000, 1.0068216000, 2.9774914000", \
+                        "0.0350102000, 0.0447669000, 0.0699981000, 0.1412286000, 0.3534260000, 1.0075508000, 2.9793070000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.0461034000, 0.0593192000, 0.0966838000, 0.2179709000, 0.5860737000, 1.7002587000, 4.9831650000", \
+                        "0.0461196000, 0.0591963000, 0.0966243000, 0.2179143000, 0.5865289000, 1.7013173000, 4.9960458000", \
+                        "0.0466734000, 0.0593467000, 0.0967107000, 0.2179093000, 0.5869408000, 1.6999865000, 4.9941873000", \
+                        "0.0461733000, 0.0592849000, 0.0966598000, 0.2185445000, 0.5875627000, 1.7017791000, 4.9846367000", \
+                        "0.0458782000, 0.0588947000, 0.0964036000, 0.2178597000, 0.5865191000, 1.7018977000, 4.9972231000", \
+                        "0.0462042000, 0.0591062000, 0.0966271000, 0.2179612000, 0.5856473000, 1.6955934000, 4.9916342000", \
+                        "0.0458765000, 0.0590479000, 0.0966851000, 0.2178571000, 0.5868440000, 1.6999292000, 4.9808966000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.4758025000, 0.4880237000, 0.5164537000, 0.5825869000, 0.7507238000, 1.2348337000, 2.6775400000", \
+                        "0.4832255000, 0.4954128000, 0.5238357000, 0.5899698000, 0.7579989000, 1.2424454000, 2.6894826000", \
+                        "0.5075451000, 0.5197792000, 0.5481985000, 0.6143116000, 0.7823456000, 1.2667160000, 2.7106144000", \
+                        "0.5759280000, 0.5881652000, 0.6166391000, 0.6827145000, 0.8506902000, 1.3349186000, 2.7769695000", \
+                        "0.7578508000, 0.7700139000, 0.7985372000, 0.8646240000, 1.0327311000, 1.5174062000, 2.9580791000", \
+                        "1.1048586000, 1.1170639000, 1.1456276000, 1.2118170000, 1.3800303000, 1.8643611000, 3.3044756000", \
+                        "1.6758993000, 1.6882148000, 1.7169095000, 1.7833962000, 1.9517248000, 2.4361704000, 3.8745482000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.7621055000, 0.7770505000, 0.8133529000, 0.9072815000, 1.1747068000, 1.9614776000, 4.3027590000", \
+                        "0.7705688000, 0.7854896000, 0.8218795000, 0.9157200000, 1.1831495000, 1.9733737000, 4.3170885000", \
+                        "0.7927380000, 0.8076498000, 0.8439653000, 0.9378630000, 1.2053124000, 1.9929531000, 4.3330086000", \
+                        "0.8607833000, 0.8757158000, 0.9120796000, 1.0059430000, 1.2733788000, 2.0634903000, 4.4046953000", \
+                        "1.0387331000, 1.0536543000, 1.0899992000, 1.1839039000, 1.4512868000, 2.2391295000, 4.5753645000", \
+                        "1.3580365000, 1.3729673000, 1.4092943000, 1.5031498000, 1.7705988000, 2.5608324000, 4.9034594000", \
+                        "1.8642421000, 1.8791702000, 1.9155821000, 2.0094382000, 2.2768649000, 3.0663239000, 5.4052167000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.0355624000, 0.0452445000, 0.0700946000, 0.1414124000, 0.3531677000, 1.0072658000, 2.9784017000", \
+                        "0.0355907000, 0.0449841000, 0.0700217000, 0.1413613000, 0.3536142000, 1.0082326000, 2.9604297000", \
+                        "0.0354251000, 0.0451611000, 0.0700650000, 0.1412439000, 0.3531629000, 1.0065175000, 2.9665135000", \
+                        "0.0355608000, 0.0451907000, 0.0700320000, 0.1414882000, 0.3530334000, 1.0073902000, 2.9688918000", \
+                        "0.0355323000, 0.0452645000, 0.0701822000, 0.1414525000, 0.3536036000, 1.0075872000, 2.9582534000", \
+                        "0.0357672000, 0.0455205000, 0.0704235000, 0.1415206000, 0.3529063000, 1.0060824000, 2.9765683000", \
+                        "0.0363072000, 0.0459118000, 0.0708066000, 0.1418523000, 0.3532484000, 1.0055548000, 2.9528155000");
+                }
+                related_pin : "GATE_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014856700, 0.0044144100, 0.0131167000, 0.0389741000, 0.1158050000, 0.3440960000");
+                    values("0.0457385000, 0.0589451000, 0.0969607000, 0.2178718000, 0.5862922000, 1.6946682000, 4.9888023000", \
+                        "0.0457991000, 0.0588732000, 0.0968412000, 0.2179159000, 0.5868357000, 1.6998671000, 4.9929043000", \
+                        "0.0458164000, 0.0587229000, 0.0969588000, 0.2179990000, 0.5864041000, 1.6961877000, 4.9844688000", \
+                        "0.0457164000, 0.0589511000, 0.0969956000, 0.2177395000, 0.5865090000, 1.6979155000, 4.9835167000", \
+                        "0.0457469000, 0.0588314000, 0.0968142000, 0.2179104000, 0.5864024000, 1.6976676000, 4.9902336000", \
+                        "0.0458354000, 0.0586449000, 0.0968245000, 0.2178391000, 0.5866053000, 1.7000635000, 4.9887259000", \
+                        "0.0458282000, 0.0588867000, 0.0968666000, 0.2179388000, 0.5856493000, 1.7000765000, 4.9827911000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969790, 0.0044818920, 0.0134186000, 0.0401747100, 0.1202814000, 0.3601174000");
+                    values("0.0002046000, 0.0029584000, 0.0085705000, 0.0128922000, -0.005536100, -0.098558400, -0.401551600", \
+                        "0.0003061000, 0.0030299000, 0.0085685000, 0.0127617000, -0.005786700, -0.098896500, -0.401901900", \
+                        "0.0005777000, 0.0032941000, 0.0088109000, 0.0129664000, -0.005607500, -0.098752700, -0.401770700", \
+                        "0.0005936000, 0.0032979000, 0.0088008000, 0.0129027000, -0.005750000, -0.098884700, -0.401924400", \
+                        "0.0005855000, 0.0032664000, 0.0087092000, 0.0127437000, -0.005936400, -0.099115700, -0.402168500", \
+                        "0.0005396000, 0.0032347000, 0.0087014000, 0.0127497000, -0.005915700, -0.099080100, -0.402057000", \
+                        "0.0003477000, 0.0031049000, 0.0087173000, 0.0130232000, -0.005511800, -0.098623600, -0.401614300");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969790, 0.0044818920, 0.0134186000, 0.0401747100, 0.1202814000, 0.3601174000");
+                    values("-0.002378900, -0.001178600, 0.0024862000, 0.0136520000, 0.0472452000, 0.1486911000, 0.4535692000", \
+                        "-0.002340000, -0.001123700, 0.0025828000, 0.0137810000, 0.0476310000, 0.1488640000, 0.4537775000", \
+                        "-0.002334300, -0.001128700, 0.0025550000, 0.0137448000, 0.0473455000, 0.1488172000, 0.4536729000", \
+                        "-0.002314100, -0.001114600, 0.0025519000, 0.0136974000, 0.0472731000, 0.1493621000, 0.4526462000", \
+                        "-0.002323700, -0.001130600, 0.0025156000, 0.0136315000, 0.0473728000, 0.1490314000, 0.4532076000", \
+                        "-0.002413800, -0.001225500, 0.0024114000, 0.0136236000, 0.0471478000, 0.1491912000, 0.4522800000", \
+                        "-0.002734900, -0.001480400, 0.0022907000, 0.0136897000, 0.0479284000, 0.1497228000, 0.4540147000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969790, 0.0044818920, 0.0134186000, 0.0401747100, 0.1202814000, 0.3601174000");
+                    values("-0.004713500, -0.001292400, 0.0058758000, 0.0127629000, -0.003102200, -0.094574300, -0.396902700", \
+                        "-0.004677700, -0.001238000, 0.0059567000, 0.0129363000, -0.002845700, -0.094302300, -0.396604800", \
+                        "-0.004680600, -0.001249900, 0.0059308000, 0.0128446000, -0.002987900, -0.094447200, -0.396774300", \
+                        "-0.004664600, -0.001250000, 0.0058839000, 0.0127653000, -0.003119600, -0.094636800, -0.396970000", \
+                        "-0.004667300, -0.001267900, 0.0058516000, 0.0126779000, -0.003254900, -0.094793700, -0.397137700", \
+                        "-0.004720900, -0.001324200, 0.0057687000, 0.0125802000, -0.003377100, -0.094906700, -0.397254700", \
+                        "-0.004921000, -0.001486400, 0.0057041000, 0.0126838000, -0.003218000, -0.094548100, -0.396856100");
+                }
+                related_pin : "GATE_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969790, 0.0044818920, 0.0134186000, 0.0401747100, 0.1202814000, 0.3601174000");
+                    values("-0.005851300, -0.004240000, 0.0003855000, 0.0131238000, 0.0485495000, 0.1513459000, 0.4548544000", \
+                        "-0.005830100, -0.004222500, 0.0003871000, 0.0131073000, 0.0482580000, 0.1508541000, 0.4561765000", \
+                        "-0.005818400, -0.004196700, 0.0004421000, 0.0132276000, 0.0484809000, 0.1513935000, 0.4550779000", \
+                        "-0.005803400, -0.004198100, 0.0004041000, 0.0131164000, 0.0484822000, 0.1515417000, 0.4563225000", \
+                        "-0.005806400, -0.004215600, 0.0003522000, 0.0130325000, 0.0483937000, 0.1514216000, 0.4546304000", \
+                        "-0.005868600, -0.004295400, 0.0002262000, 0.0128441000, 0.0478545000, 0.1501564000, 0.4558315000", \
+                        "-0.006087500, -0.004487600, 0.0001061000, 0.0128562000, 0.0481715000, 0.1510849000, 0.4553877000");
+                }
+            }
+            max_capacitance : 0.1071270000;
+            max_transition : 1.5110270000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.7501939000, 0.7615657000, 0.7882395000, 0.8510898000, 1.0214074000, 1.5285114000, 3.0564611000", \
+                        "0.7587808000, 0.7701609000, 0.7968838000, 0.8597981000, 1.0300636000, 1.5377057000, 3.0555314000", \
+                        "0.7821717000, 0.7935393000, 0.8201996000, 0.8830994000, 1.0534051000, 1.5611212000, 3.0803176000", \
+                        "0.8443411000, 0.8557634000, 0.8824393000, 0.9453369000, 1.1157238000, 1.6228751000, 3.1449574000", \
+                        "0.9632386000, 0.9746005000, 1.0013337000, 1.0642424000, 1.2345195000, 1.7420207000, 3.2643244000", \
+                        "1.1666836000, 1.1781082000, 1.2047818000, 1.2676359000, 1.4379407000, 1.9453705000, 3.4738719000", \
+                        "1.4905257000, 1.5019047000, 1.5286289000, 1.5915234000, 1.7618154000, 2.2693369000, 3.7909698000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.5192187000, 0.5317534000, 0.5639204000, 0.6524721000, 0.9142384000, 1.6997538000, 4.0510746000", \
+                        "0.5270001000, 0.5394585000, 0.5717446000, 0.6601112000, 0.9221077000, 1.7076760000, 4.0589980000", \
+                        "0.5502407000, 0.5626946000, 0.5949685000, 0.6835247000, 0.9452344000, 1.7308626000, 4.0822190000", \
+                        "0.6200458000, 0.6324903000, 0.6647454000, 0.7533112000, 1.0159824000, 1.8000731000, 4.1437582000", \
+                        "0.7767524000, 0.7891927000, 0.8214662000, 0.9098896000, 1.1719217000, 1.9579016000, 4.3077697000", \
+                        "1.0453018000, 1.0576792000, 1.0899404000, 1.1784226000, 1.4402289000, 2.2295885000, 4.5760875000", \
+                        "1.4730067000, 1.4854488000, 1.5177043000, 1.6062894000, 1.8678556000, 2.6508487000, 4.9945705000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.0317424000, 0.0408453000, 0.0651388000, 0.1369449000, 0.3617394000, 1.0527047000, 3.1376115000", \
+                        "0.0316844000, 0.0408351000, 0.0655687000, 0.1364150000, 0.3617436000, 1.0563607000, 3.1355467000", \
+                        "0.0315816000, 0.0407255000, 0.0650831000, 0.1369493000, 0.3623251000, 1.0549789000, 3.1375771000", \
+                        "0.0316722000, 0.0409648000, 0.0652558000, 0.1364376000, 0.3610637000, 1.0540928000, 3.1150866000", \
+                        "0.0316175000, 0.0408516000, 0.0655147000, 0.1366277000, 0.3615646000, 1.0544267000, 3.1135143000", \
+                        "0.0316766000, 0.0408091000, 0.0651214000, 0.1369278000, 0.3611463000, 1.0521134000, 3.1194871000", \
+                        "0.0316769000, 0.0408240000, 0.0655674000, 0.1364573000, 0.3617379000, 1.0544307000, 3.1119840000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.0346349000, 0.0472623000, 0.0858324000, 0.2067886000, 0.5761832000, 1.6892001000, 4.9967794000", \
+                        "0.0344594000, 0.0471467000, 0.0858360000, 0.2065753000, 0.5765003000, 1.6885361000, 4.9977955000", \
+                        "0.0345475000, 0.0473030000, 0.0858329000, 0.2066870000, 0.5761320000, 1.6884221000, 4.9969553000", \
+                        "0.0345611000, 0.0473015000, 0.0857842000, 0.2066730000, 0.5751183000, 1.6909906000, 4.9945892000", \
+                        "0.0343738000, 0.0472839000, 0.0857961000, 0.2065756000, 0.5755707000, 1.6860421000, 4.9924713000", \
+                        "0.0344026000, 0.0472800000, 0.0858237000, 0.2071769000, 0.5752598000, 1.6895513000, 4.9899818000", \
+                        "0.0345903000, 0.0473108000, 0.0858016000, 0.2066456000, 0.5763391000, 1.6920851000, 4.9898543000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.8770104000, 0.8884188000, 0.9150997000, 0.9779509000, 1.1483612000, 1.6556072000, 3.1754108000", \
+                        "0.8853443000, 0.8966831000, 0.9233864000, 0.9863927000, 1.1567082000, 1.6643120000, 3.1860918000", \
+                        "0.9083026000, 0.9197279000, 0.9464852000, 1.0092267000, 1.1796517000, 1.6866631000, 3.2117520000", \
+                        "0.9754664000, 0.9868577000, 1.0135242000, 1.0765152000, 1.2468677000, 1.7544040000, 3.2761489000", \
+                        "1.1535363000, 1.1647804000, 1.1916149000, 1.2544312000, 1.4248629000, 1.9318045000, 3.4545031000", \
+                        "1.4729075000, 1.4840581000, 1.5107281000, 1.5737359000, 1.7441159000, 2.2511302000, 3.7734926000", \
+                        "1.9792141000, 1.9906132000, 2.0173692000, 2.0801831000, 2.2504958000, 2.7578906000, 4.2754804000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.5704826000, 0.5829389000, 0.6152543000, 0.7036320000, 0.9654271000, 1.7495282000, 4.0937094000", \
+                        "0.5780653000, 0.5905446000, 0.6227283000, 0.7113680000, 0.9732644000, 1.7584042000, 4.1105901000", \
+                        "0.6023073000, 0.6146988000, 0.6469099000, 0.7354379000, 0.9972493000, 1.7877742000, 4.1327017000", \
+                        "0.6706359000, 0.6830884000, 0.7152419000, 0.8037165000, 1.0654030000, 1.8535069000, 4.2009578000", \
+                        "0.8516111000, 0.8640715000, 0.8962444000, 0.9850659000, 1.2468244000, 2.0328910000, 4.3744932000", \
+                        "1.1998527000, 1.2123499000, 1.2444797000, 1.3330073000, 1.5949700000, 2.3864799000, 4.7315725000", \
+                        "1.7705543000, 1.7830731000, 1.8153375000, 1.9039321000, 2.1658586000, 2.9572220000, 5.3008851000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.0314153000, 0.0406806000, 0.0653489000, 0.1366183000, 0.3616125000, 1.0538698000, 3.1349279000", \
+                        "0.0317087000, 0.0406396000, 0.0654762000, 0.1371654000, 0.3613308000, 1.0536347000, 3.1143486000", \
+                        "0.0313187000, 0.0407661000, 0.0650636000, 0.1368261000, 0.3612886000, 1.0543125000, 3.1327290000", \
+                        "0.0314880000, 0.0405616000, 0.0655550000, 0.1371727000, 0.3612266000, 1.0530183000, 3.1147349000", \
+                        "0.0313498000, 0.0406604000, 0.0650456000, 0.1369926000, 0.3608717000, 1.0529142000, 3.1287621000", \
+                        "0.0313775000, 0.0404508000, 0.0655021000, 0.1369963000, 0.3615987000, 1.0531852000, 3.1152025000", \
+                        "0.0314046000, 0.0407614000, 0.0650932000, 0.1367852000, 0.3615165000, 1.0537655000, 3.1108469000");
+                }
+                related_pin : "GATE_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014969800, 0.0044818900, 0.0134186000, 0.0401747000, 0.1202810000, 0.3601170000");
+                    values("0.0346306000, 0.0472078000, 0.0858445000, 0.2063343000, 0.5765642000, 1.6935777000, 4.9959485000", \
+                        "0.0344579000, 0.0472123000, 0.0858603000, 0.2065783000, 0.5766424000, 1.6893428000, 5.0007181000", \
+                        "0.0345570000, 0.0472495000, 0.0858683000, 0.2068479000, 0.5750898000, 1.6898942000, 4.9960382000", \
+                        "0.0344625000, 0.0472916000, 0.0858547000, 0.2069328000, 0.5761644000, 1.6937081000, 4.9999680000", \
+                        "0.0346275000, 0.0472163000, 0.0859210000, 0.2066319000, 0.5766445000, 1.6861609000, 4.9968980000", \
+                        "0.0344729000, 0.0473138000, 0.0858461000, 0.2065828000, 0.5767489000, 1.6911866000, 5.0001927000", \
+                        "0.0346395000, 0.0473695000, 0.0858434000, 0.2067720000, 0.5766544000, 1.6876914000, 4.9868429000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dlxbn_2 */
+
+/* removed sky130_fd_sc_hd__dlxbp_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_2 */
+
+/* removed sky130_fd_sc_hd__dlxtn_4 */
+
+    cell ("sky130_fd_sc_hd__dlxtp_1") {
+        leakage_power () {
+            value : 13.064854100;
+            when : "D&GATE&Q";
+        }
+        leakage_power () {
+            value : 11.783424000;
+            when : "!D&!GATE&!Q";
+        }
+        leakage_power () {
+            value : 11.320120800;
+            when : "!D&GATE&!Q";
+        }
+        leakage_power () {
+            value : 12.933380600;
+            when : "D&!GATE&Q";
+        }
+        leakage_power () {
+            value : 16.002909200;
+            when : "D&!GATE&!Q";
+        }
+        leakage_power () {
+            value : 10.873535000;
+            when : "!D&!GATE&Q";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__dlxtp";
+        cell_leakage_power : 12.663040000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        latch ("IQ","IQ_N") {
+            data_in : "D";
+            enable : "GATE";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("D") {
+            capacitance : 0.0016580000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015990000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0061154000, 0.0060759000, 0.0060719000, 0.0060382000, 0.0060508000, 0.0061935000, 0.0067028000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0025880000, 0.0023717000, 0.0018463000, 0.0018167000, 0.0018172000, 0.0019027000, 0.0022278000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017160000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.0807354000, 0.7175274000, 1.0200583000", \
+                        "-0.600001900, 0.0239727000, 0.3136862000", \
+                        "-0.906195000, -0.284051400, -0.001662100");
+                }
+                related_pin : "GATE";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.4268047000, 0.9280986000, 1.1573873000", \
+                        "0.2093242000, 0.6831524000, 0.8996237000", \
+                        "0.2345521000, 0.6607728000, 0.8680889000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.056593800, -0.698878900, -1.003240900", \
+                        "0.6278057000, -0.005324200, -0.296868800", \
+                        "0.9413230000, 0.3081930000, 0.0203105000");
+                }
+                related_pin : "GATE";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    index_2("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("-0.397169900, -0.905788100, -1.136907900", \
+                        "-0.084474600, -0.596754900, -0.826043600", \
+                        "0.0294577000, -0.479160500, -0.713942400");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("GATE") {
+            capacitance : 0.0016350000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0015810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0132590000, 0.0132187000, 0.0131954000, 0.0131650000, 0.0131700000, 0.0132742000, 0.0136583000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0086248000, 0.0084050000, 0.0078773000, 0.0078291000, 0.0077854000, 0.0077535000, 0.0077556000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016900000;
+            timing () {
+                related_output_pin : "Q";
+                related_pin : "GATE";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 2.5000000000, 5.0000000000");
+                    values("0.3983130000, 4.1666666000, 8.3333333000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840160, 0.0044046040, 0.0130730000, 0.0388010800, 0.1151628000, 0.3418069000");
+                    values("0.0152145000, 0.0142812000, 0.0110361000, 0.0002337000, -0.032628300, -0.130354500, -0.420490100", \
+                        "0.0155178000, 0.0145695000, 0.0113276000, 0.0005263000, -0.032328500, -0.130058400, -0.420197700", \
+                        "0.0153277000, 0.0143854000, 0.0111456000, 0.0003446000, -0.032514100, -0.130241500, -0.420379500", \
+                        "0.0152145000, 0.0142895000, 0.0110480000, 0.0002371000, -0.032614600, -0.130340600, -0.420481200", \
+                        "0.0151626000, 0.0142077000, 0.0109647000, 0.0001592000, -0.032694100, -0.130414800, -0.420543800", \
+                        "0.0156355000, 0.0144702000, 0.0108958000, -5.79000e-05, -0.032737800, -0.130472300, -0.420602000", \
+                        "0.0157978000, 0.0146437000, 0.0110585000, 0.0001233000, -0.032757500, -0.130408500, -0.420459000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840160, 0.0044046040, 0.0130730000, 0.0388010800, 0.1151628000, 0.3418069000");
+                    values("0.0162521000, 0.0177504000, 0.0218869000, 0.0331236000, 0.0656279000, 0.1625992000, 0.4495373000", \
+                        "0.0159764000, 0.0174536000, 0.0216157000, 0.0328319000, 0.0656648000, 0.1626080000, 0.4496421000", \
+                        "0.0163442000, 0.0178429000, 0.0219770000, 0.0332007000, 0.0657154000, 0.1629930000, 0.4494364000", \
+                        "0.0161683000, 0.0176478000, 0.0218008000, 0.0331384000, 0.0658052000, 0.1623302000, 0.4511805000", \
+                        "0.0158377000, 0.0173170000, 0.0214305000, 0.0326809000, 0.0654895000, 0.1625991000, 0.4507938000", \
+                        "0.0165908000, 0.0178823000, 0.0216613000, 0.0327692000, 0.0651292000, 0.1621735000, 0.4506302000", \
+                        "0.0171835000, 0.0185131000, 0.0222587000, 0.0334362000, 0.0660964000, 0.1632506000, 0.4503820000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840160, 0.0044046040, 0.0130730000, 0.0388010800, 0.1151628000, 0.3418069000");
+                    values("0.0149239000, 0.0139929000, 0.0107376000, -7.63000e-05, -0.032916200, -0.130631600, -0.420769300", \
+                        "0.0150743000, 0.0141380000, 0.0108901000, 8.780000e-05, -0.032758400, -0.130471400, -0.420601400", \
+                        "0.0150280000, 0.0140774000, 0.0108321000, 2.760000e-05, -0.032814700, -0.130541600, -0.420671100", \
+                        "0.0147362000, 0.0137974000, 0.0105507000, -0.000254400, -0.033086700, -0.130819800, -0.420949900", \
+                        "0.0144352000, 0.0134925000, 0.0102544000, -0.000549700, -0.033398200, -0.131119900, -0.421246800", \
+                        "0.0152196000, 0.0140588000, 0.0104824000, -0.000496300, -0.033391200, -0.131114400, -0.421259400", \
+                        "0.0158734000, 0.0147086000, 0.0111350000, 0.0001830000, -0.032692700, -0.130502300, -0.420647300");
+                }
+                related_pin : "GATE";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840160, 0.0044046040, 0.0130730000, 0.0388010800, 0.1151628000, 0.3418069000");
+                    values("0.0152896000, 0.0167895000, 0.0209133000, 0.0321558000, 0.0645977000, 0.1613450000, 0.4497832000", \
+                        "0.0150351000, 0.0165253000, 0.0206504000, 0.0319390000, 0.0646796000, 0.1610823000, 0.4495476000", \
+                        "0.0153770000, 0.0168644000, 0.0209942000, 0.0323398000, 0.0647568000, 0.1615744000, 0.4501398000", \
+                        "0.0150855000, 0.0165795000, 0.0207129000, 0.0319815000, 0.0646855000, 0.1618715000, 0.4495910000", \
+                        "0.0146109000, 0.0160985000, 0.0202372000, 0.0314762000, 0.0643039000, 0.1615772000, 0.4494164000", \
+                        "0.0151609000, 0.0164669000, 0.0202612000, 0.0315344000, 0.0639210000, 0.1614134000, 0.4482214000", \
+                        "0.0160095000, 0.0173225000, 0.0211504000, 0.0322906000, 0.0651819000, 0.1621064000, 0.4476220000");
+                }
+            }
+            max_capacitance : 0.1007180000;
+            max_transition : 1.4905600000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.3938630000, 0.4046828000, 0.4299826000, 0.4896948000, 0.6503689000, 1.1227284000, 2.5262816000", \
+                        "0.4023334000, 0.4129922000, 0.4383439000, 0.4980973000, 0.6586980000, 1.1312246000, 2.5311686000", \
+                        "0.4255362000, 0.4361958000, 0.4615356000, 0.5212847000, 0.6818096000, 1.1543400000, 2.5551667000", \
+                        "0.4943895000, 0.5052093000, 0.5305449000, 0.5902414000, 0.7511231000, 1.2221834000, 2.6221250000", \
+                        "0.6520238000, 0.6627921000, 0.6881717000, 0.7479278000, 0.9086464000, 1.3813080000, 2.7804210000", \
+                        "0.9203467000, 0.9311644000, 0.9563812000, 1.0161685000, 1.1771220000, 1.6479611000, 3.0481675000", \
+                        "1.3483640000, 1.3591608000, 1.3846211000, 1.4445326000, 1.6052544000, 2.0774931000, 3.4815952000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.6075786000, 0.6216151000, 0.6565001000, 0.7488612000, 1.0156750000, 1.8042731000, 4.1531476000", \
+                        "0.6163394000, 0.6302979000, 0.6653110000, 0.7575801000, 1.0242593000, 1.8136163000, 4.1560400000", \
+                        "0.6393699000, 0.6534400000, 0.6883106000, 0.7806656000, 1.0474713000, 1.8371100000, 4.1827795000", \
+                        "0.7018313000, 0.7157765000, 0.7507696000, 0.8430512000, 1.1094181000, 1.8992721000, 4.2479051000", \
+                        "0.8208201000, 0.8347547000, 0.8696231000, 0.9616760000, 1.2282665000, 2.0176627000, 4.3560155000", \
+                        "1.0243978000, 1.0385363000, 1.0733017000, 1.1654598000, 1.4320314000, 2.2203006000, 4.5688000000", \
+                        "1.3488023000, 1.3626656000, 1.3975425000, 1.4896313000, 1.7563813000, 2.5466134000, 4.8817030000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.0298063000, 0.0387463000, 0.0623741000, 0.1305335000, 0.3410422000, 0.9846410000, 2.8961169000", \
+                        "0.0297539000, 0.0385393000, 0.0624593000, 0.1306026000, 0.3407575000, 0.9880486000, 2.8923623000", \
+                        "0.0297670000, 0.0385135000, 0.0624330000, 0.1302327000, 0.3406596000, 0.9878049000, 2.8912845000", \
+                        "0.0299149000, 0.0384696000, 0.0624282000, 0.1304842000, 0.3423739000, 0.9902160000, 2.8950757000", \
+                        "0.0298619000, 0.0389396000, 0.0625684000, 0.1306928000, 0.3424217000, 0.9898526000, 2.8840959000", \
+                        "0.0297259000, 0.0388391000, 0.0624078000, 0.1306480000, 0.3420169000, 0.9798189000, 2.8969995000", \
+                        "0.0301758000, 0.0390540000, 0.0625348000, 0.1307465000, 0.3421660000, 0.9874979000, 2.8988012000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.0419704000, 0.0547413000, 0.0931312000, 0.2151586000, 0.5860819000, 1.6978370000, 4.9813442000", \
+                        "0.0423108000, 0.0548809000, 0.0931157000, 0.2147732000, 0.5853557000, 1.6936318000, 4.9829274000", \
+                        "0.0419435000, 0.0547299000, 0.0931345000, 0.2151159000, 0.5867761000, 1.6987918000, 4.9878817000", \
+                        "0.0421548000, 0.0548742000, 0.0930564000, 0.2147576000, 0.5859571000, 1.7004892000, 4.9914806000", \
+                        "0.0420458000, 0.0550397000, 0.0931006000, 0.2149054000, 0.5869369000, 1.7005538000, 4.9906523000", \
+                        "0.0424349000, 0.0546544000, 0.0931115000, 0.2150934000, 0.5856286000, 1.6955358000, 4.9923083000", \
+                        "0.0418000000, 0.0551327000, 0.0931178000, 0.2144285000, 0.5855466000, 1.6979010000, 4.9803033000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.4821663000, 0.4930670000, 0.5185105000, 0.5783370000, 0.7392556000, 1.2117292000, 2.6092599000", \
+                        "0.4895181000, 0.5003706000, 0.5258158000, 0.5857438000, 0.7466541000, 1.2181275000, 2.6203306000", \
+                        "0.5142036000, 0.5250788000, 0.5504892000, 0.6104050000, 0.7709929000, 1.2429882000, 2.6421216000", \
+                        "0.5797957000, 0.5907185000, 0.6161773000, 0.6760296000, 0.8368978000, 1.3099871000, 2.7087803000", \
+                        "0.7267497000, 0.7376268000, 0.7630676000, 0.8229347000, 0.9834832000, 1.4558521000, 2.8603353000", \
+                        "0.9930086000, 1.0038687000, 1.0293671000, 1.0891733000, 1.2497343000, 1.7211742000, 3.1269660000", \
+                        "1.4471431000, 1.4580148000, 1.4835019000, 1.5433751000, 1.7043166000, 2.1758138000, 3.5751773000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.5776856000, 0.5918797000, 0.6269396000, 0.7194189000, 0.9860353000, 1.7739122000, 4.1161679000", \
+                        "0.5865302000, 0.6007395000, 0.6357665000, 0.7280773000, 0.9949138000, 1.7829492000, 4.1247992000", \
+                        "0.6098090000, 0.6239178000, 0.6590933000, 0.7515616000, 1.0178940000, 1.8065861000, 4.1437240000", \
+                        "0.6735668000, 0.6876991000, 0.7227874000, 0.8151421000, 1.0819475000, 1.8699109000, 4.2120725000", \
+                        "0.8220230000, 0.8361899000, 0.8712733000, 0.9636502000, 1.2300785000, 2.0183868000, 4.3581232000", \
+                        "1.1026295000, 1.1167894000, 1.1519031000, 1.2441883000, 1.5107167000, 2.3005979000, 4.6401349000", \
+                        "1.6096802000, 1.6239016000, 1.6589898000, 1.7512893000, 2.0181272000, 2.8061533000, 5.1447859000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.0302922000, 0.0391283000, 0.0627214000, 0.1305850000, 0.3429028000, 0.9920897000, 2.8993785000", \
+                        "0.0301064000, 0.0388293000, 0.0627573000, 0.1309626000, 0.3426603000, 0.9899941000, 2.8994056000", \
+                        "0.0302908000, 0.0391668000, 0.0628035000, 0.1308560000, 0.3432212000, 0.9909342000, 2.9002998000", \
+                        "0.0304633000, 0.0390391000, 0.0625678000, 0.1306254000, 0.3426278000, 0.9919102000, 2.8879572000", \
+                        "0.0302219000, 0.0391474000, 0.0627541000, 0.1306117000, 0.3418491000, 0.9808712000, 2.8988176000", \
+                        "0.0302892000, 0.0391655000, 0.0626746000, 0.1307627000, 0.3416711000, 0.9860363000, 2.8988070000", \
+                        "0.0303042000, 0.0391350000, 0.0626096000, 0.1309813000, 0.3424857000, 0.9820007000, 2.8796365000");
+                }
+                related_pin : "GATE";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014840200, 0.0044046000, 0.0130730000, 0.0388011000, 0.1151630000, 0.3418070000");
+                    values("0.0418278000, 0.0547792000, 0.0935197000, 0.2149165000, 0.5857118000, 1.6975399000, 4.9861768000", \
+                        "0.0417484000, 0.0548106000, 0.0934500000, 0.2149910000, 0.5849753000, 1.6941706000, 4.9866373000", \
+                        "0.0416939000, 0.0546885000, 0.0932977000, 0.2149930000, 0.5854706000, 1.6987773000, 4.9910867000", \
+                        "0.0417317000, 0.0546686000, 0.0933848000, 0.2150286000, 0.5859503000, 1.6979347000, 4.9856772000", \
+                        "0.0417557000, 0.0547071000, 0.0932578000, 0.2144983000, 0.5863060000, 1.6968416000, 4.9907802000", \
+                        "0.0418318000, 0.0548352000, 0.0933939000, 0.2147135000, 0.5863689000, 1.7011222000, 4.9908677000", \
+                        "0.0418292000, 0.0548140000, 0.0936380000, 0.2143233000, 0.5855194000, 1.6981687000, 4.9776943000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_2 */
+
+/* removed sky130_fd_sc_hd__ebufn_4 */
+
+/* removed sky130_fd_sc_hd__ebufn_8 */
+
+/* removed sky130_fd_sc_hd__edfxbp_1 */
+
+/* removed sky130_fd_sc_hd__edfxtp_1 */
+
+/* removed sky130_fd_sc_hd__einvn_0 */
+
+/* removed sky130_fd_sc_hd__einvn_1 */
+
+/* removed sky130_fd_sc_hd__einvn_2 */
+
+/* removed sky130_fd_sc_hd__einvn_4 */
+
+/* removed sky130_fd_sc_hd__einvn_8 */
+
+/* removed sky130_fd_sc_hd__einvp_1 */
+
+/* removed sky130_fd_sc_hd__einvp_2 */
+
+/* removed sky130_fd_sc_hd__einvp_4 */
+
+/* removed sky130_fd_sc_hd__einvp_8 */
+
+/* removed sky130_fd_sc_hd__fa_1 */
+
+/* removed sky130_fd_sc_hd__fa_2 */
+
+/* removed sky130_fd_sc_hd__fa_4 */
+
+/* removed sky130_fd_sc_hd__fah_1 */
+
+/* removed sky130_fd_sc_hd__fahcin_1 */
+
+/* removed sky130_fd_sc_hd__fahcon_1 */
+
+/* removed sky130_fd_sc_hd__ha_1 */
+
+/* removed sky130_fd_sc_hd__ha_2 */
+
+/* removed sky130_fd_sc_hd__ha_4 */
+
+/* removed sky130_fd_sc_hd__inv_1 */
+
+/* removed sky130_fd_sc_hd__inv_12 */
+
+    cell ("sky130_fd_sc_hd__inv_16") {
+        leakage_power () {
+            value : 17.521456300;
+            when : "A";
+        }
+        leakage_power () {
+            value : 15.866461900;
+            when : "!A";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 16.693960000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0335800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0322860000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0348740000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167570, 0.0098280250, 0.0435726900, 0.1931802000, 0.8564671000, 3.7971590000");
+                    values("-0.023264900, -0.024928100, -0.033028700, -0.074210300, -0.264879000, -1.113708800, -4.877817200", \
+                        "-0.027165800, -0.028808200, -0.036573900, -0.076078100, -0.265522600, -1.113969800, -4.878075100", \
+                        "-0.030994600, -0.032756900, -0.040845200, -0.079664600, -0.266845800, -1.114369400, -4.878152400", \
+                        "-0.033004800, -0.034618600, -0.043649800, -0.083795600, -0.269779300, -1.115208300, -4.878397200", \
+                        "-0.030764400, -0.032915100, -0.042557100, -0.084204000, -0.273449200, -1.116935800, -4.878818900", \
+                        "-0.024208300, -0.026663000, -0.037046000, -0.081706000, -0.272993600, -1.119818300, -4.880042200", \
+                        "-0.000167300, -0.002885300, -0.014381200, -0.061627900, -0.261913500, -1.113053000, -4.879755800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167570, 0.0098280250, 0.0435726900, 0.1931802000, 0.8564671000, 3.7971590000");
+                    values("0.0829732000, 0.0859136000, 0.0978195000, 0.1432567000, 0.3334370000, 1.1703752000, 4.8964800000", \
+                        "0.0801394000, 0.0828383000, 0.0943607000, 0.1410036000, 0.3326438000, 1.1698933000, 4.8952343000", \
+                        "0.0782168000, 0.0808316000, 0.0915297000, 0.1372615000, 0.3315734000, 1.1699009000, 4.8958063000", \
+                        "0.0790870000, 0.0810118000, 0.0904641000, 0.1345151000, 0.3273878000, 1.1728968000, 4.8917110000", \
+                        "0.0792230000, 0.0813041000, 0.0907907000, 0.1337387000, 0.3237467000, 1.1670842000, 4.8897000000", \
+                        "0.0831903000, 0.0852909000, 0.0939789000, 0.1350241000, 0.3274197000, 1.1611999000, 4.8895335000", \
+                        "0.1058110000, 0.1074615000, 0.1152121000, 0.1531581000, 0.3356986000, 1.1684491000, 4.8837627000");
+                }
+            }
+            max_capacitance : 1.1261080000;
+            max_transition : 1.5019470000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
+                    values("0.0240568000, 0.0250812000, 0.0291557000, 0.0448800000, 0.1106491000, 0.4009644000, 1.6770013000", \
+                        "0.0304708000, 0.0314950000, 0.0357271000, 0.0517966000, 0.1180485000, 0.4068361000, 1.6868753000", \
+                        "0.0470449000, 0.0486874000, 0.0549748000, 0.0738814000, 0.1406558000, 0.4310976000, 1.7093059000", \
+                        "0.0729248000, 0.0758855000, 0.0875412000, 0.1222829000, 0.2053716000, 0.4942626000, 1.7743161000", \
+                        "0.1070890000, 0.1129760000, 0.1352982000, 0.2021229000, 0.3554622000, 0.6789903000, 1.9587123000", \
+                        "0.1300625000, 0.1412524000, 0.1846528000, 0.3154104000, 0.6042267000, 1.1622683000, 2.4808813000", \
+                        "0.0723867000, 0.0934961000, 0.1729599000, 0.4177775000, 0.9688834000, 2.0162685000, 3.9568433000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
+                    values("0.0374201000, 0.0395859000, 0.0483023000, 0.0811852000, 0.2196541000, 0.8185079000, 3.4927317000", \
+                        "0.0438596000, 0.0458746000, 0.0543325000, 0.0879472000, 0.2274846000, 0.8268757000, 3.4865297000", \
+                        "0.0674799000, 0.0694965000, 0.0775574000, 0.1105947000, 0.2497005000, 0.8512249000, 3.5119456000", \
+                        "0.1132094000, 0.1170168000, 0.1328556000, 0.1792208000, 0.3182578000, 0.9216845000, 3.5825163000", \
+                        "0.1926663000, 0.1999913000, 0.2295040000, 0.3183807000, 0.5173661000, 1.1194405000, 3.7757437000", \
+                        "0.3298897000, 0.3444881000, 0.3995264000, 0.5662700000, 0.9454347000, 1.6834929000, 4.3417967000", \
+                        "0.5914839000, 0.6147900000, 0.7083207000, 1.0058896000, 1.7162705000, 3.0855891000, 5.9366259000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
+                    values("0.0107077000, 0.0117139000, 0.0163300000, 0.0375144000, 0.1321696000, 0.5528920000, 2.4128919000", \
+                        "0.0108486000, 0.0118437000, 0.0163932000, 0.0374141000, 0.1321801000, 0.5533003000, 2.4130324000", \
+                        "0.0182613000, 0.0192837000, 0.0234493000, 0.0398944000, 0.1322193000, 0.5528585000, 2.4109423000", \
+                        "0.0365824000, 0.0385147000, 0.0453081000, 0.0673056000, 0.1409445000, 0.5522132000, 2.4124114000", \
+                        "0.0785181000, 0.0817536000, 0.0943364000, 0.1319794000, 0.2230343000, 0.5630511000, 2.4177758000", \
+                        "0.1691763000, 0.1756152000, 0.2013673000, 0.2684636000, 0.4198080000, 0.7714854000, 2.4124698000", \
+                        "0.3606800000, 0.3737403000, 0.4249669000, 0.5587164000, 0.8433655000, 1.3909527000, 2.8000382000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
+                    values("0.0216817000, 0.0238908000, 0.0337539000, 0.0776570000, 0.2736476000, 1.1377798000, 4.9764557000", \
+                        "0.0217278000, 0.0239147000, 0.0337826000, 0.0780266000, 0.2733708000, 1.1390043000, 4.9695035000", \
+                        "0.0264377000, 0.0279971000, 0.0358826000, 0.0779425000, 0.2739857000, 1.1380971000, 4.9702025000", \
+                        "0.0520893000, 0.0547484000, 0.0637484000, 0.0953257000, 0.2731603000, 1.1435038000, 4.9641176000", \
+                        "0.1043289000, 0.1094463000, 0.1262480000, 0.1775155000, 0.3178230000, 1.1404835000, 4.9699453000", \
+                        "0.2072616000, 0.2189856000, 0.2497635000, 0.3437008000, 0.5622407000, 1.2024970000, 4.9732991000", \
+                        "0.4083987000, 0.4245343000, 0.4866575000, 0.6730604000, 1.0768341000, 1.8720017000, 4.9998987000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__inv_2 */
+
+/* removed sky130_fd_sc_hd__inv_4 */
+
+/* removed sky130_fd_sc_hd__inv_6 */
+
+    cell ("sky130_fd_sc_hd__inv_8") {
+        leakage_power () {
+            value : 12.111698300;
+            when : "A";
+        }
+        leakage_power () {
+            value : 6.9832442000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 9.5474710000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0176150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0169410000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0182900000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284780, 0.0082294490, 0.0333865200, 0.1354476000, 0.5495052000, 2.2293190000");
+                    values("-0.011607200, -0.013139700, -0.020041300, -0.051191900, -0.181424600, -0.711331700, -2.861444800", \
+                        "-0.013785600, -0.015274100, -0.021774200, -0.051990400, -0.181649700, -0.711351000, -2.861527400", \
+                        "-0.015758600, -0.017358500, -0.024097300, -0.053663000, -0.182255000, -0.711562300, -2.861472100", \
+                        "-0.016783300, -0.018594200, -0.025811400, -0.055981500, -0.183679800, -0.711953900, -2.861601300", \
+                        "-0.014872200, -0.016891600, -0.024757400, -0.056854300, -0.185802700, -0.712940000, -2.862108900", \
+                        "-0.011534100, -0.012849400, -0.022657700, -0.055571700, -0.186107100, -0.714620300, -2.862397800", \
+                        "0.0020193000, -0.000208000, -0.009021000, -0.045159100, -0.180687600, -0.712288500, -2.862542100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284780, 0.0082294490, 0.0333865200, 0.1354476000, 0.5495052000, 2.2293190000");
+                    values("0.0431143000, 0.0456592000, 0.0548867000, 0.0882915000, 0.2170529000, 0.7452498000, 2.8686678000", \
+                        "0.0415111000, 0.0439244000, 0.0530466000, 0.0871785000, 0.2177919000, 0.7458939000, 2.8747418000", \
+                        "0.0405855000, 0.0427870000, 0.0513371000, 0.0844254000, 0.2173731000, 0.7417137000, 2.8749535000", \
+                        "0.0402195000, 0.0422139000, 0.0504790000, 0.0831378000, 0.2134851000, 0.7425152000, 2.8754674000", \
+                        "0.0410685000, 0.0429705000, 0.0508749000, 0.0827932000, 0.2134906000, 0.7344068000, 2.8808094000", \
+                        "0.0446395000, 0.0465979000, 0.0519391000, 0.0830881000, 0.2141878000, 0.7342080000, 2.8784524000", \
+                        "0.0567902000, 0.0580681000, 0.0643208000, 0.0938234000, 0.2188686000, 0.7383423000, 2.8689305000");
+                }
+            }
+            max_capacitance : 0.6610210000;
+            max_transition : 1.4997870000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
+                    values("0.0201963000, 0.0216492000, 0.0271108000, 0.0473052000, 0.1261516000, 0.4483837000, 1.7463244000", \
+                        "0.0273418000, 0.0287970000, 0.0345507000, 0.0552444000, 0.1345528000, 0.4540365000, 1.7474394000", \
+                        "0.0443535000, 0.0468984000, 0.0554664000, 0.0780399000, 0.1581632000, 0.4756175000, 1.7778430000", \
+                        "0.0716045000, 0.0764386000, 0.0923887000, 0.1334368000, 0.2244871000, 0.5455008000, 1.8413957000", \
+                        "0.1099968000, 0.1191177000, 0.1495707000, 0.2304096000, 0.3920417000, 0.7331849000, 2.0284614000", \
+                        "0.1463529000, 0.1647252000, 0.2238353000, 0.3796455000, 0.6883255000, 1.2462376000, 2.5599129000", \
+                        "0.1264451000, 0.1597574000, 0.2710904000, 0.5661349000, 1.1627138000, 2.2163031000, 4.0761363000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
+                    values("0.0321633000, 0.0352866000, 0.0469400000, 0.0891337000, 0.2511915000, 0.9137252000, 3.5660628000", \
+                        "0.0394223000, 0.0423492000, 0.0537633000, 0.0963063000, 0.2591834000, 0.9219328000, 3.5702783000", \
+                        "0.0632166000, 0.0664871000, 0.0771862000, 0.1183199000, 0.2826702000, 0.9372798000, 3.5924499000", \
+                        "0.1070145000, 0.1134558000, 0.1346941000, 0.1891140000, 0.3517548000, 1.0113440000, 3.6734822000", \
+                        "0.1813049000, 0.1937036000, 0.2355426000, 0.3395774000, 0.5503369000, 1.2058601000, 3.8705901000", \
+                        "0.3064267000, 0.3296115000, 0.4047923000, 0.6060947000, 1.0133961000, 1.7719035000, 4.4291295000", \
+                        "0.5312756000, 0.5705127000, 0.7014626000, 1.0644604000, 1.8329671000, 3.2157121000, 6.0242424000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
+                    values("0.0092123000, 0.0107922000, 0.0173340000, 0.0438971000, 0.1518688000, 0.5995732000, 2.3652891000", \
+                        "0.0093870000, 0.0108653000, 0.0173758000, 0.0439892000, 0.1516342000, 0.5925668000, 2.3635811000", \
+                        "0.0173080000, 0.0189039000, 0.0245519000, 0.0455181000, 0.1530740000, 0.5891809000, 2.3665703000", \
+                        "0.0359983000, 0.0387869000, 0.0478025000, 0.0737989000, 0.1573762000, 0.5950214000, 2.3656619000", \
+                        "0.0782822000, 0.0836712000, 0.1003716000, 0.1419867000, 0.2361641000, 0.5965690000, 2.3692055000", \
+                        "0.1686721000, 0.1794581000, 0.2148015000, 0.2956147000, 0.4492975000, 0.7892147000, 2.3642801000", \
+                        "0.3620371000, 0.3847093000, 0.4468384000, 0.6057412000, 0.9084244000, 1.4463359000, 2.7183316000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
+                    values("0.0196731000, 0.0230810000, 0.0367549000, 0.0936871000, 0.3193489000, 1.2548386000, 4.9682538000", \
+                        "0.0196395000, 0.0230997000, 0.0369567000, 0.0931401000, 0.3202465000, 1.2455724000, 4.9606492000", \
+                        "0.0255007000, 0.0277364000, 0.0386596000, 0.0930930000, 0.3219568000, 1.2397589000, 4.9616258000", \
+                        "0.0513222000, 0.0549363000, 0.0678639000, 0.1063532000, 0.3190328000, 1.2531135000, 4.9650213000", \
+                        "0.1045570000, 0.1111442000, 0.1348656000, 0.1936930000, 0.3540906000, 1.2412955000, 4.9744249000", \
+                        "0.2084018000, 0.2228315000, 0.2680451000, 0.3798601000, 0.6058430000, 1.2902106000, 4.9777014000", \
+                        "0.4081319000, 0.4338404000, 0.5222905000, 0.7469458000, 1.1629098000, 1.9258346000, 4.9900839000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__macro_sparecell */
+
+/* removed sky130_fd_sc_hd__maj3_1 */
+
+/* removed sky130_fd_sc_hd__maj3_2 */
+
+    cell ("sky130_fd_sc_hd__maj3_4") {
+        leakage_power () {
+            value : 7.4216482000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 4.8432529000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 7.5592981000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 3.7674477000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 5.3063984000;
+            when : "A&B&!C";
+        }
+        leakage_power () {
+            value : 7.5783886000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 5.9901297000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 6.4254011000;
+            when : "!A&B&C";
+        }
+        area : 13.763200000;
+        cell_footprint : "sky130_fd_sc_hd__maj3";
+        cell_leakage_power : 6.1114960000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044160000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0064706000, 0.0064429000, 0.0064070000, 0.0063855000, 0.0063670000, 0.0063569000, 0.0063704000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006291000, -0.006310300, -0.006318500, -0.006349300, -0.006390400, -0.006459800, -0.006609400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045780000;
+        }
+        pin ("B") {
+            capacitance : 0.0041780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039390000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0072724000, 0.0072447000, 0.0072079000, 0.0071849000, 0.0071615000, 0.0071373000, 0.0071102000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005928400, -0.005961200, -0.006013300, -0.006023800, -0.006012700, -0.005941500, -0.005700600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044170000;
+        }
+        pin ("C") {
+            capacitance : 0.0049980000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0048250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0080048000, 0.0079819000, 0.0079593000, 0.0079367000, 0.0079149000, 0.0078955000, 0.0078830000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.007241000, -0.007262300, -0.007280300, -0.007301700, -0.007320400, -0.007331000, -0.007319100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0051710000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B) | (A&C) | (B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0215271000, 0.0202229000, 0.0153617000, -0.003514400, -0.079372900, -0.368051300, -1.421377400", \
+                        "0.0214928000, 0.0199574000, 0.0151471000, -0.003586200, -0.079437800, -0.368122000, -1.421400400", \
+                        "0.0215982000, 0.0201212000, 0.0151117000, -0.003681700, -0.079397400, -0.368124300, -1.421524600", \
+                        "0.0213039000, 0.0197761000, 0.0149684000, -0.003756500, -0.079601900, -0.368275000, -1.421616600", \
+                        "0.0211914000, 0.0196810000, 0.0147598000, -0.004019800, -0.079849100, -0.368489300, -1.421772300", \
+                        "0.0208767000, 0.0193528000, 0.0145057000, -0.004316700, -0.080391000, -0.368873300, -1.421958100", \
+                        "0.0299358000, 0.0281303000, 0.0218067000, -0.000419600, -0.080129900, -0.369264400, -1.422108000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0270548000, 0.0288481000, 0.0353603000, 0.0583335000, 0.1389390000, 0.4272460000, 1.4718296000", \
+                        "0.0269092000, 0.0286511000, 0.0352073000, 0.0581812000, 0.1385767000, 0.4271786000, 1.4721639000", \
+                        "0.0267451000, 0.0284882000, 0.0350241000, 0.0579444000, 0.1385434000, 0.4270438000, 1.4745963000", \
+                        "0.0264980000, 0.0283299000, 0.0348394000, 0.0579698000, 0.1381089000, 0.4290915000, 1.4748633000", \
+                        "0.0264669000, 0.0282184000, 0.0346032000, 0.0576516000, 0.1381059000, 0.4263604000, 1.4707610000", \
+                        "0.0275854000, 0.0292939000, 0.0354950000, 0.0576386000, 0.1375222000, 0.4260236000, 1.4739022000", \
+                        "0.0284031000, 0.0301290000, 0.0361275000, 0.0583305000, 0.1384813000, 0.4274490000, 1.4713270000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0190177000, 0.0175814000, 0.0125837000, -0.006338700, -0.082181000, -0.370912900, -1.424148200", \
+                        "0.0189782000, 0.0174384000, 0.0124894000, -0.006375100, -0.082207100, -0.370879500, -1.424123100", \
+                        "0.0188136000, 0.0172758000, 0.0124679000, -0.006484800, -0.082346700, -0.371014500, -1.424364900", \
+                        "0.0183729000, 0.0169670000, 0.0119307000, -0.007037700, -0.082736300, -0.371491100, -1.424873800", \
+                        "0.0178944000, 0.0163521000, 0.0114176000, -0.007519400, -0.083495000, -0.372091800, -1.425363900", \
+                        "0.0177574000, 0.0165895000, 0.0112006000, -0.008014600, -0.084159500, -0.372645900, -1.425637200", \
+                        "0.0267727000, 0.0248210000, 0.0183698000, -0.003903300, -0.083604400, -0.372494900, -1.425232000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0252385000, 0.0269830000, 0.0335703000, 0.0567390000, 0.1368787000, 0.4247156000, 1.4725882000", \
+                        "0.0253601000, 0.0271729000, 0.0336902000, 0.0568814000, 0.1368342000, 0.4250312000, 1.4702494000", \
+                        "0.0253353000, 0.0271512000, 0.0337101000, 0.0568945000, 0.1368572000, 0.4251591000, 1.4747420000", \
+                        "0.0249333000, 0.0267562000, 0.0332821000, 0.0564955000, 0.1364380000, 0.4265695000, 1.4685808000", \
+                        "0.0243519000, 0.0261169000, 0.0325802000, 0.0556305000, 0.1355575000, 0.4211855000, 1.4656949000", \
+                        "0.0252102000, 0.0268846000, 0.0330467000, 0.0550957000, 0.1350344000, 0.4240290000, 1.4677281000", \
+                        "0.0261865000, 0.0278234000, 0.0337125000, 0.0557518000, 0.1358030000, 0.4234997000, 1.4674185000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0228655000, 0.0212891000, 0.0160793000, -0.003000000, -0.079583600, -0.368628800, -1.421877400", \
+                        "0.0228840000, 0.0213276000, 0.0162999000, -0.003093700, -0.079629600, -0.368530500, -1.421938700", \
+                        "0.0227502000, 0.0211370000, 0.0159444000, -0.003266800, -0.079731500, -0.368764400, -1.422056300", \
+                        "0.0223768000, 0.0207476000, 0.0155712000, -0.003683600, -0.080139100, -0.369127800, -1.422359300", \
+                        "0.0219222000, 0.0203055000, 0.0150831000, -0.004243200, -0.080794300, -0.369719300, -1.422922100", \
+                        "0.0224596000, 0.0202858000, 0.0154372000, -0.004144300, -0.081222300, -0.370105900, -1.423148800", \
+                        "0.0294124000, 0.0275052000, 0.0211921000, -0.001075900, -0.080847200, -0.369883500, -1.422575600");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
+                    values("0.0287979000, 0.0305816000, 0.0370916000, 0.0600380000, 0.1406411000, 0.4287552000, 1.4732969000", \
+                        "0.0287949000, 0.0305882000, 0.0371708000, 0.0603050000, 0.1403644000, 0.4286393000, 1.4730091000", \
+                        "0.0287805000, 0.0305766000, 0.0370762000, 0.0600688000, 0.1406429000, 0.4291514000, 1.4734947000", \
+                        "0.0284405000, 0.0302405000, 0.0366834000, 0.0598612000, 0.1401872000, 0.4287770000, 1.4678061000", \
+                        "0.0280310000, 0.0297487000, 0.0363292000, 0.0592914000, 0.1395841000, 0.4284396000, 1.4726981000", \
+                        "0.0285807000, 0.0302608000, 0.0363419000, 0.0582510000, 0.1378120000, 0.4265897000, 1.4739859000", \
+                        "0.0298246000, 0.0313752000, 0.0373794000, 0.0593643000, 0.1389572000, 0.4268716000, 1.4684960000");
+                }
+            }
+            max_capacitance : 0.3341770000;
+            max_transition : 1.4999960000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.5685465000, 0.5812668000, 0.6167480000, 0.7004460000, 0.8770848000, 1.2807204000, 2.5254916000", \
+                        "0.5762689000, 0.5890287000, 0.6245843000, 0.7085165000, 0.8852962000, 1.2886079000, 2.5345210000", \
+                        "0.6007059000, 0.6134755000, 0.6489586000, 0.7316714000, 0.9087563000, 1.3121069000, 2.5553399000", \
+                        "0.6628639000, 0.6756295000, 0.7111716000, 0.7950703000, 0.9712819000, 1.3748775000, 2.6192390000", \
+                        "0.8300272000, 0.8428455000, 0.8783353000, 0.9622531000, 1.1389152000, 1.5425374000, 2.7880840000", \
+                        "1.2746280000, 1.2884397000, 1.3264666000, 1.4148416000, 1.5959924000, 2.0018709000, 3.2478216000", \
+                        "2.1900797000, 2.2083533000, 2.2580589000, 2.3690527000, 2.5897342000, 3.0356571000, 4.2923309000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.3015952000, 0.3121748000, 0.3426644000, 0.4196398000, 0.6310550000, 1.3446503000, 3.9116457000", \
+                        "0.3093658000, 0.3197797000, 0.3503804000, 0.4271175000, 0.6386624000, 1.3513650000, 3.9202703000", \
+                        "0.3299459000, 0.3403741000, 0.3708692000, 0.4475413000, 0.6590952000, 1.3716096000, 3.9358263000", \
+                        "0.3844252000, 0.3949977000, 0.4255966000, 0.5024015000, 0.7137688000, 1.4265339000, 3.9976826000", \
+                        "0.5343772000, 0.5450536000, 0.5752876000, 0.6525988000, 0.8637118000, 1.5781384000, 4.1475543000", \
+                        "0.8568717000, 0.8697862000, 0.9057251000, 0.9915468000, 1.2093648000, 1.9224904000, 4.4885118000", \
+                        "1.4229415000, 1.4411614000, 1.4905949000, 1.6002717000, 1.8379883000, 2.5555829000, 5.1195896000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0865134000, 0.0937441000, 0.1156778000, 0.1720086000, 0.3064811000, 0.7289011000, 2.3695486000", \
+                        "0.0870882000, 0.0944421000, 0.1161528000, 0.1713397000, 0.3059985000, 0.7279861000, 2.3688715000", \
+                        "0.0864118000, 0.0944660000, 0.1145147000, 0.1727016000, 0.3068126000, 0.7272157000, 2.3722784000", \
+                        "0.0866399000, 0.0937957000, 0.1157868000, 0.1712159000, 0.3060922000, 0.7286403000, 2.3676772000", \
+                        "0.0875284000, 0.0949299000, 0.1162504000, 0.1721618000, 0.3062562000, 0.7267974000, 2.3679270000", \
+                        "0.0984407000, 0.1061827000, 0.1267142000, 0.1823848000, 0.3130675000, 0.7310701000, 2.3752180000", \
+                        "0.1457615000, 0.1549957000, 0.1776635000, 0.2415708000, 0.3796258000, 0.7804706000, 2.3788516000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0570043000, 0.0642531000, 0.0876912000, 0.1599962000, 0.4184581000, 1.4063741000, 4.9952784000", \
+                        "0.0569956000, 0.0643050000, 0.0874491000, 0.1595207000, 0.4187047000, 1.4061570000, 4.9924220000", \
+                        "0.0569454000, 0.0642864000, 0.0879718000, 0.1598190000, 0.4180803000, 1.4067207000, 4.9951114000", \
+                        "0.0568408000, 0.0641736000, 0.0873200000, 0.1594253000, 0.4182265000, 1.4085845000, 4.9945745000", \
+                        "0.0583567000, 0.0657759000, 0.0891654000, 0.1603768000, 0.4181617000, 1.4077386000, 4.9863149000", \
+                        "0.0747623000, 0.0824891000, 0.1063092000, 0.1770128000, 0.4257952000, 1.4058359000, 4.9937712000", \
+                        "0.1211228000, 0.1278774000, 0.1535865000, 0.2212769000, 0.4535289000, 1.4118068000, 4.9856306000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.5573464000, 0.5698364000, 0.6049043000, 0.6861780000, 0.8579381000, 1.2597792000, 2.5032645000", \
+                        "0.5645975000, 0.5772369000, 0.6124363000, 0.6933581000, 0.8655935000, 1.2672068000, 2.5120321000", \
+                        "0.5823391000, 0.5949457000, 0.6301281000, 0.7112638000, 0.8828607000, 1.2845195000, 2.5307940000", \
+                        "0.6361597000, 0.6507856000, 0.6837557000, 0.7641209000, 0.9367770000, 1.3381511000, 2.5831051000", \
+                        "0.8096757000, 0.8231119000, 0.8573111000, 0.9383143000, 1.1091540000, 1.5109493000, 2.7563811000", \
+                        "1.3066986000, 1.3200467000, 1.3577466000, 1.4416045000, 1.6166051000, 2.0205528000, 3.2663667000", \
+                        "2.2580928000, 2.2798579000, 2.3327660000, 2.4467048000, 2.6554741000, 3.0965177000, 4.3629327000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.2587911000, 0.2706450000, 0.3036857000, 0.3854742000, 0.6002298000, 1.3111174000, 3.8778865000", \
+                        "0.2671904000, 0.2789438000, 0.3120162000, 0.3939563000, 0.6087837000, 1.3186873000, 3.8829251000", \
+                        "0.2890776000, 0.3008417000, 0.3339988000, 0.4156053000, 0.6304443000, 1.3401927000, 3.9148134000", \
+                        "0.3485583000, 0.3603150000, 0.3930012000, 0.4745078000, 0.6899724000, 1.4009677000, 3.9686454000", \
+                        "0.5110447000, 0.5235644000, 0.5578449000, 0.6403541000, 0.8555889000, 1.5684221000, 4.1284043000", \
+                        "0.8106777000, 0.8273823000, 0.8710128000, 0.9684529000, 1.1969755000, 1.9124275000, 4.4794346000", \
+                        "1.2617352000, 1.2873420000, 1.3547844000, 1.4914817000, 1.7557725000, 2.4777096000, 5.0426912000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0855347000, 0.0928483000, 0.1141556000, 0.1666910000, 0.3022144000, 0.7263056000, 2.3731115000", \
+                        "0.0856484000, 0.0927405000, 0.1129710000, 0.1660127000, 0.3015525000, 0.7258946000, 2.3667697000", \
+                        "0.0865403000, 0.0936149000, 0.1136697000, 0.1664446000, 0.3026351000, 0.7262094000, 2.3740518000", \
+                        "0.0857846000, 0.0925469000, 0.1129817000, 0.1660441000, 0.2997082000, 0.7258842000, 2.3669586000", \
+                        "0.0853588000, 0.0934291000, 0.1124893000, 0.1656961000, 0.3011504000, 0.7269897000, 2.3735434000", \
+                        "0.1012091000, 0.1070700000, 0.1268859000, 0.1758272000, 0.3082075000, 0.7289270000, 2.3742854000", \
+                        "0.1725864000, 0.1821138000, 0.2041001000, 0.2510167000, 0.3756821000, 0.7845124000, 2.3877973000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0630692000, 0.0707396000, 0.0942626000, 0.1657934000, 0.4203138000, 1.4083383000, 4.9937552000", \
+                        "0.0629890000, 0.0707616000, 0.0941468000, 0.1654822000, 0.4206729000, 1.4053615000, 4.9980358000", \
+                        "0.0627356000, 0.0708502000, 0.0937865000, 0.1654628000, 0.4204986000, 1.4059892000, 5.0052860000", \
+                        "0.0626371000, 0.0705436000, 0.0938374000, 0.1653946000, 0.4201534000, 1.4075218000, 4.9823345000", \
+                        "0.0679941000, 0.0754692000, 0.0977958000, 0.1676910000, 0.4210922000, 1.4017434000, 4.9918949000", \
+                        "0.1008025000, 0.1081671000, 0.1303816000, 0.1965321000, 0.4378656000, 1.4036048000, 4.9842859000", \
+                        "0.1712371000, 0.1840662000, 0.2108033000, 0.2746489000, 0.4844361000, 1.4151609000, 4.9893765000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.5683505000, 0.5801932000, 0.6127167000, 0.6861753000, 0.8415935000, 1.2241070000, 2.4660993000", \
+                        "0.5749846000, 0.5867305000, 0.6194469000, 0.6926991000, 0.8485934000, 1.2309274000, 2.4689149000", \
+                        "0.5932593000, 0.6051104000, 0.6376003000, 0.7106004000, 0.8668723000, 1.2490554000, 2.4906892000", \
+                        "0.6476231000, 0.6594719000, 0.6918183000, 0.7648703000, 0.9211192000, 1.3033493000, 2.5436486000", \
+                        "0.8152976000, 0.8271215000, 0.8593258000, 0.9326837000, 1.0886809000, 1.4708795000, 2.7120119000", \
+                        "1.2894558000, 1.2996969000, 1.3380927000, 1.4155424000, 1.5747560000, 1.9591972000, 3.1988141000", \
+                        "2.1681383000, 2.1896691000, 2.2404931000, 2.3501632000, 2.5483036000, 2.9617446000, 4.2092476000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.3089756000, 0.3195695000, 0.3500178000, 0.4269751000, 0.6383875000, 1.3522266000, 3.9194252000", \
+                        "0.3169028000, 0.3274697000, 0.3578507000, 0.4349940000, 0.6464972000, 1.3607674000, 3.9293191000", \
+                        "0.3395288000, 0.3501525000, 0.3806819000, 0.4573131000, 0.6687355000, 1.3809486000, 3.9477501000", \
+                        "0.4008514000, 0.4114536000, 0.4415951000, 0.5186667000, 0.7301000000, 1.4432183000, 4.0118620000", \
+                        "0.5748342000, 0.5853243000, 0.6156295000, 0.6923171000, 0.9035420000, 1.6156989000, 4.1822195000", \
+                        "0.9444435000, 0.9579029000, 0.9947698000, 1.0814032000, 1.2987292000, 2.0120923000, 4.5770001000", \
+                        "1.5398296000, 1.5592710000, 1.6158576000, 1.7337036000, 1.9754882000, 2.6892899000, 5.2562639000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0812449000, 0.0877899000, 0.1042580000, 0.1525355000, 0.2773458000, 0.7061730000, 2.3684210000", \
+                        "0.0805608000, 0.0874380000, 0.1041276000, 0.1499318000, 0.2774130000, 0.7066847000, 2.3655589000", \
+                        "0.0810391000, 0.0871695000, 0.1042506000, 0.1503175000, 0.2769482000, 0.7059147000, 2.3684985000", \
+                        "0.0809256000, 0.0875141000, 0.1044224000, 0.1502671000, 0.2772623000, 0.7058024000, 2.3776030000", \
+                        "0.0814290000, 0.0877526000, 0.1050862000, 0.1504573000, 0.2771502000, 0.7057465000, 2.3660323000", \
+                        "0.0977059000, 0.1026809000, 0.1191363000, 0.1628453000, 0.2840091000, 0.7077920000, 2.3750240000", \
+                        "0.1675342000, 0.1736813000, 0.1965828000, 0.2406464000, 0.3514881000, 0.7491612000, 2.3754405000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
+                    values("0.0570220000, 0.0644152000, 0.0876443000, 0.1600426000, 0.4179750000, 1.4068865000, 4.9943694000", \
+                        "0.0571270000, 0.0644498000, 0.0881421000, 0.1595949000, 0.4182462000, 1.4075174000, 4.9878346000", \
+                        "0.0569087000, 0.0642897000, 0.0873052000, 0.1595160000, 0.4184368000, 1.4097290000, 4.9951061000", \
+                        "0.0568093000, 0.0640993000, 0.0882366000, 0.1595519000, 0.4178324000, 1.4062462000, 4.9847175000", \
+                        "0.0585315000, 0.0655930000, 0.0887485000, 0.1602116000, 0.4179574000, 1.4079128000, 4.9944719000", \
+                        "0.0843872000, 0.0908005000, 0.1127154000, 0.1801633000, 0.4263221000, 1.4044553000, 4.9932285000", \
+                        "0.1401070000, 0.1505302000, 0.1774085000, 0.2410041000, 0.4605554000, 1.4155815000, 4.9887081000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__mux2_1 */
+
+/* removed sky130_fd_sc_hd__mux2_2 */
+
+/* removed sky130_fd_sc_hd__mux2_4 */
+
+/* removed sky130_fd_sc_hd__mux2_8 */
+
+/* removed sky130_fd_sc_hd__mux2i_1 */
+
+/* removed sky130_fd_sc_hd__mux2i_2 */
+
+/* removed sky130_fd_sc_hd__mux2i_4 */
+
+/* removed sky130_fd_sc_hd__mux4_1 */
+
+/* removed sky130_fd_sc_hd__mux4_2 */
+
+    cell ("sky130_fd_sc_hd__mux4_4") {
+        leakage_power () {
+            value : 18.931608300;
+            when : "A0&!A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 13.036616200;
+            when : "A0&!A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 10.221297600;
+            when : "A0&!A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 15.716771900;
+            when : "A0&!A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 12.901174400;
+            when : "A0&!A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 11.577842700;
+            when : "A0&!A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 8.7626741000;
+            when : "A0&!A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 17.337484300;
+            when : "A0&!A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 17.514963700;
+            when : "A0&!A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 16.536544600;
+            when : "A0&A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 10.729174000;
+            when : "A0&A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 20.610096700;
+            when : "A0&A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 14.803217300;
+            when : "A0&A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 17.246600600;
+            when : "A0&A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 11.438513200;
+            when : "A0&A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 16.002546800;
+            when : "A0&A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 13.187211300;
+            when : "A0&A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 11.834377900;
+            when : "A0&A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 9.0188390000;
+            when : "A0&A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 21.316790100;
+            when : "A0&A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 15.509231200;
+            when : "A0&A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 10.375599900;
+            when : "A0&A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 7.5602120000;
+            when : "A0&A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 14.585565600;
+            when : "A0&A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 11.770478800;
+            when : "A0&A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 11.769484100;
+            when : "!A0&!A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 8.9542453000;
+            when : "!A0&!A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 14.277597800;
+            when : "!A0&!A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 11.461947800;
+            when : "!A0&!A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 12.479970800;
+            when : "!A0&!A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 9.6640145000;
+            when : "!A0&!A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 18.022786800;
+            when : "!A0&!A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 18.199259000;
+            when : "!A0&!A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 15.420290800;
+            when : "!A0&!A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 15.597065000;
+            when : "!A0&!A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 14.984726300;
+            when : "!A0&!A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 12.168380100;
+            when : "!A0&!A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 13.961601700;
+            when : "!A0&!A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 14.138486800;
+            when : "!A0&!A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 16.605888200;
+            when : "!A0&!A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 16.782614400;
+            when : "!A0&!A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 12.472012800;
+            when : "!A0&A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 9.6575041000;
+            when : "!A0&A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 21.871095200;
+            when : "!A0&A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 16.064476000;
+            when : "!A0&A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 13.182497800;
+            when : "!A0&A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 10.367273300;
+            when : "!A0&A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 17.263651800;
+            when : "!A0&A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 14.448549900;
+            when : "!A0&A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 16.122397600;
+            when : "!A0&A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 16.299893000;
+            when : "!A0&A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 22.577788500;
+            when : "!A0&A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 16.770489000;
+            when : "!A0&A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 14.663709400;
+            when : "!A0&A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 14.841315700;
+            when : "!A0&A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 15.846671500;
+            when : "!A0&A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 13.031818300;
+            when : "!A0&A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 17.738754500;
+            when : "A0&!A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 11.931605000;
+            when : "A0&!A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 15.009643300;
+            when : "A0&!A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 12.194740300;
+            when : "A0&!A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 18.448819400;
+            when : "A0&!A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 12.640952300;
+            when : "A0&!A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 18.754382900;
+            when : "A0&!A1&!A2&A3&S0&S1";
+        }
+        area : 25.024000000;
+        cell_footprint : "sky130_fd_sc_hd__mux4";
+        cell_leakage_power : 14.456470000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A0") {
+            capacitance : 0.0017580000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075541000, 0.0073798000, 0.0070039000, 0.0069580000, 0.0069438000, 0.0070195000, 0.0073478000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0021606000, 0.0020507000, 0.0018557000, 0.0017981000, 0.0017503000, 0.0017300000, 0.0017875000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018310000;
+        }
+        pin ("A1") {
+            capacitance : 0.0017490000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0025974000, 0.0025331000, 0.0024610000, 0.0024035000, 0.0023501000, 0.0023090000, 0.0023018000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002509100, -0.002575400, -0.002657600, -0.002718200, -0.002784500, -0.002867200, -0.002995700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018210000;
+        }
+        pin ("A2") {
+            capacitance : 0.0017100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0068578000, 0.0066881000, 0.0063190000, 0.0062772000, 0.0062682000, 0.0063515000, 0.0066953000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0036553000, 0.0035474000, 0.0033507000, 0.0033003000, 0.0032656000, 0.0032747000, 0.0034079000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017720000;
+        }
+        pin ("A3") {
+            capacitance : 0.0017170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016510000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0023375000, 0.0022824000, 0.0022277000, 0.0021722000, 0.0021166000, 0.0020605000, 0.0020030000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002272400, -0.002335600, -0.002415200, -0.002470400, -0.002527200, -0.002588600, -0.002662800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017820000;
+        }
+        pin ("S0") {
+            capacitance : 0.0052930000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0050670000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0156974000, 0.0154568000, 0.0148949000, 0.0148431000, 0.0148131000, 0.0148446000, 0.0150493000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0013027000, 0.0010668000, 0.0004931000, 0.0004610000, 0.0004612000, 0.0005529000, 0.0009023000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0055190000;
+        }
+        pin ("S1") {
+            capacitance : 0.0031040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0030180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0083623000, 0.0082188000, 0.0079163000, 0.0078847000, 0.0078977000, 0.0080367000, 0.0085304000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000698300, -0.000842900, -0.001169200, -0.001185400, -0.001149700, -0.000967800, -0.000373900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0031900000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0145665000, 0.0127087000, 0.0066902000, -0.014620400, -0.093422000, -0.388671300, -1.473390300", \
+                        "0.0147227000, 0.0128228000, 0.0068132000, -0.014461900, -0.093303500, -0.388557400, -1.473243100", \
+                        "0.0150195000, 0.0131809000, 0.0071266000, -0.014127800, -0.092970400, -0.388213700, -1.472911600", \
+                        "0.0149717000, 0.0131248000, 0.0070510000, -0.014166900, -0.093036900, -0.388245300, -1.472914400", \
+                        "0.0148647000, 0.0130267000, 0.0070451000, -0.014306400, -0.093122500, -0.388354000, -1.473024100", \
+                        "0.0147147000, 0.0128217000, 0.0067571000, -0.014478300, -0.093406600, -0.388649500, -1.473217800", \
+                        "0.0187269000, 0.0167305000, 0.0099394000, -0.013204800, -0.093972000, -0.389197400, -1.473616800");
+                }
+                related_pin : "A0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0217115000, 0.0233611000, 0.0298130000, 0.0535831000, 0.1363168000, 0.4303232000, 1.5062302000", \
+                        "0.0216375000, 0.0234107000, 0.0297118000, 0.0536287000, 0.1360219000, 0.4303470000, 1.5061971000", \
+                        "0.0217782000, 0.0235338000, 0.0298251000, 0.0538573000, 0.1361425000, 0.4304922000, 1.5068170000", \
+                        "0.0217731000, 0.0235222000, 0.0299211000, 0.0537196000, 0.1364582000, 0.4307572000, 1.5109272000", \
+                        "0.0216749000, 0.0234300000, 0.0297372000, 0.0537390000, 0.1360502000, 0.4304031000, 1.5068834000", \
+                        "0.0216232000, 0.0233043000, 0.0296145000, 0.0536083000, 0.1358016000, 0.4303253000, 1.5063247000", \
+                        "0.0249599000, 0.0266018000, 0.0326914000, 0.0549681000, 0.1368302000, 0.4315279000, 1.5067973000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0206025000, 0.0187587000, 0.0127616000, -0.008441600, -0.086981800, -0.381861500, -1.466324700", \
+                        "0.0205990000, 0.0187659000, 0.0127625000, -0.008366400, -0.086978300, -0.381849400, -1.466277200", \
+                        "0.0206423000, 0.0187843000, 0.0127446000, -0.008370300, -0.086999100, -0.381847100, -1.466312400", \
+                        "0.0205977000, 0.0187443000, 0.0126550000, -0.008318300, -0.086993500, -0.381877900, -1.466308600", \
+                        "0.0205383000, 0.0186897000, 0.0127509000, -0.008395400, -0.087094700, -0.381957200, -1.466380900", \
+                        "0.0205000000, 0.0186968000, 0.0126555000, -0.008530900, -0.087242300, -0.382190900, -1.466501900", \
+                        "0.0262478000, 0.0242608000, 0.0174743000, -0.005627900, -0.087657500, -0.382439400, -1.466621800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0245840000, 0.0263266000, 0.0326246000, 0.0566784000, 0.1390103000, 0.4338857000, 1.5100850000", \
+                        "0.0245433000, 0.0262448000, 0.0325946000, 0.0564567000, 0.1392715000, 0.4337928000, 1.5110335000", \
+                        "0.0245565000, 0.0262826000, 0.0325799000, 0.0566251000, 0.1391450000, 0.4338627000, 1.5100162000", \
+                        "0.0245081000, 0.0262308000, 0.0325798000, 0.0564060000, 0.1392319000, 0.4337363000, 1.5111581000", \
+                        "0.0244298000, 0.0262061000, 0.0325684000, 0.0564352000, 0.1391703000, 0.4336851000, 1.5110956000", \
+                        "0.0244613000, 0.0261756000, 0.0325173000, 0.0564788000, 0.1388739000, 0.4340398000, 1.5093679000", \
+                        "0.0278779000, 0.0295537000, 0.0354849000, 0.0583582000, 0.1398215000, 0.4346378000, 1.5098305000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0158949000, 0.0140689000, 0.0081273000, -0.013139100, -0.091719900, -0.386461300, -1.470774700", \
+                        "0.0160454000, 0.0141896000, 0.0080889000, -0.012882800, -0.091565500, -0.386341500, -1.470655100", \
+                        "0.0163803000, 0.0145686000, 0.0084271000, -0.012569000, -0.091276400, -0.386013000, -1.470340700", \
+                        "0.0163877000, 0.0145241000, 0.0086054000, -0.012578000, -0.091265300, -0.386006600, -1.470360900", \
+                        "0.0163185000, 0.0144599000, 0.0084790000, -0.012638600, -0.091328500, -0.386092000, -1.470464400", \
+                        "0.0161773000, 0.0143616000, 0.0082205000, -0.012779700, -0.091581600, -0.386383900, -1.470632500", \
+                        "0.0207696000, 0.0187757000, 0.0120717000, -0.011068900, -0.092211500, -0.387055500, -1.471164600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0198999000, 0.0215386000, 0.0279524000, 0.0517971000, 0.1347923000, 0.4300909000, 1.5053764000", \
+                        "0.0198839000, 0.0215789000, 0.0279977000, 0.0518348000, 0.1348496000, 0.4294646000, 1.5091175000", \
+                        "0.0199907000, 0.0216829000, 0.0279976000, 0.0519494000, 0.1349768000, 0.4301259000, 1.5010554000", \
+                        "0.0200421000, 0.0216708000, 0.0280806000, 0.0519346000, 0.1349309000, 0.4302376000, 1.5054885000", \
+                        "0.0199104000, 0.0216055000, 0.0280891000, 0.0521037000, 0.1346872000, 0.4295467000, 1.5068079000", \
+                        "0.0198467000, 0.0215846000, 0.0278436000, 0.0520040000, 0.1342418000, 0.4291485000, 1.5066657000", \
+                        "0.0230459000, 0.0246946000, 0.0307837000, 0.0531710000, 0.1357124000, 0.4296765000, 1.5048666000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0219250000, 0.0201144000, 0.0141004000, -0.006993100, -0.085479100, -0.380154100, -1.464529200", \
+                        "0.0219378000, 0.0200804000, 0.0139972000, -0.006916000, -0.085496900, -0.380152900, -1.464515600", \
+                        "0.0219502000, 0.0200992000, 0.0141781000, -0.006910900, -0.085473900, -0.380139200, -1.464528800", \
+                        "0.0219549000, 0.0200990000, 0.0140069000, -0.006919700, -0.085498800, -0.380141500, -1.464554100", \
+                        "0.0219396000, 0.0200777000, 0.0140638000, -0.007009200, -0.085499700, -0.380189900, -1.464586700", \
+                        "0.0219154000, 0.0200782000, 0.0140696000, -0.007056900, -0.085636400, -0.380385200, -1.464684000", \
+                        "0.0271463000, 0.0251754000, 0.0184071000, -0.004715100, -0.085906200, -0.380632700, -1.464755000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0241061000, 0.0257363000, 0.0321333000, 0.0558604000, 0.1387132000, 0.4339285000, 1.5054547000", \
+                        "0.0240560000, 0.0257258000, 0.0320752000, 0.0559686000, 0.1385222000, 0.4333573000, 1.5096193000", \
+                        "0.0239707000, 0.0256816000, 0.0318903000, 0.0558198000, 0.1385867000, 0.4332511000, 1.5103162000", \
+                        "0.0239370000, 0.0255994000, 0.0319736000, 0.0557899000, 0.1385936000, 0.4331785000, 1.5105663000", \
+                        "0.0238821000, 0.0255928000, 0.0319945000, 0.0558264000, 0.1382698000, 0.4331478000, 1.5104076000", \
+                        "0.0239591000, 0.0255817000, 0.0318823000, 0.0560128000, 0.1378206000, 0.4329348000, 1.5093682000", \
+                        "0.0270736000, 0.0287354000, 0.0348391000, 0.0571770000, 0.1391586000, 0.4341162000, 1.5050822000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0224910000, 0.0206358000, 0.0145914000, -0.006583100, -0.085015600, -0.379852000, -1.464449800", \
+                        "0.0224914000, 0.0206657000, 0.0146437000, -0.006474600, -0.084977900, -0.379832800, -1.463841800", \
+                        "0.0226988000, 0.0208639000, 0.0149151000, -0.006297200, -0.084790100, -0.379607600, -1.464029800", \
+                        "0.0220636000, 0.0202513000, 0.0142122000, -0.006930400, -0.085439700, -0.380219200, -1.464687200", \
+                        "0.0215416000, 0.0197154000, 0.0137463000, -0.007431600, -0.085929400, -0.380734600, -1.465117800", \
+                        "0.0211156000, 0.0192994000, 0.0131641000, -0.007740500, -0.086362700, -0.381174800, -1.465539800", \
+                        "0.0280424000, 0.0261317000, 0.0195857000, -0.003156800, -0.084582400, -0.380830300, -1.465209300");
+                }
+                related_pin : "S0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0305914000, 0.0323629000, 0.0387447000, 0.0625939000, 0.1450791000, 0.4395519000, 1.5145443000", \
+                        "0.0305931000, 0.0322890000, 0.0386233000, 0.0625226000, 0.1451961000, 0.4388137000, 1.5167936000", \
+                        "0.0307372000, 0.0324442000, 0.0388065000, 0.0627003000, 0.1453953000, 0.4392409000, 1.5165401000", \
+                        "0.0303990000, 0.0321444000, 0.0385397000, 0.0623284000, 0.1449164000, 0.4397832000, 1.5145571000", \
+                        "0.0299096000, 0.0316583000, 0.0380515000, 0.0619830000, 0.1443631000, 0.4388051000, 1.5150430000", \
+                        "0.0298358000, 0.0315331000, 0.0378594000, 0.0616932000, 0.1442802000, 0.4384536000, 1.5097230000", \
+                        "0.0320753000, 0.0337970000, 0.0400246000, 0.0628336000, 0.1447863000, 0.4401476000, 1.5160254000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0153328000, 0.0134932000, 0.0074770000, -0.013671100, -0.092114800, -0.386820400, -1.471393200", \
+                        "0.0153633000, 0.0135085000, 0.0075224000, -0.013521000, -0.092077300, -0.386773400, -1.471251700", \
+                        "0.0155248000, 0.0136966000, 0.0076693000, -0.013464100, -0.091898400, -0.386638600, -1.471103200", \
+                        "0.0154630000, 0.0136359000, 0.0076913000, -0.013567000, -0.091934900, -0.386670200, -1.471156200", \
+                        "0.0157677000, 0.0139040000, 0.0078818000, -0.013209000, -0.091581700, -0.386400800, -1.470910800", \
+                        "0.0160427000, 0.0142149000, 0.0082052000, -0.012828400, -0.091193700, -0.386084000, -1.470573100", \
+                        "0.0241584000, 0.0222497000, 0.0156830000, -0.006978300, -0.088161500, -0.385182200, -1.469596500");
+                }
+                related_pin : "S1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215190, 0.0066358640, 0.0241747100, 0.0880694000, 0.3208402000, 1.1688330000");
+                    values("0.0209543000, 0.0227074000, 0.0290617000, 0.0529757000, 0.1355964000, 0.4305213000, 1.5125981000", \
+                        "0.0209863000, 0.0226342000, 0.0290925000, 0.0529396000, 0.1357748000, 0.4299678000, 1.5072670000", \
+                        "0.0212004000, 0.0228257000, 0.0292676000, 0.0532045000, 0.1357470000, 0.4306968000, 1.5091508000", \
+                        "0.0211884000, 0.0228595000, 0.0291928000, 0.0530621000, 0.1359695000, 0.4303718000, 1.5027922000", \
+                        "0.0213373000, 0.0230189000, 0.0295090000, 0.0535020000, 0.1359757000, 0.4308438000, 1.5088625000", \
+                        "0.0216662000, 0.0232408000, 0.0297897000, 0.0540916000, 0.1361156000, 0.4311149000, 1.5131928000", \
+                        "0.0252723000, 0.0270035000, 0.0331119000, 0.0560855000, 0.1383649000, 0.4343967000, 1.5104658000");
+                }
+            }
+            max_capacitance : 0.3396710000;
+            max_transition : 1.4973800000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.2750039000, 1.2960989000, 1.3566382000, 1.4880498000, 1.7440465000, 2.2680577000, 3.6142676000", \
+                        "1.2824599000, 1.3039880000, 1.3639390000, 1.4958801000, 1.7516613000, 2.2753841000, 3.6228385000", \
+                        "1.3059694000, 1.3278715000, 1.3878341000, 1.5190079000, 1.7754354000, 2.2993647000, 3.6466954000", \
+                        "1.3643833000, 1.3861509000, 1.4465671000, 1.5779077000, 1.8338177000, 2.3584230000, 3.7044965000", \
+                        "1.5119561000, 1.5336573000, 1.5944773000, 1.7254427000, 1.9812143000, 2.5056299000, 3.8514050000", \
+                        "1.9208712000, 1.9424020000, 2.0025477000, 2.1338986000, 2.3900000000, 2.9138940000, 4.2615782000", \
+                        "2.8938969000, 2.9180416000, 2.9823850000, 3.1263361000, 3.3966614000, 3.9427479000, 5.2997495000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.5426927000, 0.5588870000, 0.6040529000, 0.7104893000, 0.9588061000, 1.6800894000, 4.2407082000", \
+                        "0.5503624000, 0.5663716000, 0.6106859000, 0.7185582000, 0.9667752000, 1.6878438000, 4.2477297000", \
+                        "0.5703145000, 0.5864816000, 0.6304746000, 0.7382895000, 0.9867051000, 1.7077820000, 4.2675712000", \
+                        "0.6214987000, 0.6378312000, 0.6831916000, 0.7895197000, 1.0378270000, 1.7582867000, 4.3123674000", \
+                        "0.7615465000, 0.7776837000, 0.8219059000, 0.9300965000, 1.1781021000, 1.8992652000, 4.4565431000", \
+                        "1.0993462000, 1.1156693000, 1.1644139000, 1.2766861000, 1.5294794000, 2.2525743000, 4.8115747000", \
+                        "1.7552966000, 1.7761235000, 1.8337102000, 1.9631703000, 2.2401045000, 2.9760003000, 5.5308544000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1893857000, 0.2009953000, 0.2310438000, 0.2986130000, 0.4597372000, 0.9143932000, 2.5133471000", \
+                        "0.1893389000, 0.1998576000, 0.2295641000, 0.2981530000, 0.4668085000, 0.9114912000, 2.5090472000", \
+                        "0.1890349000, 0.2003557000, 0.2309754000, 0.2977578000, 0.4672402000, 0.9125791000, 2.5121223000", \
+                        "0.1901327000, 0.2008416000, 0.2308216000, 0.2975488000, 0.4629508000, 0.9133299000, 2.5088094000", \
+                        "0.1902960000, 0.2012002000, 0.2315664000, 0.2985166000, 0.4609970000, 0.9145948000, 2.5120466000", \
+                        "0.1894801000, 0.1999160000, 0.2308968000, 0.2983707000, 0.4670794000, 0.9145119000, 2.5101858000", \
+                        "0.2190305000, 0.2302207000, 0.2617260000, 0.3320707000, 0.5005836000, 0.9348335000, 2.5204905000");
+                }
+                related_pin : "A0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0968618000, 0.1072997000, 0.1366665000, 0.2191575000, 0.4680323000, 1.4133337000, 5.0016373000", \
+                        "0.0970837000, 0.1072200000, 0.1366895000, 0.2184912000, 0.4680801000, 1.4125724000, 4.9991598000", \
+                        "0.0970738000, 0.1071481000, 0.1376620000, 0.2186160000, 0.4681552000, 1.4128516000, 4.9881872000", \
+                        "0.0967099000, 0.1067356000, 0.1358542000, 0.2190727000, 0.4680026000, 1.4141411000, 4.9938302000", \
+                        "0.0977384000, 0.1075802000, 0.1367027000, 0.2184097000, 0.4677302000, 1.4122672000, 4.9928843000", \
+                        "0.1080151000, 0.1177459000, 0.1466749000, 0.2285224000, 0.4743339000, 1.4164463000, 4.9989497000", \
+                        "0.1366133000, 0.1484234000, 0.1813653000, 0.2648863000, 0.5095140000, 1.4274528000, 4.9908282000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.2453315000, 1.2664868000, 1.3261928000, 1.4558880000, 1.7085879000, 2.2283124000, 3.5703225000", \
+                        "1.2516864000, 1.2732787000, 1.3327703000, 1.4625472000, 1.7153114000, 2.2348889000, 3.5770242000", \
+                        "1.2742138000, 1.2957869000, 1.3550903000, 1.4843264000, 1.7371385000, 2.2567919000, 3.5999288000", \
+                        "1.3279845000, 1.3486199000, 1.4086124000, 1.5386152000, 1.7920969000, 2.3107802000, 3.6538839000", \
+                        "1.4608468000, 1.4814993000, 1.5414002000, 1.6707286000, 1.9238779000, 2.4439406000, 3.7854568000", \
+                        "1.8230956000, 1.8444900000, 1.9034603000, 2.0332744000, 2.2864768000, 2.8057370000, 4.1490771000", \
+                        "2.6339522000, 2.6578661000, 2.7234213000, 2.8654141000, 3.1388412000, 3.6808272000, 5.0372426000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.5559684000, 0.5724337000, 0.6172735000, 0.7270207000, 0.9782982000, 1.7022047000, 4.2612309000", \
+                        "0.5635383000, 0.5791765000, 0.6257404000, 0.7346166000, 0.9857207000, 1.7094230000, 4.2635717000", \
+                        "0.5840922000, 0.6005765000, 0.6454099000, 0.7552617000, 1.0064676000, 1.7303783000, 4.2896224000", \
+                        "0.6386351000, 0.6550296000, 0.7008538000, 0.8096542000, 1.0607917000, 1.7844413000, 4.3378705000", \
+                        "0.7875214000, 0.8040321000, 0.8501408000, 0.9588434000, 1.2098808000, 1.9336415000, 4.4876636000", \
+                        "1.1544600000, 1.1722091000, 1.2211434000, 1.3335373000, 1.5884491000, 2.3136590000, 4.8759583000", \
+                        "1.8878348000, 1.9095778000, 1.9669095000, 2.0984023000, 2.3781466000, 3.1166587000, 5.6689080000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1857217000, 0.1971969000, 0.2264853000, 0.2929751000, 0.4534751000, 0.9068445000, 2.5081963000", \
+                        "0.1863353000, 0.1967772000, 0.2264597000, 0.2927521000, 0.4533059000, 0.9065297000, 2.5082754000", \
+                        "0.1857038000, 0.1960341000, 0.2263553000, 0.2926534000, 0.4540468000, 0.9054118000, 2.5066917000", \
+                        "0.1857574000, 0.1956609000, 0.2245737000, 0.2952717000, 0.4592001000, 0.9033993000, 2.5046598000", \
+                        "0.1862600000, 0.1967999000, 0.2270695000, 0.2939914000, 0.4566187000, 0.9058893000, 2.5026914000", \
+                        "0.1862814000, 0.1970532000, 0.2251017000, 0.2933545000, 0.4601909000, 0.9042957000, 2.5054627000", \
+                        "0.2173178000, 0.2287198000, 0.2604320000, 0.3301996000, 0.4939169000, 0.9392790000, 2.5205615000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0992170000, 0.1094209000, 0.1400290000, 0.2213979000, 0.4717908000, 1.4152935000, 4.9979847000", \
+                        "0.0999042000, 0.1099821000, 0.1394874000, 0.2219323000, 0.4705587000, 1.4156223000, 4.9960218000", \
+                        "0.0992031000, 0.1094145000, 0.1397160000, 0.2215915000, 0.4717103000, 1.4151962000, 4.9972497000", \
+                        "0.0996388000, 0.1091438000, 0.1389841000, 0.2221012000, 0.4706691000, 1.4161041000, 4.9968967000", \
+                        "0.0995128000, 0.1097719000, 0.1385244000, 0.2218089000, 0.4704613000, 1.4157261000, 4.9964766000", \
+                        "0.1078420000, 0.1181024000, 0.1481523000, 0.2314627000, 0.4766156000, 1.4188765000, 4.9863247000", \
+                        "0.1384451000, 0.1503214000, 0.1838405000, 0.2717069000, 0.5130836000, 1.4307548000, 4.9979270000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.2631224000, 1.2845570000, 1.3447613000, 1.4750940000, 1.7299319000, 2.2534417000, 3.5988370000", \
+                        "1.2715028000, 1.2922776000, 1.3526087000, 1.4834207000, 1.7386208000, 2.2601117000, 3.6074854000", \
+                        "1.2944648000, 1.3162001000, 1.3754926000, 1.5061334000, 1.7614707000, 2.2830344000, 3.6305969000", \
+                        "1.3524390000, 1.3732413000, 1.4336334000, 1.5638099000, 1.8188778000, 2.3425135000, 3.6880254000", \
+                        "1.4970589000, 1.5188305000, 1.5779913000, 1.7092582000, 1.9642625000, 2.4864875000, 3.8334065000", \
+                        "1.8933428000, 1.9149538000, 1.9744517000, 2.1052482000, 2.3602102000, 2.8839025000, 4.2293937000", \
+                        "2.8134723000, 2.8369147000, 2.9029168000, 3.0454648000, 3.3178273000, 3.8614005000, 5.2165774000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.5474727000, 0.5638183000, 0.6092370000, 0.7163299000, 0.9663399000, 1.6894769000, 4.2514476000", \
+                        "0.5555136000, 0.5717463000, 0.6171652000, 0.7244453000, 0.9742467000, 1.6964662000, 4.2511314000", \
+                        "0.5760935000, 0.5923679000, 0.6366299000, 0.7449861000, 0.9948233000, 1.7177405000, 4.2797330000", \
+                        "0.6286653000, 0.6450062000, 0.6904433000, 0.7975222000, 1.0475213000, 1.7705749000, 4.3323309000", \
+                        "0.7709091000, 0.7875872000, 0.8332436000, 0.9405495000, 1.1904173000, 1.9137607000, 4.4696256000", \
+                        "1.1227208000, 1.1402052000, 1.1882868000, 1.2999780000, 1.5533981000, 2.2781073000, 4.8327053000", \
+                        "1.8124126000, 1.8336471000, 1.8902211000, 2.0211872000, 2.2988906000, 3.0361391000, 5.5886780000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1876752000, 0.1983145000, 0.2285955000, 0.2957598000, 0.4590471000, 0.9120104000, 2.5089966000", \
+                        "0.1871808000, 0.1972432000, 0.2263833000, 0.2976218000, 0.4614550000, 0.9110503000, 2.5101681000", \
+                        "0.1872439000, 0.1982248000, 0.2265576000, 0.2969719000, 0.4611538000, 0.9107469000, 2.5104450000", \
+                        "0.1871214000, 0.1983907000, 0.2283814000, 0.2961318000, 0.4600036000, 0.9111824000, 2.5075282000", \
+                        "0.1873030000, 0.1967253000, 0.2268559000, 0.3007125000, 0.4614140000, 0.9109130000, 2.5100964000", \
+                        "0.1873638000, 0.1983929000, 0.2265316000, 0.2976540000, 0.4609255000, 0.9102030000, 2.5085598000", \
+                        "0.2176207000, 0.2291946000, 0.2611948000, 0.3298122000, 0.4907028000, 0.9350459000, 2.5186608000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0984617000, 0.1070479000, 0.1370313000, 0.2197707000, 0.4687551000, 1.4159168000, 4.9854574000", \
+                        "0.0970074000, 0.1069552000, 0.1368015000, 0.2197272000, 0.4689129000, 1.4160833000, 4.9916058000", \
+                        "0.0967379000, 0.1070535000, 0.1377752000, 0.2197086000, 0.4689206000, 1.4136594000, 4.9817852000", \
+                        "0.0984891000, 0.1069933000, 0.1370469000, 0.2197759000, 0.4687965000, 1.4156690000, 4.9855706000", \
+                        "0.0970759000, 0.1072677000, 0.1387224000, 0.2193150000, 0.4693140000, 1.4125588000, 4.9917901000", \
+                        "0.1059986000, 0.1161359000, 0.1461374000, 0.2290665000, 0.4731718000, 1.4139729000, 4.9939874000", \
+                        "0.1379832000, 0.1481155000, 0.1828243000, 0.2656785000, 0.5100377000, 1.4299175000, 4.9940660000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.2764948000, 1.2972824000, 1.3575494000, 1.4880029000, 1.7430316000, 2.2668130000, 3.6137036000", \
+                        "1.2839063000, 1.3046438000, 1.3650833000, 1.4955914000, 1.7508541000, 2.2730759000, 3.6211945000", \
+                        "1.3070193000, 1.3278304000, 1.3882224000, 1.5185265000, 1.7737974000, 2.2978661000, 3.6438375000", \
+                        "1.3653263000, 1.3860354000, 1.4464754000, 1.5768215000, 1.8321421000, 2.3562594000, 3.7022858000", \
+                        "1.5080127000, 1.5296111000, 1.5880510000, 1.7196888000, 1.9748254000, 2.4983986000, 3.8457539000", \
+                        "1.8977011000, 1.9193069000, 1.9793998000, 2.1074515000, 2.3630520000, 2.8879086000, 4.2338737000", \
+                        "2.8013891000, 2.8248681000, 2.8908893000, 3.0329970000, 3.3065959000, 3.8506078000, 5.2080176000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.5463249000, 0.5626904000, 0.6081173000, 0.7152614000, 0.9652952000, 1.6883366000, 4.2417425000", \
+                        "0.5538625000, 0.5703641000, 0.6156550000, 0.7230236000, 0.9730472000, 1.6963480000, 4.2564521000", \
+                        "0.5737802000, 0.5899972000, 0.6342640000, 0.7432101000, 0.9927978000, 1.7160875000, 4.2730885000", \
+                        "0.6267446000, 0.6430539000, 0.6882524000, 0.7961851000, 1.0458115000, 1.7688150000, 4.3233159000", \
+                        "0.7715968000, 0.7877713000, 0.8321869000, 0.9409775000, 1.1905702000, 1.9137638000, 4.4702899000", \
+                        "1.1234211000, 1.1407320000, 1.1875356000, 1.3007888000, 1.5542830000, 2.2791455000, 4.8377951000", \
+                        "1.8122946000, 1.8331509000, 1.8907165000, 2.0206203000, 2.2984534000, 3.0361094000, 5.5909686000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1870221000, 0.1983429000, 0.2284554000, 0.2950807000, 0.4566090000, 0.9125192000, 2.5126665000", \
+                        "0.1873794000, 0.1969307000, 0.2263023000, 0.2971176000, 0.4614197000, 0.9109065000, 2.5101283000", \
+                        "0.1871569000, 0.1984893000, 0.2289233000, 0.2963285000, 0.4603506000, 0.9123211000, 2.5074309000", \
+                        "0.1874117000, 0.1969899000, 0.2263911000, 0.2966529000, 0.4607850000, 0.9117340000, 2.5075788000", \
+                        "0.1871550000, 0.1973191000, 0.2276555000, 0.2954628000, 0.4645068000, 0.9099407000, 2.5111069000", \
+                        "0.1866029000, 0.1972986000, 0.2277618000, 0.2958714000, 0.4637505000, 0.9098114000, 2.5104201000", \
+                        "0.2176673000, 0.2292540000, 0.2612238000, 0.3298519000, 0.4942649000, 0.9366221000, 2.5215696000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0985524000, 0.1071302000, 0.1371527000, 0.2199799000, 0.4690472000, 1.4156933000, 4.9844053000", \
+                        "0.0985170000, 0.1071976000, 0.1390428000, 0.2197270000, 0.4694195000, 1.4149052000, 4.9984337000", \
+                        "0.0973645000, 0.1074454000, 0.1373455000, 0.2195421000, 0.4682747000, 1.4128015000, 4.9891744000", \
+                        "0.0974088000, 0.1088216000, 0.1373713000, 0.2195329000, 0.4683985000, 1.4150026000, 4.9952273000", \
+                        "0.0976264000, 0.1085413000, 0.1373800000, 0.2191788000, 0.4690125000, 1.4129384000, 4.9909952000", \
+                        "0.1061859000, 0.1180758000, 0.1482360000, 0.2289102000, 0.4738753000, 1.4164400000, 4.9933719000", \
+                        "0.1362595000, 0.1480527000, 0.1809652000, 0.2649700000, 0.5103581000, 1.4306396000, 4.9857340000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.1873116000, 1.2087948000, 1.2689327000, 1.3995270000, 1.6541448000, 2.1776521000, 3.5229493000", \
+                        "1.1933148000, 1.2147853000, 1.2749491000, 1.4054863000, 1.6602049000, 2.1825264000, 3.5300096000", \
+                        "1.2151798000, 1.2359931000, 1.2963887000, 1.4266442000, 1.6816852000, 2.2052373000, 3.5506871000", \
+                        "1.2792020000, 1.3005834000, 1.3609102000, 1.4912882000, 1.7462231000, 2.2697708000, 3.6153140000", \
+                        "1.4694840000, 1.4912266000, 1.5505756000, 1.6812488000, 1.9365289000, 2.4579967000, 3.8055328000", \
+                        "2.0186248000, 2.0392321000, 2.0996920000, 2.2299014000, 2.4848092000, 3.0086034000, 4.3543560000", \
+                        "3.4027872000, 3.4264998000, 3.4935712000, 3.6373902000, 3.9099914000, 4.4467208000, 5.7985532000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.5186241000, 0.5352072000, 0.5814273000, 0.6905262000, 0.9421934000, 1.6662255000, 4.2285331000", \
+                        "0.5259984000, 0.5417857000, 0.5890958000, 0.6978922000, 0.9493625000, 1.6729642000, 4.2260089000", \
+                        "0.5480249000, 0.5638041000, 0.6111032000, 0.7199016000, 0.9713985000, 1.6951442000, 4.2475783000", \
+                        "0.6087690000, 0.6253675000, 0.6708815000, 0.7806203000, 1.0321802000, 1.7556686000, 4.3103934000", \
+                        "0.7749369000, 0.7915478000, 0.8378984000, 0.9467640000, 1.1980759000, 1.9215800000, 4.4836752000", \
+                        "1.1719004000, 1.1897856000, 1.2395736000, 1.3534468000, 1.6087873000, 2.3343310000, 4.8830451000", \
+                        "1.9062696000, 1.9298898000, 1.9952955000, 2.1385607000, 2.4290179000, 3.1676526000, 5.7191043000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1874973000, 0.1983363000, 0.2284062000, 0.2953620000, 0.4580570000, 0.9122944000, 2.5110234000", \
+                        "0.1874689000, 0.1982990000, 0.2284982000, 0.2954762000, 0.4580157000, 0.9103642000, 2.5095150000", \
+                        "0.1871145000, 0.1983911000, 0.2284263000, 0.2958271000, 0.4599394000, 0.9119578000, 2.5077811000", \
+                        "0.1880101000, 0.1983947000, 0.2288246000, 0.2956443000, 0.4597028000, 0.9121836000, 2.5077973000", \
+                        "0.1872706000, 0.1982494000, 0.2265245000, 0.2971836000, 0.4612443000, 0.9100474000, 2.5092157000", \
+                        "0.1876007000, 0.1969051000, 0.2261610000, 0.2962553000, 0.4604003000, 0.9111307000, 2.5079481000", \
+                        "0.2252967000, 0.2368657000, 0.2666650000, 0.3366704000, 0.4961634000, 0.9286802000, 2.5163964000");
+                }
+                related_pin : "S0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1007563000, 0.1113388000, 0.1398006000, 0.2217880000, 0.4723810000, 1.4176884000, 4.9886770000", \
+                        "0.1002229000, 0.1105394000, 0.1403515000, 0.2223303000, 0.4716478000, 1.4179957000, 4.9960671000", \
+                        "0.1000629000, 0.1104967000, 0.1403439000, 0.2222462000, 0.4714050000, 1.4177618000, 4.9961311000", \
+                        "0.1004362000, 0.1103755000, 0.1408794000, 0.2228867000, 0.4726912000, 1.4164488000, 4.9917568000", \
+                        "0.1011827000, 0.1108555000, 0.1394339000, 0.2212894000, 0.4716620000, 1.4156303000, 4.9820548000", \
+                        "0.1123478000, 0.1224193000, 0.1518419000, 0.2341782000, 0.4777299000, 1.4143782000, 4.9956421000", \
+                        "0.1675201000, 0.1807909000, 0.2139677000, 0.2938818000, 0.5266517000, 1.4339476000, 4.9887521000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("1.3195700000, 1.3409432000, 1.4006862000, 1.5300998000, 1.7828814000, 2.3027680000, 3.6445156000", \
+                        "1.3276902000, 1.3495610000, 1.4089452000, 1.5381398000, 1.7908875000, 2.3104609000, 3.6538175000", \
+                        "1.3519214000, 1.3727277000, 1.4325731000, 1.5620839000, 1.8148600000, 2.3347362000, 3.6761987000", \
+                        "1.4155924000, 1.4375748000, 1.4968438000, 1.6261704000, 1.8789623000, 2.3985696000, 3.7418841000", \
+                        "1.5586953000, 1.5800721000, 1.6397668000, 1.7693649000, 2.0222151000, 2.5419813000, 3.8842045000", \
+                        "1.8123213000, 1.8338646000, 1.8929489000, 2.0234014000, 2.2766500000, 2.7957436000, 4.1390211000", \
+                        "2.2436590000, 2.2652078000, 2.3247613000, 2.4541833000, 2.7072919000, 3.2271994000, 4.5693547000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.7360114000, 0.7524579000, 0.7979103000, 0.9040756000, 1.1525917000, 1.8739550000, 4.4334456000", \
+                        "0.7413796000, 0.7576196000, 0.8025858000, 0.9097918000, 1.1578288000, 1.8788207000, 4.4312554000", \
+                        "0.7617527000, 0.7770307000, 0.8228832000, 0.9298485000, 1.1780283000, 1.8987310000, 4.4479074000", \
+                        "0.8282539000, 0.8446184000, 0.8899254000, 0.9962277000, 1.2447753000, 1.9659807000, 4.5269890000", \
+                        "1.0189456000, 1.0353619000, 1.0808638000, 1.1870263000, 1.4355298000, 2.1569162000, 4.7174034000", \
+                        "1.4014724000, 1.4177215000, 1.4628962000, 1.5692272000, 1.8185394000, 2.5391615000, 5.0980820000", \
+                        "2.0531945000, 2.0698192000, 2.1158273000, 2.2229276000, 2.4720967000, 3.1938494000, 5.7425993000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1857662000, 0.1966497000, 0.2268204000, 0.2933801000, 0.4546166000, 0.9070341000, 2.5080189000", \
+                        "0.1856882000, 0.1958910000, 0.2263730000, 0.2926901000, 0.4545532000, 0.9049680000, 2.5056679000", \
+                        "0.1854267000, 0.1967913000, 0.2267806000, 0.2932395000, 0.4551527000, 0.9069545000, 2.5073401000", \
+                        "0.1857535000, 0.1961064000, 0.2263431000, 0.2931609000, 0.4541599000, 0.9053731000, 2.5064479000", \
+                        "0.1861487000, 0.1969391000, 0.2267045000, 0.2931006000, 0.4538472000, 0.9070032000, 2.5083566000", \
+                        "0.1867488000, 0.1969234000, 0.2251328000, 0.2989698000, 0.4598978000, 0.9044424000, 2.5049152000", \
+                        "0.1860031000, 0.1964586000, 0.2264619000, 0.2936279000, 0.4540270000, 0.9071828000, 2.5024156000");
+                }
+                related_pin : "S0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0968417000, 0.1066785000, 0.1361551000, 0.2186372000, 0.4683875000, 1.4154222000, 4.9891469000", \
+                        "0.0971613000, 0.1069731000, 0.1368278000, 0.2187377000, 0.4674138000, 1.4144161000, 4.9958877000", \
+                        "0.0976742000, 0.1074375000, 0.1362318000, 0.2188436000, 0.4676387000, 1.4154914000, 4.9970321000", \
+                        "0.0967416000, 0.1067118000, 0.1366817000, 0.2192223000, 0.4677267000, 1.4157712000, 4.9854343000", \
+                        "0.0967824000, 0.1067054000, 0.1362060000, 0.2186154000, 0.4683801000, 1.4153969000, 4.9892694000", \
+                        "0.0976163000, 0.1081071000, 0.1374935000, 0.2189648000, 0.4701827000, 1.4166719000, 4.9809881000", \
+                        "0.0989202000, 0.1088149000, 0.1380533000, 0.2205941000, 0.4693194000, 1.4118045000, 4.9824909000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.8992333000, 0.9204508000, 0.9801483000, 1.1093079000, 1.3616395000, 1.8801751000, 3.2214287000", \
+                        "0.9073544000, 0.9290475000, 0.9880535000, 1.1173069000, 1.3706007000, 1.8880129000, 3.2298075000", \
+                        "0.9287195000, 0.9501483000, 1.0093493000, 1.1385567000, 1.3917726000, 1.9089395000, 3.2506564000", \
+                        "0.9875192000, 1.0090614000, 1.0677215000, 1.1980175000, 1.4506498000, 1.9672228000, 3.3093592000", \
+                        "1.1243360000, 1.1457761000, 1.2031730000, 1.3340331000, 1.5864808000, 2.1049043000, 3.4469396000", \
+                        "1.4689977000, 1.4899463000, 1.5472289000, 1.6755013000, 1.9274073000, 2.4454418000, 3.7852939000", \
+                        "2.2248128000, 2.2542737000, 2.3365518000, 2.5094518000, 2.8117224000, 3.3673497000, 4.7317466000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.4232904000, 0.4396030000, 0.4849686000, 0.5919164000, 0.8416230000, 1.5632672000, 4.1130832000", \
+                        "0.4310566000, 0.4473640000, 0.4928359000, 0.5994560000, 0.8494960000, 1.5722879000, 4.1284971000", \
+                        "0.4528805000, 0.4682564000, 0.5142425000, 0.6217095000, 0.8714057000, 1.5938314000, 4.1545922000", \
+                        "0.5135319000, 0.5290740000, 0.5749196000, 0.6823056000, 0.9321465000, 1.6547531000, 4.2193649000", \
+                        "0.6741744000, 0.6902852000, 0.7358051000, 0.8428475000, 1.0923947000, 1.8147555000, 4.3631276000", \
+                        "1.0648334000, 1.0836538000, 1.1365165000, 1.2507270000, 1.5074866000, 2.2331528000, 4.7920232000", \
+                        "1.7038844000, 1.7320759000, 1.8090155000, 1.9704627000, 2.2717692000, 3.0089962000, 5.5605436000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1854227000, 0.1959884000, 0.2261559000, 0.2925468000, 0.4531827000, 0.9055369000, 2.5071556000", \
+                        "0.1847674000, 0.1954932000, 0.2244641000, 0.2923332000, 0.4575486000, 0.9031613000, 2.5044196000", \
+                        "0.1849866000, 0.1944740000, 0.2244882000, 0.2924042000, 0.4571677000, 0.9047664000, 2.5059113000", \
+                        "0.1850419000, 0.1944164000, 0.2243316000, 0.2973333000, 0.4558188000, 0.9039297000, 2.5030029000", \
+                        "0.1850953000, 0.1945758000, 0.2250816000, 0.2922470000, 0.4591751000, 0.9022205000, 2.5012796000", \
+                        "0.1863022000, 0.1959219000, 0.2259646000, 0.2915574000, 0.4567385000, 0.9059916000, 2.5055400000", \
+                        "0.3203494000, 0.3315072000, 0.3645942000, 0.4287241000, 0.5577444000, 0.9733255000, 2.5275722000");
+                }
+                related_pin : "S1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0982447000, 0.1067881000, 0.1368116000, 0.2195493000, 0.4681633000, 1.4161795000, 4.9784119000", \
+                        "0.0977124000, 0.1077615000, 0.1377506000, 0.2191297000, 0.4689395000, 1.4148933000, 4.9956261000", \
+                        "0.0974782000, 0.1074305000, 0.1364030000, 0.2192267000, 0.4678776000, 1.4145760000, 4.9906617000", \
+                        "0.0970929000, 0.1069711000, 0.1364015000, 0.2189103000, 0.4677571000, 1.4134819000, 4.9913162000", \
+                        "0.0970069000, 0.1064934000, 0.1358195000, 0.2190477000, 0.4680740000, 1.4143626000, 4.9775437000", \
+                        "0.1288323000, 0.1390120000, 0.1654370000, 0.2421335000, 0.4785249000, 1.4168816000, 4.9911519000", \
+                        "0.2177278000, 0.2293725000, 0.2665563000, 0.3410900000, 0.5493954000, 1.4345045000, 4.9859246000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.8963396000, 0.9183049000, 0.9779617000, 1.1073354000, 1.3630929000, 1.8849151000, 3.2316274000", \
+                        "0.9055826000, 0.9272731000, 0.9863488000, 1.1159842000, 1.3722516000, 1.8945103000, 3.2393885000", \
+                        "0.9296000000, 0.9508940000, 1.0105828000, 1.1409578000, 1.3964015000, 1.9185391000, 3.2652485000", \
+                        "0.9835477000, 1.0049376000, 1.0650107000, 1.1954051000, 1.4498033000, 1.9724637000, 3.3191550000", \
+                        "1.1252247000, 1.1465700000, 1.2046752000, 1.3357319000, 1.5901001000, 2.1121103000, 3.4560113000", \
+                        "1.4083806000, 1.4297824000, 1.4876668000, 1.6163535000, 1.8676458000, 2.3904245000, 3.7353631000", \
+                        "1.7379527000, 1.7592421000, 1.8178372000, 1.9479109000, 2.2003923000, 2.7196991000, 4.0615102000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.4830563000, 0.4994604000, 0.5453402000, 0.6540892000, 0.9050367000, 1.6286756000, 4.1811541000", \
+                        "0.4901986000, 0.5065232000, 0.5532220000, 0.6615708000, 0.9125209000, 1.6357956000, 4.1929078000", \
+                        "0.5146615000, 0.5313800000, 0.5771513000, 0.6855323000, 0.9366333000, 1.6601904000, 4.2199271000", \
+                        "0.5861654000, 0.6025608000, 0.6483044000, 0.7565688000, 1.0076362000, 1.7300728000, 4.2805945000", \
+                        "0.7549300000, 0.7713150000, 0.8174919000, 0.9258753000, 1.1768956000, 1.9003588000, 4.4594253000", \
+                        "1.0368689000, 1.0530332000, 1.0993492000, 1.2072908000, 1.4574929000, 2.1809474000, 4.7354525000", \
+                        "1.4725828000, 1.4892750000, 1.5347732000, 1.6433104000, 1.8946000000, 2.6172629000, 5.1635323000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.1875179000, 0.1969134000, 0.2273484000, 0.2955343000, 0.4623134000, 0.9097520000, 2.5088769000", \
+                        "0.1872667000, 0.1966682000, 0.2269960000, 0.2987953000, 0.4605727000, 0.9116202000, 2.5106603000", \
+                        "0.1860679000, 0.1972755000, 0.2268929000, 0.2955950000, 0.4627696000, 0.9093485000, 2.5083162000", \
+                        "0.1870415000, 0.1979609000, 0.2283030000, 0.2949205000, 0.4556647000, 0.9100454000, 2.5097810000", \
+                        "0.1865716000, 0.1961846000, 0.2273030000, 0.2951161000, 0.4587102000, 0.9110290000, 2.5106366000", \
+                        "0.1816990000, 0.1921434000, 0.2221877000, 0.2910626000, 0.4593923000, 0.9130806000, 2.5111648000", \
+                        "0.1832729000, 0.1939387000, 0.2244849000, 0.2963317000, 0.4584954000, 0.9103439000, 2.5006373000");
+                }
+                related_pin : "S1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018215200, 0.0066358600, 0.0241747000, 0.0880694000, 0.3208400000, 1.1688300000");
+                    values("0.0996435000, 0.1095033000, 0.1384530000, 0.2211798000, 0.4711322000, 1.4159384000, 5.0034692000", \
+                        "0.0990410000, 0.1087710000, 0.1386335000, 0.2217978000, 0.4701048000, 1.4157707000, 4.9860254000", \
+                        "0.1000701000, 0.1084593000, 0.1402308000, 0.2209599000, 0.4709647000, 1.4172136000, 4.9882951000", \
+                        "0.0987373000, 0.1088442000, 0.1394309000, 0.2218514000, 0.4704542000, 1.4173986000, 4.9834314000", \
+                        "0.0988389000, 0.1088945000, 0.1399051000, 0.2208347000, 0.4710108000, 1.4172568000, 4.9946216000", \
+                        "0.0997654000, 0.1086822000, 0.1400259000, 0.2211302000, 0.4685848000, 1.4147784000, 5.0029607000", \
+                        "0.0990837000, 0.1092313000, 0.1402752000, 0.2224648000, 0.4708180000, 1.4153085000, 4.9829909000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand2_1 */
+
+    cell ("sky130_fd_sc_hd__nand2_2") {
+        leakage_power () {
+            value : 1.4384494000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.1069088000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 9.3245083000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 1.1295778000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__nand2";
+        cell_leakage_power : 2.9998610000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043300000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075788000, 0.0075830000, 0.0076031000, 0.0075997000, 0.0075985000, 0.0076035000, 0.0076259000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005515800, -0.005520200, -0.005531900, -0.005514600, -0.005464900, -0.005324000, -0.004926600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045460000;
+        }
+        pin ("B") {
+            capacitance : 0.0044290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042410000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065448000, 0.0065382000, 0.0065302000, 0.0065248000, 0.0065201000, 0.0065175000, 0.0065209000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006531200, -0.006528100, -0.006518700, -0.006518800, -0.006517900, -0.006514700, -0.006505000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046170000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0040765000, 0.0027562000, -0.001850000, -0.017391600, -0.068757600, -0.237216600, -0.789782700", \
+                        "0.0036040000, 0.0023241000, -0.002135300, -0.017512600, -0.068798000, -0.237260100, -0.789705800", \
+                        "0.0030298000, 0.0017664000, -0.002617100, -0.017783200, -0.068879600, -0.237279000, -0.789739200", \
+                        "0.0026559000, 0.0012986000, -0.003154900, -0.018303000, -0.069145300, -0.237362400, -0.789779200", \
+                        "0.0025704000, 0.0011350000, -0.003546100, -0.018902600, -0.069708900, -0.237691200, -0.789948000", \
+                        "0.0034193000, 0.0019352000, -0.002994200, -0.018788100, -0.070101700, -0.238169300, -0.790209800", \
+                        "0.0063592000, 0.0045350000, -0.000750600, -0.017243000, -0.069263000, -0.237973200, -0.790705000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0047588000, 0.0063927000, 0.0113745000, 0.0271424000, 0.0778018000, 0.2441827000, 0.7927784000", \
+                        "0.0043190000, 0.0060238000, 0.0111066000, 0.0268181000, 0.0774788000, 0.2448665000, 0.7959160000", \
+                        "0.0038745000, 0.0054927000, 0.0105373000, 0.0266735000, 0.0773404000, 0.2455342000, 0.7926518000", \
+                        "0.0036409000, 0.0051720000, 0.0101343000, 0.0261151000, 0.0766913000, 0.2423768000, 0.7928611000", \
+                        "0.0037793000, 0.0052220000, 0.0099686000, 0.0256237000, 0.0767168000, 0.2442807000, 0.7934068000", \
+                        "0.0042315000, 0.0056302000, 0.0101040000, 0.0254626000, 0.0766272000, 0.2433032000, 0.7889609000", \
+                        "0.0074031000, 0.0086184000, 0.0131397000, 0.0276884000, 0.0776486000, 0.2419828000, 0.7870343000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0052027000, 0.0038064000, -0.000864800, -0.016467400, -0.067841500, -0.236341900, -0.788839300", \
+                        "0.0048264000, 0.0035013000, -0.001066800, -0.016562000, -0.067864900, -0.236353600, -0.788822100", \
+                        "0.0043319000, 0.0030087000, -0.001477300, -0.016781700, -0.067959800, -0.236384200, -0.788851900", \
+                        "0.0039255000, 0.0025725000, -0.001984400, -0.017201500, -0.068144900, -0.236456700, -0.788869400", \
+                        "0.0040221000, 0.0026271000, -0.002079600, -0.017564100, -0.068486700, -0.236577400, -0.788794100", \
+                        "0.0040724000, 0.0026041000, -0.002162200, -0.017711900, -0.068973100, -0.236982400, -0.789106500", \
+                        "0.0057864000, 0.0042172000, -0.000872800, -0.016901800, -0.068526200, -0.237179200, -0.789291600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
+                    values("0.0104665000, 0.0119504000, 0.0167851000, 0.0324611000, 0.0832292000, 0.2494368000, 0.7956364000", \
+                        "0.0101674000, 0.0116792000, 0.0165602000, 0.0322782000, 0.0832289000, 0.2493566000, 0.7955924000", \
+                        "0.0097141000, 0.0112197000, 0.0161292000, 0.0320348000, 0.0829655000, 0.2492162000, 0.7953823000", \
+                        "0.0094457000, 0.0109596000, 0.0158567000, 0.0315563000, 0.0823420000, 0.2481174000, 0.7951626000", \
+                        "0.0095312000, 0.0109879000, 0.0157540000, 0.0313581000, 0.0823746000, 0.2485688000, 0.7944235000", \
+                        "0.0101534000, 0.0115906000, 0.0160227000, 0.0314110000, 0.0826191000, 0.2479834000, 0.7942490000", \
+                        "0.0131626000, 0.0145096000, 0.0197821000, 0.0343305000, 0.0843766000, 0.2483697000, 0.7932990000");
+                }
+            }
+            max_capacitance : 0.1840310000;
+            max_transition : 1.5011340000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0402935000, 0.0477441000, 0.0704722000, 0.1437613000, 0.3798138000, 1.1542131000, 3.6896315000", \
+                        "0.0457390000, 0.0531809000, 0.0766713000, 0.1506701000, 0.3866152000, 1.1604829000, 3.7043446000", \
+                        "0.0654190000, 0.0728116000, 0.0962630000, 0.1722110000, 0.4082091000, 1.1829951000, 3.7182952000", \
+                        "0.1058143000, 0.1190486000, 0.1525021000, 0.2307692000, 0.4682617000, 1.2458121000, 3.7921470000", \
+                        "0.1688903000, 0.1932077000, 0.2540053000, 0.3838294000, 0.6413922000, 1.4156686000, 3.9585428000", \
+                        "0.2557961000, 0.3004362000, 0.4127204000, 0.6477004000, 1.0805038000, 1.9093580000, 4.4445714000", \
+                        "0.3468839000, 0.4237502000, 0.6249169000, 1.0551000000, 1.8392566000, 3.1894922000, 5.8420504000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0374404000, 0.0446337000, 0.0665169000, 0.1359669000, 0.3596706000, 1.0879199000, 3.5051653000", \
+                        "0.0446211000, 0.0519617000, 0.0740828000, 0.1434406000, 0.3670312000, 1.0965658000, 3.5039025000", \
+                        "0.0683023000, 0.0752184000, 0.0971551000, 0.1676178000, 0.3912443000, 1.1253686000, 3.5091670000", \
+                        "0.1167118000, 0.1303095000, 0.1637105000, 0.2354578000, 0.4568655000, 1.1868850000, 3.5843115000", \
+                        "0.1978542000, 0.2244349000, 0.2883085000, 0.4160488000, 0.6575424000, 1.3901671000, 3.7724894000", \
+                        "0.3260646000, 0.3752992000, 0.4982282000, 0.7456424000, 1.1738311000, 1.9471667000, 4.3312758000", \
+                        "0.5272642000, 0.6130159000, 0.8338204000, 1.2978589000, 2.1182363000, 3.4402865000, 5.9247234000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0290422000, 0.0382060000, 0.0680044000, 0.1654085000, 0.4825723000, 1.5258258000, 4.9308695000", \
+                        "0.0289793000, 0.0381219000, 0.0677908000, 0.1668828000, 0.4827154000, 1.5295090000, 4.9387427000", \
+                        "0.0317253000, 0.0395386000, 0.0676514000, 0.1658320000, 0.4829536000, 1.5245141000, 4.9351594000", \
+                        "0.0546275000, 0.0642886000, 0.0897138000, 0.1690012000, 0.4842406000, 1.5324981000, 4.9438356000", \
+                        "0.1067680000, 0.1207895000, 0.1586453000, 0.2428755000, 0.4987098000, 1.5402317000, 4.9572582000", \
+                        "0.2151831000, 0.2423722000, 0.3094847000, 0.4418792000, 0.7116067000, 1.5676062000, 4.9348778000", \
+                        "0.4342655000, 0.4849768000, 0.6075667000, 0.8497986000, 1.2826246000, 2.1358793000, 5.0079043000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0271205000, 0.0360345000, 0.0653598000, 0.1622213000, 0.4741468000, 1.5025967000, 4.8677560000", \
+                        "0.0272045000, 0.0361774000, 0.0651591000, 0.1605653000, 0.4746112000, 1.5071910000, 4.8885997000", \
+                        "0.0310242000, 0.0380916000, 0.0652599000, 0.1613921000, 0.4742345000, 1.5079307000, 4.8702269000", \
+                        "0.0603985000, 0.0681297000, 0.0885686000, 0.1650785000, 0.4740867000, 1.5018525000, 4.8799267000", \
+                        "0.1224277000, 0.1359420000, 0.1711692000, 0.2439279000, 0.4881469000, 1.5055452000, 4.8818367000", \
+                        "0.2464864000, 0.2736656000, 0.3403143000, 0.4723691000, 0.7063596000, 1.5352237000, 4.8634248000", \
+                        "0.4806457000, 0.5356241000, 0.6686299000, 0.9306119000, 1.3671555000, 2.1199518000, 4.9008704000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0516386000, 0.0588009000, 0.0814165000, 0.1545759000, 0.3900933000, 1.1639026000, 3.7074586000", \
+                        "0.0586707000, 0.0659729000, 0.0891608000, 0.1626122000, 0.3989351000, 1.1760182000, 3.7166219000", \
+                        "0.0787911000, 0.0863563000, 0.1097409000, 0.1835175000, 0.4204502000, 1.1944155000, 3.7467269000", \
+                        "0.1233301000, 0.1335252000, 0.1619772000, 0.2385203000, 0.4775971000, 1.2517088000, 3.8143950000", \
+                        "0.2038955000, 0.2221962000, 0.2691696000, 0.3783649000, 0.6330264000, 1.4099522000, 3.9628367000", \
+                        "0.3210997000, 0.3575591000, 0.4510197000, 0.6459051000, 1.0225820000, 1.8533433000, 4.3952921000", \
+                        "0.4383804000, 0.5128671000, 0.7026568000, 1.0919894000, 1.7782477000, 2.9717691000, 5.6404281000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0517565000, 0.0586782000, 0.0802938000, 0.1489016000, 0.3702905000, 1.0926304000, 3.4647594000", \
+                        "0.0594128000, 0.0662735000, 0.0881607000, 0.1567288000, 0.3780308000, 1.1004265000, 3.4710892000", \
+                        "0.0826774000, 0.0894900000, 0.1110366000, 0.1808513000, 0.4027353000, 1.1257842000, 3.4936727000", \
+                        "0.1447335000, 0.1546373000, 0.1806063000, 0.2503637000, 0.4688210000, 1.1947150000, 3.5587246000", \
+                        "0.2567143000, 0.2755222000, 0.3259081000, 0.4378875000, 0.6707936000, 1.3942604000, 3.7520111000", \
+                        "0.4433482000, 0.4774424000, 0.5743087000, 0.7905920000, 1.1909804000, 1.9530191000, 4.3142294000", \
+                        "0.7404943000, 0.8005147000, 0.9821932000, 1.3899352000, 2.1617450000, 3.4569914000, 5.9032281000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0290604000, 0.0381560000, 0.0681603000, 0.1653187000, 0.4829241000, 1.5256378000, 4.9382125000", \
+                        "0.0291104000, 0.0382535000, 0.0681138000, 0.1664815000, 0.4823547000, 1.5255226000, 4.9425823000", \
+                        "0.0295511000, 0.0383957000, 0.0678061000, 0.1646490000, 0.4840911000, 1.5278094000, 4.9403832000", \
+                        "0.0424908000, 0.0513298000, 0.0777852000, 0.1669689000, 0.4847517000, 1.5250133000, 4.9557904000", \
+                        "0.0801584000, 0.0919467000, 0.1247270000, 0.2146128000, 0.4936076000, 1.5287633000, 4.9423732000", \
+                        "0.1772759000, 0.1961227000, 0.2455474000, 0.3580092000, 0.6378169000, 1.5534265000, 4.9379190000", \
+                        "0.4155288000, 0.4452754000, 0.5268353000, 0.7070830000, 1.0805059000, 1.9593560000, 4.9874282000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
+                    values("0.0390498000, 0.0479729000, 0.0767728000, 0.1715645000, 0.4819578000, 1.5014533000, 4.8314206000", \
+                        "0.0389377000, 0.0478518000, 0.0768735000, 0.1714897000, 0.4821659000, 1.5018848000, 4.8323492000", \
+                        "0.0391389000, 0.0476142000, 0.0766145000, 0.1718764000, 0.4822125000, 1.5009106000, 4.8390532000", \
+                        "0.0628905000, 0.0704822000, 0.0912148000, 0.1738713000, 0.4825777000, 1.5032693000, 4.8256488000", \
+                        "0.1232002000, 0.1366264000, 0.1704088000, 0.2432384000, 0.4943204000, 1.5007445000, 4.8349209000", \
+                        "0.2496310000, 0.2757181000, 0.3400744000, 0.4720760000, 0.7101184000, 1.5295316000, 4.8310156000", \
+                        "0.4887137000, 0.5406627000, 0.6713287000, 0.9321571000, 1.3653237000, 2.1054591000, 4.8727116000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand2_4") {
+        leakage_power () {
+            value : 3.4920331000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.2579170000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 12.111617500;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 2.7457694000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nand2";
+        cell_leakage_power : 4.6518340000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0085710000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083350000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0148231000, 0.0148067000, 0.0147811000, 0.0147729000, 0.0147699000, 0.0147820000, 0.0148364000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010975300, -0.010997800, -0.011059100, -0.011026800, -0.010933700, -0.010669700, -0.009923800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088070000;
+        }
+        pin ("B") {
+            capacitance : 0.0088420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084430000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130986000, 0.0130763000, 0.0130396000, 0.0130213000, 0.0129957000, 0.0129497000, 0.0128456000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013060000, -0.013054200, -0.013035800, -0.013036400, -0.013036000, -0.013033000, -0.013022600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092420000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
+                    values("0.0086314000, 0.0071138000, 0.0013088000, -0.020501300, -0.100605800, -0.391579400, -1.446785000", \
+                        "0.0076525000, 0.0061834000, 0.0006671000, -0.020754000, -0.100680100, -0.391545900, -1.446879000", \
+                        "0.0066289000, 0.0051748000, -0.000256000, -0.021374900, -0.100806100, -0.391560900, -1.446874300", \
+                        "0.0058709000, 0.0043254000, -0.001274500, -0.022408100, -0.101461500, -0.391785200, -1.446916200", \
+                        "0.0057423000, 0.0040863000, -0.001888100, -0.023521600, -0.102551100, -0.392333100, -1.447074700", \
+                        "0.0072647000, 0.0055128000, -0.000752200, -0.023101100, -0.103462800, -0.393210200, -1.447646900", \
+                        "0.0134692000, 0.0106551000, 0.0035264000, -0.019905400, -0.101630000, -0.392936900, -1.448444800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
+                    values("0.0084603000, 0.0104448000, 0.0170240000, 0.0393998000, 0.1188635000, 0.4040359000, 1.4534376000", \
+                        "0.0076384000, 0.0096269000, 0.0163134000, 0.0391905000, 0.1188957000, 0.4071407000, 1.4542287000", \
+                        "0.0068260000, 0.0087227000, 0.0154024000, 0.0385452000, 0.1179452000, 0.4068935000, 1.4535007000", \
+                        "0.0064115000, 0.0081729000, 0.0145016000, 0.0370368000, 0.1174350000, 0.4061769000, 1.4582908000", \
+                        "0.0069189000, 0.0086123000, 0.0147232000, 0.0369265000, 0.1164808000, 0.4055832000, 1.4525239000", \
+                        "0.0073846000, 0.0089340000, 0.0152468000, 0.0360484000, 0.1160036000, 0.4052747000, 1.4451627000", \
+                        "0.0151043000, 0.0145624000, 0.0199992000, 0.0404039000, 0.1188319000, 0.4045980000, 1.4500128000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
+                    values("0.0109600000, 0.0093919000, 0.0034835000, -0.018436200, -0.098585000, -0.389498300, -1.444908000", \
+                        "0.0101974000, 0.0086718000, 0.0029889000, -0.018669400, -0.098660100, -0.389553200, -1.444844900", \
+                        "0.0091726000, 0.0076640000, 0.0020948000, -0.019186300, -0.098844600, -0.389621600, -1.444836300", \
+                        "0.0083807000, 0.0068340000, 0.0011308000, -0.020142600, -0.099320100, -0.389755700, -1.445008300", \
+                        "0.0085360000, 0.0069504000, 0.0010870000, -0.020898200, -0.100073600, -0.390046300, -1.444788800", \
+                        "0.0085986000, 0.0069023000, 0.0008287000, -0.021085100, -0.101072300, -0.390859500, -1.445406000", \
+                        "0.0116355000, 0.0098376000, 0.0033512000, -0.019382300, -0.100139000, -0.391341000, -1.445837900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
+                    values("0.0197327000, 0.0214349000, 0.0276028000, 0.0497868000, 0.1291193000, 0.4155124000, 1.4593658000", \
+                        "0.0190522000, 0.0207472000, 0.0270195000, 0.0493564000, 0.1288347000, 0.4158858000, 1.4604529000", \
+                        "0.0181573000, 0.0199769000, 0.0262871000, 0.0488988000, 0.1282162000, 0.4146780000, 1.4600884000", \
+                        "0.0175188000, 0.0192471000, 0.0255245000, 0.0479855000, 0.1282757000, 0.4147347000, 1.4587882000", \
+                        "0.0176305000, 0.0193919000, 0.0254103000, 0.0477526000, 0.1270132000, 0.4149279000, 1.4594287000", \
+                        "0.0184232000, 0.0200467000, 0.0258796000, 0.0474742000, 0.1278725000, 0.4120883000, 1.4570798000", \
+                        "0.0240654000, 0.0257116000, 0.0320359000, 0.0525682000, 0.1308716000, 0.4139103000, 1.4556366000");
+                }
+            }
+            max_capacitance : 0.3341770000;
+            max_transition : 1.4964460000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0387503000, 0.0431605000, 0.0581109000, 0.1111533000, 0.2998489000, 0.9796463000, 3.4423167000", \
+                        "0.0438786000, 0.0482842000, 0.0640501000, 0.1175517000, 0.3050243000, 0.9843703000, 3.4516021000", \
+                        "0.0632261000, 0.0679041000, 0.0833613000, 0.1372864000, 0.3279315000, 1.0068035000, 3.4816994000", \
+                        "0.1003246000, 0.1088233000, 0.1336798000, 0.1968238000, 0.3877492000, 1.0667350000, 3.5298915000", \
+                        "0.1556212000, 0.1710668000, 0.2174708000, 0.3282619000, 0.5582944000, 1.2399021000, 3.7016366000", \
+                        "0.2208566000, 0.2502076000, 0.3336809000, 0.5383463000, 0.9483160000, 1.7110728000, 4.1712767000", \
+                        "0.2546902000, 0.3047702000, 0.4549675000, 0.8297394000, 1.5671211000, 2.9100753000, 5.5718248000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0387451000, 0.0435717000, 0.0597907000, 0.1153389000, 0.3112235000, 1.0178790000, 3.5902608000", \
+                        "0.0456881000, 0.0504490000, 0.0668675000, 0.1231334000, 0.3183926000, 1.0304941000, 3.5970458000", \
+                        "0.0694602000, 0.0740467000, 0.0900688000, 0.1467205000, 0.3431561000, 1.0529703000, 3.6178260000", \
+                        "0.1196239000, 0.1285831000, 0.1543458000, 0.2148888000, 0.4137019000, 1.1192505000, 3.6848005000", \
+                        "0.2064551000, 0.2234770000, 0.2735413000, 0.3866877000, 0.6116853000, 1.3161189000, 3.8807393000", \
+                        "0.3513013000, 0.3838373000, 0.4779352000, 0.6971584000, 1.1124638000, 1.8831787000, 4.4160609000", \
+                        "0.6035132000, 0.6594724000, 0.8281913000, 1.2340455000, 2.0282434000, 3.3806540000, 6.0418399000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0268604000, 0.0321652000, 0.0516470000, 0.1225760000, 0.3790229000, 1.2994011000, 4.6199080000", \
+                        "0.0267772000, 0.0321824000, 0.0516205000, 0.1224854000, 0.3755242000, 1.2987770000, 4.6283843000", \
+                        "0.0301568000, 0.0345819000, 0.0521280000, 0.1216912000, 0.3764122000, 1.2961866000, 4.6237267000", \
+                        "0.0524035000, 0.0581426000, 0.0765525000, 0.1310276000, 0.3776295000, 1.2927205000, 4.6212727000", \
+                        "0.1026959000, 0.1124983000, 0.1394831000, 0.2097859000, 0.4056790000, 1.2998851000, 4.6198565000", \
+                        "0.2096292000, 0.2266320000, 0.2795980000, 0.3923404000, 0.6404765000, 1.3635553000, 4.6230784000", \
+                        "0.4248338000, 0.4577753000, 0.5560472000, 0.7658260000, 1.1703002000, 1.9905264000, 4.7176090000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0271925000, 0.0329832000, 0.0535473000, 0.1303530000, 0.4005700000, 1.3927939000, 4.9698166000", \
+                        "0.0272291000, 0.0330248000, 0.0535766000, 0.1295000000, 0.4020244000, 1.3952693000, 5.0037334000", \
+                        "0.0306903000, 0.0351611000, 0.0537992000, 0.1292145000, 0.4013163000, 1.3876460000, 4.9687805000", \
+                        "0.0598294000, 0.0645025000, 0.0796355000, 0.1362969000, 0.4020108000, 1.3896377000, 4.9897873000", \
+                        "0.1204276000, 0.1297115000, 0.1578676000, 0.2213529000, 0.4216614000, 1.3903216000, 4.9677622000", \
+                        "0.2427765000, 0.2607101000, 0.3126538000, 0.4302461000, 0.6599713000, 1.4288662000, 4.9693272000", \
+                        "0.4735590000, 0.5104280000, 0.6109791000, 0.8490069000, 1.2889654000, 2.0152517000, 4.9954676000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0494787000, 0.0537125000, 0.0686165000, 0.1207727000, 0.3081015000, 0.9882272000, 3.4491947000", \
+                        "0.0563364000, 0.0606360000, 0.0761134000, 0.1287941000, 0.3166624000, 0.9956866000, 3.4577736000", \
+                        "0.0757299000, 0.0801280000, 0.0957152000, 0.1492208000, 0.3390786000, 1.0170769000, 3.4801664000", \
+                        "0.1170062000, 0.1231060000, 0.1432355000, 0.2018951000, 0.3929012000, 1.0716310000, 3.5347689000", \
+                        "0.1891875000, 0.2008388000, 0.2347580000, 0.3237939000, 0.5420093000, 1.2268403000, 3.6883292000", \
+                        "0.2854463000, 0.3080114000, 0.3772026000, 0.5439562000, 0.8868282000, 1.6510548000, 4.1197388000", \
+                        "0.3448318000, 0.3931324000, 0.5356576000, 0.8708061000, 1.5151541000, 2.6746783000, 5.3144849000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0549498000, 0.0594323000, 0.0750722000, 0.1297923000, 0.3243441000, 1.0255465000, 3.5594239000", \
+                        "0.0623473000, 0.0665591000, 0.0824965000, 0.1375502000, 0.3322836000, 1.0322958000, 3.5707597000", \
+                        "0.0857658000, 0.0903727000, 0.1061413000, 0.1610239000, 0.3548535000, 1.0578872000, 3.5924657000", \
+                        "0.1502338000, 0.1564925000, 0.1757607000, 0.2317729000, 0.4266593000, 1.1235279000, 3.6581016000", \
+                        "0.2689600000, 0.2807046000, 0.3180857000, 0.4147448000, 0.6270489000, 1.3256521000, 3.8545944000", \
+                        "0.4753308000, 0.4973949000, 0.5693982000, 0.7545090000, 1.1387571000, 1.8919106000, 4.4196242000", \
+                        "0.8276715000, 0.8673094000, 0.9974261000, 1.3445876000, 2.0826216000, 3.3911807000, 6.0222987000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0268448000, 0.0322538000, 0.0516046000, 0.1215733000, 0.3745710000, 1.2939059000, 4.6243156000", \
+                        "0.0268913000, 0.0322169000, 0.0517011000, 0.1216349000, 0.3754203000, 1.2980378000, 4.6213438000", \
+                        "0.0276080000, 0.0327333000, 0.0517416000, 0.1219997000, 0.3764117000, 1.2948591000, 4.6174491000", \
+                        "0.0403341000, 0.0457241000, 0.0642135000, 0.1265821000, 0.3774787000, 1.2940041000, 4.6285304000", \
+                        "0.0771126000, 0.0842205000, 0.1073327000, 0.1748126000, 0.3936099000, 1.2992331000, 4.6303961000", \
+                        "0.1722063000, 0.1838271000, 0.2197780000, 0.3103170000, 0.5450574000, 1.3356328000, 4.6218291000", \
+                        "0.4075041000, 0.4278813000, 0.4852205000, 0.6367106000, 0.9558511000, 1.7624463000, 4.7065929000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
+                    values("0.0402125000, 0.0459389000, 0.0663704000, 0.1409536000, 0.4113931000, 1.3932295000, 4.9356998000", \
+                        "0.0401338000, 0.0458544000, 0.0664893000, 0.1408252000, 0.4114157000, 1.3897816000, 4.9397522000", \
+                        "0.0399653000, 0.0455869000, 0.0662773000, 0.1409902000, 0.4104818000, 1.3917223000, 4.9395728000", \
+                        "0.0621277000, 0.0669865000, 0.0817843000, 0.1447182000, 0.4113581000, 1.3907212000, 4.9354623000", \
+                        "0.1219644000, 0.1318948000, 0.1574077000, 0.2192394000, 0.4287484000, 1.3917761000, 4.9405822000", \
+                        "0.2476510000, 0.2634528000, 0.3144799000, 0.4290192000, 0.6555083000, 1.4245470000, 4.9387969000", \
+                        "0.4847958000, 0.5164041000, 0.6175955000, 0.8474327000, 1.2687328000, 2.0132687000, 4.9769802000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand2_8 */
+
+/* removed sky130_fd_sc_hd__nand2b_1 */
+
+/* removed sky130_fd_sc_hd__nand2b_2 */
+
+/* removed sky130_fd_sc_hd__nand2b_4 */
+
+/* removed sky130_fd_sc_hd__nand3_1 */
+
+    cell ("sky130_fd_sc_hd__nand3_2") {
+        leakage_power () {
+            value : 0.1071492000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0491416000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 1.4386651000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0908168000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 1.1298025000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0893150000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 16.654315300;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.8705516000;
+            when : "A&B&!C";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__nand3";
+        cell_leakage_power : 2.5537200000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043750000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042940000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0074874000, 0.0074855000, 0.0074856000, 0.0074828000, 0.0074804000, 0.0074787000, 0.0074792000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005460700, -0.005464700, -0.005475300, -0.005457300, -0.005405600, -0.005259400, -0.004847200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044560000;
+        }
+        pin ("B") {
+            capacitance : 0.0045010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0067872000, 0.0067822000, 0.0067740000, 0.0067834000, 0.0068157000, 0.0069128000, 0.0071921000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006755700, -0.006749800, -0.006732000, -0.006731800, -0.006730800, -0.006727100, -0.006716100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046260000;
+        }
+        pin ("C") {
+            capacitance : 0.0045200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0067279000, 0.0067255000, 0.0067257000, 0.0067234000, 0.0067238000, 0.0067315000, 0.0067598000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006715700, -0.006717700, -0.006722400, -0.006722400, -0.006721800, -0.006719500, -0.006712500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047130000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0058621000, 0.0046077000, 0.0006985000, -0.011427700, -0.048682200, -0.162489100, -0.509810200", \
+                        "0.0054058000, 0.0042070000, 0.0004226000, -0.011559100, -0.048733800, -0.162490100, -0.509798500", \
+                        "0.0049105000, 0.0037336000, -1.04000e-05, -0.011843500, -0.048848800, -0.162541300, -0.509816900", \
+                        "0.0044274000, 0.0032206000, -0.000541800, -0.012353300, -0.049155300, -0.162675100, -0.509862200", \
+                        "0.0042915000, 0.0030115000, -0.000814400, -0.012912900, -0.049672600, -0.162999200, -0.509977000", \
+                        "0.0049964000, 0.0036397000, 0.0001009000, -0.012859000, -0.050172500, -0.163554700, -0.510394900", \
+                        "0.0075276000, 0.0060368000, 0.0016986000, -0.011176200, -0.049243000, -0.163464000, -0.510846300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0055375000, 0.0069637000, 0.0110992000, 0.0233181000, 0.0603456000, 0.1727954000, 0.5196006000", \
+                        "0.0052469000, 0.0067204000, 0.0109520000, 0.0231754000, 0.0604780000, 0.1718399000, 0.5166952000", \
+                        "0.0047900000, 0.0062035000, 0.0105444000, 0.0230800000, 0.0602639000, 0.1718302000, 0.5158080000", \
+                        "0.0044811000, 0.0058464000, 0.0100713000, 0.0223817000, 0.0598911000, 0.1730183000, 0.5199440000", \
+                        "0.0044667000, 0.0057734000, 0.0097998000, 0.0223546000, 0.0590920000, 0.1722801000, 0.5156148000", \
+                        "0.0053188000, 0.0066302000, 0.0098605000, 0.0217825000, 0.0591727000, 0.1717392000, 0.5156012000", \
+                        "0.0079440000, 0.0092565000, 0.0125842000, 0.0239236000, 0.0604424000, 0.1728415000, 0.5147768000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0073275000, 0.0060779000, 0.0021488000, -0.010017800, -0.047278800, -0.161087100, -0.508443400", \
+                        "0.0069923000, 0.0057689000, 0.0019509000, -0.010119500, -0.047319400, -0.161103900, -0.508410900", \
+                        "0.0064574000, 0.0052327000, 0.0014728000, -0.010403700, -0.047435900, -0.161183100, -0.508454700", \
+                        "0.0060095000, 0.0047509000, 0.0009539000, -0.010845100, -0.047709800, -0.161262300, -0.508466900", \
+                        "0.0058228000, 0.0045341000, 0.0006644000, -0.011293300, -0.048123900, -0.161476000, -0.508477100", \
+                        "0.0059570000, 0.0046292000, 0.0006595000, -0.011503600, -0.048640400, -0.161949700, -0.508806600", \
+                        "0.0074492000, 0.0060608000, 0.0018470000, -0.010639800, -0.048164700, -0.162146800, -0.509128800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0112124000, 0.0125538000, 0.0165774000, 0.0288010000, 0.0656512000, 0.1779384000, 0.5206245000", \
+                        "0.0109886000, 0.0123003000, 0.0163925000, 0.0287157000, 0.0656220000, 0.1779023000, 0.5206729000", \
+                        "0.0104969000, 0.0119059000, 0.0160388000, 0.0285023000, 0.0654781000, 0.1779034000, 0.5207020000", \
+                        "0.0100370000, 0.0113771000, 0.0155513000, 0.0278681000, 0.0647965000, 0.1771015000, 0.5214608000", \
+                        "0.0098347000, 0.0111424000, 0.0152184000, 0.0275123000, 0.0646678000, 0.1770853000, 0.5199648000", \
+                        "0.0100372000, 0.0113075000, 0.0152486000, 0.0272577000, 0.0647604000, 0.1762348000, 0.5187902000", \
+                        "0.0128410000, 0.0140394000, 0.0177088000, 0.0293452000, 0.0659070000, 0.1768698000, 0.5195557000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0071241000, 0.0058497000, 0.0019209000, -0.010235000, -0.047489400, -0.161321900, -0.508629000", \
+                        "0.0067873000, 0.0055482000, 0.0017313000, -0.010339800, -0.047539900, -0.161308800, -0.508614500", \
+                        "0.0062744000, 0.0050899000, 0.0012929000, -0.010581700, -0.047637600, -0.161350600, -0.508618800", \
+                        "0.0058271000, 0.0045862000, 0.0007825000, -0.011037200, -0.047939200, -0.161476000, -0.508645500", \
+                        "0.0057378000, 0.0044116000, 0.0005178000, -0.011464200, -0.048325200, -0.161653600, -0.508708500", \
+                        "0.0057744000, 0.0044510000, 0.0004921000, -0.011608100, -0.048804300, -0.162158800, -0.509005000", \
+                        "0.0070080000, 0.0056550000, 0.0014788000, -0.010944600, -0.048386800, -0.162334600, -0.509312000");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015256950, 0.0046554910, 0.0142057200, 0.0433471900, 0.1322692000, 0.4036049000");
+                    values("0.0146682000, 0.0159910000, 0.0200487000, 0.0323741000, 0.0695795000, 0.1818906000, 0.5248796000", \
+                        "0.0144494000, 0.0158012000, 0.0197918000, 0.0321809000, 0.0692727000, 0.1818093000, 0.5247510000", \
+                        "0.0141205000, 0.0153617000, 0.0195280000, 0.0318710000, 0.0689327000, 0.1819783000, 0.5246810000", \
+                        "0.0138705000, 0.0152168000, 0.0192595000, 0.0316765000, 0.0690085000, 0.1815609000, 0.5245938000", \
+                        "0.0139106000, 0.0151530000, 0.0191621000, 0.0314551000, 0.0686812000, 0.1809890000, 0.5240856000", \
+                        "0.0144328000, 0.0157493000, 0.0196480000, 0.0315324000, 0.0692606000, 0.1802083000, 0.5234807000", \
+                        "0.0179270000, 0.0189859000, 0.0228383000, 0.0344939000, 0.0707494000, 0.1824662000, 0.5223893000");
+                }
+            }
+            max_capacitance : 0.1171970000;
+            max_transition : 1.4961870000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0635303000, 0.0733268000, 0.1029243000, 0.1901710000, 0.4552845000, 1.2637190000, 3.7311381000", \
+                        "0.0671607000, 0.0774923000, 0.1075938000, 0.1958518000, 0.4616409000, 1.2765615000, 3.7469459000", \
+                        "0.0841455000, 0.0942349000, 0.1243115000, 0.2154351000, 0.4815696000, 1.2903967000, 3.7582772000", \
+                        "0.1337553000, 0.1469554000, 0.1798403000, 0.2691254000, 0.5388383000, 1.3466601000, 3.8123944000", \
+                        "0.2155020000, 0.2378229000, 0.2939967000, 0.4225859000, 0.6966631000, 1.5109619000, 3.9753960000", \
+                        "0.3318640000, 0.3721383000, 0.4738325000, 0.6966106000, 1.1214037000, 1.9693014000, 4.4342312000", \
+                        "0.4729844000, 0.5428961000, 0.7225364000, 1.1155874000, 1.8588033000, 3.1757719000, 5.7397140000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0420830000, 0.0482736000, 0.0660639000, 0.1192281000, 0.2789890000, 0.7774062000, 2.2583013000", \
+                        "0.0497317000, 0.0559830000, 0.0739705000, 0.1277892000, 0.2887126000, 0.7781028000, 2.2625852000", \
+                        "0.0731650000, 0.0791672000, 0.0981834000, 0.1518916000, 0.3125148000, 0.7995209000, 2.2890463000", \
+                        "0.1265945000, 0.1374062000, 0.1640757000, 0.2195744000, 0.3820654000, 0.8707478000, 2.3615447000", \
+                        "0.2166647000, 0.2379458000, 0.2894922000, 0.3950646000, 0.5805260000, 1.0652518000, 2.5457882000", \
+                        "0.3613146000, 0.4010458000, 0.4992903000, 0.7017318000, 1.0536569000, 1.6281239000, 3.1180942000", \
+                        "0.5846341000, 0.6570203000, 0.8333796000, 1.2116766000, 1.8906217000, 2.9760270000, 4.6917972000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0591907000, 0.0718159000, 0.1100645000, 0.2259331000, 0.5795368000, 1.6580166000, 4.9337174000", \
+                        "0.0587137000, 0.0716776000, 0.1100215000, 0.2256450000, 0.5782484000, 1.6586613000, 4.9324704000", \
+                        "0.0576632000, 0.0702476000, 0.1094563000, 0.2263235000, 0.5786018000, 1.6533014000, 4.9251145000", \
+                        "0.0777102000, 0.0890316000, 0.1196863000, 0.2264107000, 0.5788175000, 1.6572360000, 4.9254574000", \
+                        "0.1355681000, 0.1505008000, 0.1912791000, 0.2855825000, 0.5877083000, 1.6566896000, 4.9271507000", \
+                        "0.2594545000, 0.2851794000, 0.3496510000, 0.4873669000, 0.7811174000, 1.6798284000, 4.9289337000", \
+                        "0.5040542000, 0.5509398000, 0.6664100000, 0.9047562000, 1.3538923000, 2.2342335000, 5.0022160000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0339909000, 0.0418491000, 0.0658431000, 0.1396426000, 0.3666723000, 1.0572215000, 3.1613296000", \
+                        "0.0339423000, 0.0418203000, 0.0661893000, 0.1395874000, 0.3656755000, 1.0494763000, 3.1419451000", \
+                        "0.0364231000, 0.0432151000, 0.0660201000, 0.1400844000, 0.3651432000, 1.0504251000, 3.1444360000", \
+                        "0.0662505000, 0.0726717000, 0.0882406000, 0.1464404000, 0.3646677000, 1.0639538000, 3.1563434000", \
+                        "0.1344737000, 0.1453432000, 0.1729839000, 0.2351591000, 0.3907765000, 1.0580532000, 3.1364551000", \
+                        "0.2703479000, 0.2922688000, 0.3439920000, 0.4509662000, 0.6387770000, 1.1320829000, 3.1395841000", \
+                        "0.5326788000, 0.5757471000, 0.6785933000, 0.8915562000, 1.2472212000, 1.8286915000, 3.3430740000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0900651000, 0.1001258000, 0.1290118000, 0.2164436000, 0.4832599000, 1.2894420000, 3.7559884000", \
+                        "0.0955400000, 0.1054798000, 0.1356285000, 0.2231765000, 0.4902739000, 1.2981126000, 3.7620875000", \
+                        "0.1134467000, 0.1236727000, 0.1539341000, 0.2431634000, 0.5101777000, 1.3220911000, 3.7872407000", \
+                        "0.1619538000, 0.1738261000, 0.2055288000, 0.2955945000, 0.5636371000, 1.3729938000, 3.8405639000", \
+                        "0.2577040000, 0.2753643000, 0.3229144000, 0.4382107000, 0.7143156000, 1.5264078000, 3.9931827000", \
+                        "0.4024419000, 0.4372944000, 0.5263576000, 0.7209614000, 1.1070720000, 1.9583140000, 4.4279180000", \
+                        "0.5722753000, 0.6395027000, 0.8098126000, 1.1781842000, 1.8586491000, 3.0691978000, 5.6425691000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0591496000, 0.0654503000, 0.0837752000, 0.1382867000, 0.3019039000, 0.7982981000, 2.3141660000", \
+                        "0.0669551000, 0.0732049000, 0.0917256000, 0.1465658000, 0.3108359000, 0.8072010000, 2.3211477000", \
+                        "0.0901029000, 0.0964355000, 0.1151479000, 0.1704265000, 0.3345042000, 0.8302182000, 2.3460329000", \
+                        "0.1543588000, 0.1624795000, 0.1840731000, 0.2382175000, 0.4009918000, 0.8983299000, 2.4087770000", \
+                        "0.2732144000, 0.2890030000, 0.3300530000, 0.4208568000, 0.6016516000, 1.0982552000, 2.6042433000", \
+                        "0.4716977000, 0.5014881000, 0.5809246000, 0.7565492000, 1.0891231000, 1.6595776000, 3.1691865000", \
+                        "0.7835238000, 0.8408644000, 0.9851597000, 1.3201760000, 1.9570809000, 3.0122309000, 4.7537272000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0597023000, 0.0717608000, 0.1098991000, 0.2255700000, 0.5791180000, 1.6531613000, 4.9340501000", \
+                        "0.0593646000, 0.0718099000, 0.1104543000, 0.2262947000, 0.5799206000, 1.6556454000, 4.9442463000", \
+                        "0.0593684000, 0.0717196000, 0.1100472000, 0.2260320000, 0.5796663000, 1.6604566000, 4.9276214000", \
+                        "0.0697541000, 0.0805241000, 0.1150333000, 0.2269875000, 0.5785803000, 1.6581420000, 4.9254676000", \
+                        "0.1128015000, 0.1258122000, 0.1625235000, 0.2645375000, 0.5843217000, 1.6532936000, 4.9284874000", \
+                        "0.2258899000, 0.2458010000, 0.2970446000, 0.4212800000, 0.7198493000, 1.6802982000, 4.9493822000", \
+                        "0.4872208000, 0.5190501000, 0.6025839000, 0.7909435000, 1.1796967000, 2.0720574000, 4.9908362000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0468429000, 0.0548470000, 0.0792702000, 0.1543605000, 0.3828348000, 1.0803397000, 3.2042928000", \
+                        "0.0468424000, 0.0549412000, 0.0793814000, 0.1546509000, 0.3826159000, 1.0801043000, 3.2066638000", \
+                        "0.0465829000, 0.0546463000, 0.0793329000, 0.1544448000, 0.3827490000, 1.0803725000, 3.2032188000", \
+                        "0.0701861000, 0.0746067000, 0.0925830000, 0.1574474000, 0.3826923000, 1.0805546000, 3.2111855000", \
+                        "0.1359094000, 0.1466262000, 0.1735918000, 0.2323608000, 0.4056553000, 1.0803446000, 3.2060543000", \
+                        "0.2729150000, 0.2933972000, 0.3451757000, 0.4508686000, 0.6463459000, 1.1522562000, 3.2075933000", \
+                        "0.5384676000, 0.5770796000, 0.6842925000, 0.8935439000, 1.2565999000, 1.8515834000, 3.3915739000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0969668000, 0.1065898000, 0.1361736000, 0.2232220000, 0.4903184000, 1.2970541000, 3.7770782000", \
+                        "0.1035124000, 0.1136023000, 0.1433113000, 0.2311188000, 0.4986776000, 1.3044718000, 3.7768131000", \
+                        "0.1219222000, 0.1321486000, 0.1622266000, 0.2526022000, 0.5212208000, 1.3277858000, 3.8047938000", \
+                        "0.1692515000, 0.1799256000, 0.2105045000, 0.3005457000, 0.5711478000, 1.3780465000, 3.8444736000", \
+                        "0.2657523000, 0.2797886000, 0.3192639000, 0.4244058000, 0.6978859000, 1.5112925000, 3.9774171000", \
+                        "0.4343681000, 0.4606074000, 0.5290835000, 0.6870631000, 1.0333577000, 1.8745108000, 4.3551469000", \
+                        "0.6586249000, 0.7177403000, 0.8542240000, 1.1605319000, 1.7230564000, 2.8191274000, 5.3791007000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0601788000, 0.0663638000, 0.0841312000, 0.1356781000, 0.2871756000, 0.7427915000, 2.1269280000", \
+                        "0.0678806000, 0.0742370000, 0.0918110000, 0.1433661000, 0.2946161000, 0.7501531000, 2.1345128000", \
+                        "0.0914852000, 0.0970237000, 0.1149403000, 0.1665271000, 0.3181216000, 0.7732161000, 2.1572444000", \
+                        "0.1581221000, 0.1656197000, 0.1848276000, 0.2359954000, 0.3873502000, 0.8397619000, 2.2234727000", \
+                        "0.2816219000, 0.2949670000, 0.3310586000, 0.4149450000, 0.5827644000, 1.0370931000, 2.4142597000", \
+                        "0.4821923000, 0.5075806000, 0.5760194000, 0.7354564000, 1.0475245000, 1.5890671000, 2.9687441000", \
+                        "0.7806139000, 0.8233849000, 0.9507334000, 1.2522842000, 1.8496125000, 2.8708753000, 4.5270090000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0593315000, 0.0717836000, 0.1100485000, 0.2258088000, 0.5828513000, 1.6542475000, 4.9440501000", \
+                        "0.0593590000, 0.0718616000, 0.1101066000, 0.2256066000, 0.5808192000, 1.6575971000, 4.9302429000", \
+                        "0.0593705000, 0.0719874000, 0.1099034000, 0.2273406000, 0.5865014000, 1.6557820000, 4.9343378000", \
+                        "0.0649851000, 0.0761175000, 0.1125120000, 0.2268112000, 0.5803482000, 1.6536263000, 4.9258153000", \
+                        "0.0919029000, 0.1047952000, 0.1430088000, 0.2508072000, 0.5853138000, 1.6575442000, 4.9272244000", \
+                        "0.1791517000, 0.1954161000, 0.2397454000, 0.3578002000, 0.6821241000, 1.6776758000, 4.9366711000", \
+                        "0.4206246000, 0.4466399000, 0.5132813000, 0.6684134000, 1.0126903000, 1.9739270000, 4.9930597000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015257000, 0.0046554900, 0.0142057000, 0.0433472000, 0.1322690000, 0.4036050000");
+                    values("0.0536155000, 0.0610981000, 0.0837772000, 0.1526509000, 0.3634595000, 1.0064320000, 2.9667730000", \
+                        "0.0535546000, 0.0609965000, 0.0837950000, 0.1528253000, 0.3624945000, 1.0064527000, 2.9674592000", \
+                        "0.0523969000, 0.0599343000, 0.0831691000, 0.1525459000, 0.3631065000, 1.0068497000, 2.9673377000", \
+                        "0.0723573000, 0.0774783000, 0.0947176000, 0.1553968000, 0.3633032000, 1.0073499000, 2.9671865000", \
+                        "0.1371786000, 0.1477724000, 0.1734630000, 0.2298267000, 0.3901438000, 1.0062138000, 2.9674059000", \
+                        "0.2720133000, 0.2910103000, 0.3414675000, 0.4498782000, 0.6354113000, 1.0996627000, 2.9698357000", \
+                        "0.5349531000, 0.5753136000, 0.6722591000, 0.8755263000, 1.2275049000, 1.8104411000, 3.2194698000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand3_4") {
+        leakage_power () {
+            value : 0.2503676000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.1272809000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 2.8908780000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.2562349000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 2.7247280000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.2575107000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 21.305901000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 2.6169329000;
+            when : "A&B&!C";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__nand3";
+        cell_leakage_power : 3.8037290000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087470000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0085800000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0152062000, 0.0152015000, 0.0152023000, 0.0151924000, 0.0151784000, 0.0151525000, 0.0150935000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011512300, -0.011529200, -0.011575000, -0.011543300, -0.011452300, -0.011194400, -0.010466500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089150000;
+        }
+        pin ("B") {
+            capacitance : 0.0086520000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083680000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0131857000, 0.0131743000, 0.0131565000, 0.0131731000, 0.0132340000, 0.0134198000, 0.0139575000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013092100, -0.013088200, -0.013075400, -0.013075600, -0.013074700, -0.013070400, -0.013056900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089360000;
+        }
+        pin ("C") {
+            capacitance : 0.0088180000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084140000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130429000, 0.0130299000, 0.0130078000, 0.0130006000, 0.0129950000, 0.0129938000, 0.0130048000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013021300, -0.013015300, -0.012996700, -0.012993800, -0.012984300, -0.012956000, -0.012874400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092230000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0131761000, 0.0117720000, 0.0067054000, -0.010874600, -0.071535200, -0.278503500, -0.983477100", \
+                        "0.0122978000, 0.0109252000, 0.0060853000, -0.011219900, -0.071644300, -0.278533000, -0.983505000", \
+                        "0.0112287000, 0.0098843000, 0.0050442000, -0.011887700, -0.071896400, -0.278592500, -0.983486800", \
+                        "0.0102134000, 0.0088011000, 0.0039648000, -0.013214500, -0.072661500, -0.278881400, -0.983680500", \
+                        "0.0098438000, 0.0083606000, 0.0032946000, -0.014128800, -0.073924900, -0.279643000, -0.983852800", \
+                        "0.0114147000, 0.0098330000, 0.0043450000, -0.013667600, -0.074708000, -0.280678500, -0.984673300", \
+                        "0.0177668000, 0.0147743000, 0.0087968000, -0.009881100, -0.072473900, -0.280537400, -0.985316400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0108578000, 0.0125784000, 0.0181333000, 0.0361056000, 0.0959788000, 0.3019708000, 0.9971439000", \
+                        "0.0101896000, 0.0119705000, 0.0176906000, 0.0360177000, 0.0961093000, 0.3007828000, 1.0025452000", \
+                        "0.0092744000, 0.0109669000, 0.0167702000, 0.0353757000, 0.0964052000, 0.3015122000, 0.9999872000", \
+                        "0.0085416000, 0.0101779000, 0.0156760000, 0.0341862000, 0.0955663000, 0.3010424000, 0.9976229000", \
+                        "0.0088505000, 0.0103583000, 0.0154048000, 0.0334883000, 0.0937820000, 0.2999377000, 0.9981337000", \
+                        "0.0098874000, 0.0117729000, 0.0156558000, 0.0338030000, 0.0941783000, 0.2982439000, 0.9948047000", \
+                        "0.0154558000, 0.0167586000, 0.0212458000, 0.0376126000, 0.0965270000, 0.3014530000, 0.9972728000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0151984000, 0.0136872000, 0.0085198000, -0.009241100, -0.069930200, -0.277031700, -0.981709500", \
+                        "0.0145563000, 0.0131306000, 0.0080898000, -0.009468900, -0.070060700, -0.277005300, -0.982000400", \
+                        "0.0135529000, 0.0121288000, 0.0072510000, -0.010007800, -0.070293600, -0.277086000, -0.982028500", \
+                        "0.0126343000, 0.0111562000, 0.0061874000, -0.010945100, -0.070807300, -0.277287000, -0.982070400", \
+                        "0.0122603000, 0.0107686000, 0.0056626000, -0.011720500, -0.071595500, -0.277614800, -0.982068000", \
+                        "0.0124273000, 0.0109648000, 0.0057348000, -0.011945800, -0.072574000, -0.278563500, -0.982683600", \
+                        "0.0151681000, 0.0135307000, 0.0080000000, -0.010327600, -0.071572700, -0.278917300, -0.983198800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0215856000, 0.0231576000, 0.0284376000, 0.0463454000, 0.1067105000, 0.3108609000, 1.0073595000", \
+                        "0.0211215000, 0.0227115000, 0.0280861000, 0.0460618000, 0.1063848000, 0.3108288000, 1.0070628000", \
+                        "0.0203153000, 0.0218674000, 0.0273379000, 0.0456100000, 0.1061987000, 0.3104441000, 1.0072666000", \
+                        "0.0192718000, 0.0208901000, 0.0263840000, 0.0446482000, 0.1054853000, 0.3099347000, 1.0075670000", \
+                        "0.0189347000, 0.0204749000, 0.0257695000, 0.0439218000, 0.1042501000, 0.3089863000, 1.0059636000", \
+                        "0.0201066000, 0.0220016000, 0.0258188000, 0.0442563000, 0.1044336000, 0.3080750000, 1.0023806000", \
+                        "0.0239754000, 0.0253990000, 0.0301839000, 0.0473107000, 0.1058658000, 0.3103791000, 1.0039360000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0149314000, 0.0133939000, 0.0082287000, -0.009525500, -0.070270800, -0.277308000, -0.982247500", \
+                        "0.0143509000, 0.0128249000, 0.0078360000, -0.009766500, -0.070379100, -0.277339000, -0.982264800", \
+                        "0.0133040000, 0.0118906000, 0.0070238000, -0.010267300, -0.070597000, -0.277405700, -0.982321500", \
+                        "0.0124290000, 0.0109513000, 0.0059987000, -0.011171200, -0.071087100, -0.277598000, -0.982397700", \
+                        "0.0122283000, 0.0106431000, 0.0055053000, -0.011905000, -0.071833200, -0.277889000, -0.982272900", \
+                        "0.0120841000, 0.0105445000, 0.0053837000, -0.012245300, -0.072807800, -0.278886300, -0.983024800", \
+                        "0.0136839000, 0.0119988000, 0.0067640000, -0.011349500, -0.072276000, -0.279396000, -0.983609600");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
+                    values("0.0297095000, 0.0312462000, 0.0365339000, 0.0545485000, 0.1151322000, 0.3199312000, 1.0169634000", \
+                        "0.0292460000, 0.0307823000, 0.0360724000, 0.0541398000, 0.1149689000, 0.3194765000, 1.0156339000", \
+                        "0.0285381000, 0.0301284000, 0.0354710000, 0.0536717000, 0.1142875000, 0.3193128000, 1.0167151000", \
+                        "0.0277429000, 0.0294146000, 0.0346736000, 0.0529397000, 0.1139270000, 0.3196262000, 1.0163654000", \
+                        "0.0274372000, 0.0289946000, 0.0344803000, 0.0525933000, 0.1133020000, 0.3178576000, 1.0156605000", \
+                        "0.0282932000, 0.0296307000, 0.0347821000, 0.0521001000, 0.1137781000, 0.3174041000, 1.0133064000", \
+                        "0.0343225000, 0.0357888000, 0.0401805000, 0.0571491000, 0.1163423000, 0.3187937000, 1.0144089000");
+                }
+            }
+            max_capacitance : 0.2270610000;
+            max_transition : 1.4984580000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0652963000, 0.0716756000, 0.0915121000, 0.1578089000, 0.3816575000, 1.1360070000, 3.7101862000", \
+                        "0.0691789000, 0.0752993000, 0.0960405000, 0.1633535000, 0.3886083000, 1.1436320000, 3.7149310000", \
+                        "0.0857849000, 0.0920672000, 0.1125679000, 0.1806189000, 0.4059093000, 1.1620278000, 3.7420085000", \
+                        "0.1351617000, 0.1432808000, 0.1682967000, 0.2341031000, 0.4643178000, 1.2280208000, 3.8055048000", \
+                        "0.2161016000, 0.2302705000, 0.2726788000, 0.3803589000, 0.6237960000, 1.3826950000, 3.9712691000", \
+                        "0.3325162000, 0.3579975000, 0.4318281000, 0.6213229000, 1.0233187000, 1.8468103000, 4.4195492000", \
+                        "0.4725460000, 0.5162642000, 0.6418354000, 0.9758190000, 1.6831714000, 3.0193832000, 5.7334080000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0439360000, 0.0479426000, 0.0609785000, 0.1032309000, 0.2430213000, 0.7213107000, 2.3427151000", \
+                        "0.0512716000, 0.0553338000, 0.0684599000, 0.1111478000, 0.2522455000, 0.7330271000, 2.3665521000", \
+                        "0.0744222000, 0.0783281000, 0.0918803000, 0.1350045000, 0.2765452000, 0.7513661000, 2.3726454000", \
+                        "0.1276094000, 0.1348308000, 0.1548224000, 0.2032157000, 0.3448184000, 0.8178281000, 2.4556630000", \
+                        "0.2169307000, 0.2300517000, 0.2690931000, 0.3610507000, 0.5408536000, 1.0169693000, 2.6355385000", \
+                        "0.3614848000, 0.3856265000, 0.4585554000, 0.6353952000, 0.9801980000, 1.5744483000, 3.1859919000", \
+                        "0.5839971000, 0.6267182000, 0.7570757000, 1.0790764000, 1.7332664000, 2.8645606000, 4.7613815000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0591042000, 0.0669315000, 0.0926722000, 0.1802553000, 0.4779963000, 1.4904838000, 4.9371218000", \
+                        "0.0590299000, 0.0664805000, 0.0925669000, 0.1804803000, 0.4786121000, 1.4907142000, 4.9249360000", \
+                        "0.0579561000, 0.0654997000, 0.0921278000, 0.1805744000, 0.4789916000, 1.4933120000, 4.9301039000", \
+                        "0.0784622000, 0.0856676000, 0.1057995000, 0.1825440000, 0.4803693000, 1.4936169000, 4.9423838000", \
+                        "0.1356954000, 0.1449723000, 0.1733643000, 0.2515765000, 0.4938904000, 1.4939235000, 4.9429092000", \
+                        "0.2597379000, 0.2749958000, 0.3227313000, 0.4401567000, 0.7074278000, 1.5345644000, 4.9286264000", \
+                        "0.5019073000, 0.5345894000, 0.6181091000, 0.8259325000, 1.2478966000, 2.1308055000, 5.0065312000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0350176000, 0.0401595000, 0.0570602000, 0.1145495000, 0.3102894000, 0.9870303000, 3.2642173000", \
+                        "0.0350622000, 0.0402219000, 0.0570325000, 0.1150052000, 0.3115639000, 0.9871912000, 3.2615906000", \
+                        "0.0371804000, 0.0414206000, 0.0570424000, 0.1148879000, 0.3139544000, 0.9791786000, 3.2514285000", \
+                        "0.0671801000, 0.0709142000, 0.0822889000, 0.1238599000, 0.3121330000, 0.9846325000, 3.2661658000", \
+                        "0.1345031000, 0.1423000000, 0.1621772000, 0.2116871000, 0.3482527000, 0.9824302000, 3.2539129000", \
+                        "0.2691414000, 0.2841221000, 0.3228170000, 0.4175861000, 0.6026381000, 1.0742247000, 3.2637621000", \
+                        "0.5351905000, 0.5582558000, 0.6373250000, 0.8217798000, 1.1816014000, 1.7809760000, 3.4396153000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0877901000, 0.0937236000, 0.1134927000, 0.1791156000, 0.4033537000, 1.1569350000, 3.7293391000", \
+                        "0.0931172000, 0.0992981000, 0.1191733000, 0.1857525000, 0.4084815000, 1.1703647000, 3.7372243000", \
+                        "0.1102701000, 0.1166417000, 0.1374949000, 0.2047447000, 0.4302744000, 1.1857775000, 3.7571524000", \
+                        "0.1552545000, 0.1622139000, 0.1852079000, 0.2540614000, 0.4792806000, 1.2376065000, 3.8123464000", \
+                        "0.2431891000, 0.2541312000, 0.2879114000, 0.3808002000, 0.6220035000, 1.3841330000, 3.9560346000", \
+                        "0.3717028000, 0.3924422000, 0.4559171000, 0.6180412000, 0.9702598000, 1.7907210000, 4.3672137000", \
+                        "0.4905896000, 0.5321391000, 0.6550615000, 0.9651208000, 1.6042772000, 2.8036171000, 5.5211187000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0650516000, 0.0691151000, 0.0831336000, 0.1293227000, 0.2832951000, 0.8040923000, 2.5731828000", \
+                        "0.0726526000, 0.0769887000, 0.0909822000, 0.1375010000, 0.2917690000, 0.8118786000, 2.5804179000", \
+                        "0.0959962000, 0.1001438000, 0.1144510000, 0.1614043000, 0.3160802000, 0.8370602000, 2.6041682000", \
+                        "0.1622780000, 0.1676388000, 0.1836851000, 0.2306451000, 0.3856072000, 0.9059341000, 2.6731284000", \
+                        "0.2900515000, 0.3001314000, 0.3308556000, 0.4106913000, 0.5846681000, 1.1052107000, 2.8738349000", \
+                        "0.5139552000, 0.5312219000, 0.5902305000, 0.7407345000, 1.0647218000, 1.6694144000, 3.4202443000", \
+                        "0.8901433000, 0.9244043000, 1.0335065000, 1.3191307000, 1.9371913000, 3.0541218000, 5.0298210000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0594655000, 0.0670519000, 0.0926903000, 0.1800540000, 0.4811497000, 1.4887153000, 4.9255231000", \
+                        "0.0594760000, 0.0670289000, 0.0926617000, 0.1802382000, 0.4776018000, 1.4941256000, 4.9297073000", \
+                        "0.0594964000, 0.0670816000, 0.0927723000, 0.1805594000, 0.4782205000, 1.4903597000, 4.9240669000", \
+                        "0.0704915000, 0.0770668000, 0.0996564000, 0.1823830000, 0.4778263000, 1.4896666000, 4.9281406000", \
+                        "0.1114816000, 0.1193374000, 0.1452274000, 0.2244581000, 0.4899194000, 1.4923953000, 4.9251638000", \
+                        "0.2231305000, 0.2339826000, 0.2713197000, 0.3710008000, 0.6320996000, 1.5221607000, 4.9522009000", \
+                        "0.4825358000, 0.5022121000, 0.5598371000, 0.7152947000, 1.0632561000, 1.9300899000, 4.9919797000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0515621000, 0.0569288000, 0.0756505000, 0.1391056000, 0.3547294000, 1.0868155000, 3.5797560000", \
+                        "0.0513629000, 0.0568894000, 0.0755708000, 0.1387453000, 0.3541328000, 1.0877184000, 3.5875238000", \
+                        "0.0512614000, 0.0567560000, 0.0753875000, 0.1385673000, 0.3544296000, 1.0864984000, 3.5778491000", \
+                        "0.0709672000, 0.0747042000, 0.0884741000, 0.1425912000, 0.3545052000, 1.0870421000, 3.5803376000", \
+                        "0.1384067000, 0.1454471000, 0.1658144000, 0.2181973000, 0.3790645000, 1.0861152000, 3.5843584000", \
+                        "0.2759880000, 0.2907813000, 0.3281054000, 0.4242738000, 0.6150258000, 1.1568035000, 3.5838119000", \
+                        "0.5466627000, 0.5726063000, 0.6521518000, 0.8406681000, 1.1981881000, 1.8291035000, 3.7204517000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0969091000, 0.1027835000, 0.1222323000, 0.1879627000, 0.4103397000, 1.1741026000, 3.7412260000", \
+                        "0.1034084000, 0.1092552000, 0.1293430000, 0.1958023000, 0.4184325000, 1.1740491000, 3.7468872000", \
+                        "0.1214430000, 0.1275302000, 0.1483182000, 0.2155419000, 0.4408159000, 1.1993757000, 3.7675321000", \
+                        "0.1659409000, 0.1725483000, 0.1940446000, 0.2621559000, 0.4869537000, 1.2450818000, 3.8197556000", \
+                        "0.2532640000, 0.2619188000, 0.2892482000, 0.3721801000, 0.6080977000, 1.3699252000, 3.9408032000", \
+                        "0.3950298000, 0.4111013000, 0.4599956000, 0.5893969000, 0.9009368000, 1.7053962000, 4.2824584000", \
+                        "0.5338117000, 0.5738661000, 0.6706135000, 0.9288627000, 1.4624274000, 2.5455982000, 5.2439124000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0730487000, 0.0775191000, 0.0921968000, 0.1386997000, 0.2905508000, 0.7974188000, 2.5161058000", \
+                        "0.0808211000, 0.0852494000, 0.1000204000, 0.1466291000, 0.2983395000, 0.8056713000, 2.5225693000", \
+                        "0.1042975000, 0.1087413000, 0.1235309000, 0.1704342000, 0.3220237000, 0.8287457000, 2.5477425000", \
+                        "0.1750614000, 0.1792952000, 0.1942307000, 0.2406846000, 0.3928780000, 0.8991965000, 2.6170719000", \
+                        "0.3210712000, 0.3296913000, 0.3559785000, 0.4277310000, 0.5930335000, 1.0991851000, 2.8169671000", \
+                        "0.5807586000, 0.5951535000, 0.6470198000, 0.7824619000, 1.0846042000, 1.6668319000, 3.3752976000", \
+                        "1.0256482000, 1.0548231000, 1.1433720000, 1.4023257000, 1.9795063000, 3.0490377000, 4.9792566000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0594370000, 0.0669753000, 0.0926669000, 0.1802352000, 0.4770418000, 1.5037437000, 4.9315636000", \
+                        "0.0594779000, 0.0667154000, 0.0926451000, 0.1799543000, 0.4779199000, 1.4899890000, 4.9302877000", \
+                        "0.0593190000, 0.0667494000, 0.0927081000, 0.1800132000, 0.4780504000, 1.4941237000, 4.9259326000", \
+                        "0.0653973000, 0.0721353000, 0.0963080000, 0.1810930000, 0.4782891000, 1.4907237000, 4.9312492000", \
+                        "0.0912909000, 0.0992409000, 0.1251608000, 0.2096215000, 0.4867686000, 1.4920125000, 4.9270192000", \
+                        "0.1778283000, 0.1879113000, 0.2189298000, 0.3106319000, 0.5920259000, 1.5201248000, 4.9290190000", \
+                        "0.4183647000, 0.4343302000, 0.4822483000, 0.6104158000, 0.9231053000, 1.8371441000, 5.0023292000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
+                    values("0.0639066000, 0.0693324000, 0.0877809000, 0.1495020000, 0.3597290000, 1.0787461000, 3.5226311000", \
+                        "0.0639302000, 0.0693510000, 0.0875503000, 0.1494661000, 0.3605950000, 1.0785649000, 3.5275873000", \
+                        "0.0630017000, 0.0686339000, 0.0871475000, 0.1493771000, 0.3599896000, 1.0788898000, 3.5236696000", \
+                        "0.0753924000, 0.0799161000, 0.0950300000, 0.1507713000, 0.3602069000, 1.0785415000, 3.5230687000", \
+                        "0.1416454000, 0.1481299000, 0.1685759000, 0.2215222000, 0.3821395000, 1.0777190000, 3.5209142000", \
+                        "0.2798371000, 0.2947467000, 0.3311880000, 0.4215542000, 0.6132145000, 1.1457422000, 3.5219723000", \
+                        "0.5563592000, 0.5809077000, 0.6548272000, 0.8352571000, 1.1855785000, 1.8143800000, 3.6672965000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand3b_1 */
+
+/* removed sky130_fd_sc_hd__nand3b_2 */
+
+/* removed sky130_fd_sc_hd__nand3b_4 */
+
+/* removed sky130_fd_sc_hd__nand4_1 */
+
+    cell ("sky130_fd_sc_hd__nand4_2") {
+        leakage_power () {
+            value : 0.0567070000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0404132000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.1115184000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0576345000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.1125923000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0590615000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.5480580000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.1153817000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.1096880000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0587749000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.1634869000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.1124152000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 1.0993306000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.1120240000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 10.582112100;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 1.0636307000;
+            when : "A&B&C&!D";
+        }
+        area : 12.512000000;
+        cell_footprint : "sky130_fd_sc_hd__nand4";
+        cell_leakage_power : 1.0251770000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043440000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042720000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075046000, 0.0075074000, 0.0075189000, 0.0075171000, 0.0075163000, 0.0075176000, 0.0075252000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005301800, -0.005305400, -0.005314500, -0.005295500, -0.005241400, -0.005088800, -0.004657800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044170000;
+        }
+        pin ("B") {
+            capacitance : 0.0044190000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043120000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066650000, 0.0066633000, 0.0066631000, 0.0066729000, 0.0067050000, 0.0067998000, 0.0070712000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006668500, -0.006659500, -0.006633400, -0.006634100, -0.006635800, -0.006639900, -0.006651100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045260000;
+        }
+        pin ("C") {
+            capacitance : 0.0043400000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0064624000, 0.0064613000, 0.0064626000, 0.0064611000, 0.0064610000, 0.0064653000, 0.0064818000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006480400, -0.006475000, -0.006459000, -0.006458800, -0.006457800, -0.006454500, -0.006444500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044890000;
+        }
+        pin ("D") {
+            capacitance : 0.0044590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065325000, 0.0065286000, 0.0065224000, 0.0065217000, 0.0065245000, 0.0065367000, 0.0065757000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006531600, -0.006529300, -0.006522100, -0.006522100, -0.006521400, -0.006519100, -0.006511700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046570000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C) | (!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0075586000, 0.0063714000, 0.0028629000, -0.007453400, -0.037774400, -0.126238900, -0.384187300", \
+                        "0.0072530000, 0.0060459000, 0.0026529000, -0.007545900, -0.037836000, -0.126251200, -0.384139200", \
+                        "0.0067705000, 0.0055872000, 0.0022461000, -0.007848100, -0.037954200, -0.126270500, -0.384164700", \
+                        "0.0062601000, 0.0051086000, 0.0016720000, -0.008405400, -0.038284200, -0.126479500, -0.384228500", \
+                        "0.0059499000, 0.0047607000, 0.0012680000, -0.008934800, -0.038836300, -0.126836000, -0.384368200", \
+                        "0.0064264000, 0.0052359000, 0.0015955000, -0.009075200, -0.039297100, -0.127399700, -0.384843500", \
+                        "0.0082937000, 0.0069043000, 0.0031738000, -0.007704100, -0.038599400, -0.127514200, -0.385222100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0063082000, 0.0076104000, 0.0112762000, 0.0216428000, 0.0514483000, 0.1384208000, 0.3946876000", \
+                        "0.0060730000, 0.0073876000, 0.0111417000, 0.0216389000, 0.0518673000, 0.1394375000, 0.3934339000", \
+                        "0.0056849000, 0.0069897000, 0.0107560000, 0.0214673000, 0.0514515000, 0.1384593000, 0.3949807000", \
+                        "0.0053152000, 0.0065620000, 0.0102874000, 0.0209685000, 0.0514030000, 0.1395631000, 0.3932944000", \
+                        "0.0052505000, 0.0064426000, 0.0100310000, 0.0205303000, 0.0506395000, 0.1391472000, 0.3963556000", \
+                        "0.0053668000, 0.0065391000, 0.0100626000, 0.0204379000, 0.0507558000, 0.1383462000, 0.3924863000", \
+                        "0.0083083000, 0.0094152000, 0.0120026000, 0.0218546000, 0.0515449000, 0.1389729000, 0.3945524000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0092185000, 0.0080165000, 0.0044812000, -0.005869000, -0.036195000, -0.124652700, -0.382402600", \
+                        "0.0089685000, 0.0077909000, 0.0043281000, -0.005982300, -0.036250400, -0.124679100, -0.382584400", \
+                        "0.0085126000, 0.0073333000, 0.0039466000, -0.006232700, -0.036375100, -0.124750100, -0.382633500", \
+                        "0.0080034000, 0.0068484000, 0.0034474000, -0.006638100, -0.036622100, -0.124837200, -0.382640900", \
+                        "0.0077938000, 0.0065873000, 0.0031319000, -0.007055200, -0.037014700, -0.125082300, -0.382703300", \
+                        "0.0077508000, 0.0065404000, 0.0030177000, -0.007451900, -0.037521200, -0.125538300, -0.383009000", \
+                        "0.0084127000, 0.0071796000, 0.0035576000, -0.006914400, -0.037311900, -0.125843500, -0.383371000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0121920000, 0.0134209000, 0.0170118000, 0.0274337000, 0.0573995000, 0.1445907000, 0.3993417000", \
+                        "0.0120736000, 0.0133198000, 0.0169155000, 0.0273579000, 0.0574419000, 0.1447965000, 0.3992120000", \
+                        "0.0116602000, 0.0129746000, 0.0166487000, 0.0272101000, 0.0573613000, 0.1446820000, 0.3995447000", \
+                        "0.0110611000, 0.0123490000, 0.0160736000, 0.0267687000, 0.0568119000, 0.1442263000, 0.3995071000", \
+                        "0.0107362000, 0.0119352000, 0.0156280000, 0.0261685000, 0.0565114000, 0.1441602000, 0.3980427000", \
+                        "0.0108403000, 0.0119692000, 0.0153821000, 0.0261783000, 0.0561165000, 0.1432474000, 0.3976858000", \
+                        "0.0123051000, 0.0135072000, 0.0168107000, 0.0268439000, 0.0564151000, 0.1441672000, 0.3972723000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0090610000, 0.0078697000, 0.0043294000, -0.006033900, -0.036373000, -0.124834400, -0.382757000", \
+                        "0.0088126000, 0.0076900000, 0.0041724000, -0.006152700, -0.036414700, -0.124844700, -0.382847600", \
+                        "0.0083707000, 0.0072315000, 0.0038363000, -0.006348700, -0.036522200, -0.124899700, -0.382772000", \
+                        "0.0078842000, 0.0067407000, 0.0033373000, -0.006785500, -0.036745300, -0.124991200, -0.382803500", \
+                        "0.0076782000, 0.0064850000, 0.0030126000, -0.007189000, -0.037149000, -0.125187100, -0.382915300", \
+                        "0.0075911000, 0.0063495000, 0.0028682000, -0.007598100, -0.037649500, -0.125713100, -0.383189800", \
+                        "0.0080618000, 0.0067893000, 0.0031710000, -0.007275600, -0.037580200, -0.126021500, -0.383548500");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0158773000, 0.0171118000, 0.0207002000, 0.0311526000, 0.0613730000, 0.1489467000, 0.4036522000", \
+                        "0.0157220000, 0.0169754000, 0.0205900000, 0.0311478000, 0.0613176000, 0.1487357000, 0.4035805000", \
+                        "0.0154478000, 0.0167044000, 0.0203781000, 0.0309249000, 0.0611913000, 0.1485819000, 0.4034633000", \
+                        "0.0149723000, 0.0161996000, 0.0198894000, 0.0305424000, 0.0605481000, 0.1482302000, 0.4034321000", \
+                        "0.0146412000, 0.0158771000, 0.0195673000, 0.0301068000, 0.0604219000, 0.1480232000, 0.4017984000", \
+                        "0.0148091000, 0.0164991000, 0.0199062000, 0.0303960000, 0.0603601000, 0.1475662000, 0.4017637000", \
+                        "0.0168930000, 0.0180734000, 0.0215148000, 0.0315329000, 0.0613224000, 0.1487348000, 0.4020144000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0089786000, 0.0077760000, 0.0042650000, -0.006140400, -0.036453000, -0.124919100, -0.382889700", \
+                        "0.0087297000, 0.0075409000, 0.0040844000, -0.006207600, -0.036502700, -0.124938400, -0.382857400", \
+                        "0.0082583000, 0.0071134000, 0.0037110000, -0.006463500, -0.036610200, -0.124969500, -0.382862100", \
+                        "0.0077948000, 0.0066275000, 0.0032226000, -0.006891700, -0.036841400, -0.125097100, -0.382879400", \
+                        "0.0075658000, 0.0063991000, 0.0029196000, -0.007294800, -0.037242500, -0.125304200, -0.382920700", \
+                        "0.0075059000, 0.0063132000, 0.0027923000, -0.007653400, -0.037777700, -0.125830000, -0.383274400", \
+                        "0.0080238000, 0.0067872000, 0.0031573000, -0.007309700, -0.037596100, -0.126090000, -0.383656000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483810, 0.0123836900, 0.0360974700, 0.1052213000, 0.3067116000");
+                    values("0.0198262000, 0.0210342000, 0.0246372000, 0.0351449000, 0.0657259000, 0.1536729000, 0.4083706000", \
+                        "0.0196229000, 0.0208650000, 0.0244195000, 0.0348967000, 0.0654411000, 0.1531541000, 0.4083901000", \
+                        "0.0192822000, 0.0205084000, 0.0240903000, 0.0345395000, 0.0650728000, 0.1530023000, 0.4079624000", \
+                        "0.0190276000, 0.0202378000, 0.0238281000, 0.0344384000, 0.0650510000, 0.1530295000, 0.4078870000", \
+                        "0.0187487000, 0.0199964000, 0.0236352000, 0.0343146000, 0.0646837000, 0.1525923000, 0.4071209000", \
+                        "0.0191942000, 0.0203457000, 0.0237978000, 0.0342787000, 0.0651645000, 0.1521391000, 0.4067183000", \
+                        "0.0221592000, 0.0234049000, 0.0267358000, 0.0367444000, 0.0661342000, 0.1529362000, 0.4072174000");
+                }
+            }
+            max_capacitance : 0.0869850000;
+            max_transition : 1.4850320000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0861512000, 0.0977171000, 0.1317318000, 0.2317676000, 0.5125683000, 1.3357441000, 3.7337555000", \
+                        "0.0894369000, 0.1014102000, 0.1360320000, 0.2347268000, 0.5182668000, 1.3479433000, 3.7416359000", \
+                        "0.1045855000, 0.1162163000, 0.1512574000, 0.2517959000, 0.5367809000, 1.3609119000, 3.7628003000", \
+                        "0.1582741000, 0.1702437000, 0.2029142000, 0.3009939000, 0.5874346000, 1.4178405000, 3.8155079000", \
+                        "0.2547959000, 0.2761434000, 0.3302703000, 0.4536657000, 0.7407706000, 1.5672481000, 3.9683909000", \
+                        "0.3924568000, 0.4305264000, 0.5259780000, 0.7363471000, 1.1525282000, 2.0024635000, 4.4051722000", \
+                        "0.5502285000, 0.6152596000, 0.7823794000, 1.1536418000, 1.8654451000, 3.1510075000, 5.6343326000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0508229000, 0.0568374000, 0.0740374000, 0.1224517000, 0.2633520000, 0.6717260000, 1.8581712000", \
+                        "0.0586717000, 0.0646769000, 0.0824207000, 0.1310459000, 0.2720754000, 0.6798181000, 1.8675530000", \
+                        "0.0825840000, 0.0887348000, 0.1059033000, 0.1560605000, 0.2962712000, 0.7054366000, 1.8982136000", \
+                        "0.1437959000, 0.1528460000, 0.1759462000, 0.2265300000, 0.3679657000, 0.7771697000, 1.9605622000", \
+                        "0.2534481000, 0.2717515000, 0.3149073000, 0.4046030000, 0.5668830000, 0.9766690000, 2.1641460000", \
+                        "0.4413360000, 0.4756504000, 0.5593824000, 0.7358638000, 1.0489274000, 1.5477685000, 2.7293741000", \
+                        "0.7535446000, 0.8158215000, 0.9683676000, 1.3045114000, 1.9084767000, 2.8696534000, 4.3493085000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0966945000, 0.1118607000, 0.1572539000, 0.2878059000, 0.6597854000, 1.7479929000, 4.9119140000", \
+                        "0.0962299000, 0.1117256000, 0.1571169000, 0.2866201000, 0.6600791000, 1.7517449000, 4.9129953000", \
+                        "0.0935032000, 0.1094109000, 0.1561111000, 0.2860365000, 0.6603814000, 1.7448490000, 4.9165019000", \
+                        "0.1051193000, 0.1178905000, 0.1576265000, 0.2836277000, 0.6614241000, 1.7525789000, 4.9198121000", \
+                        "0.1649894000, 0.1803166000, 0.2228476000, 0.3303256000, 0.6643385000, 1.7496082000, 4.9111830000", \
+                        "0.3073006000, 0.3347611000, 0.3965958000, 0.5317969000, 0.8370387000, 1.7748635000, 4.9207087000", \
+                        "0.5839718000, 0.6306005000, 0.7371412000, 0.9638713000, 1.4151371000, 2.3035025000, 4.9946718000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0445331000, 0.0524223000, 0.0753247000, 0.1426577000, 0.3390012000, 0.9097372000, 2.5738356000", \
+                        "0.0444168000, 0.0523611000, 0.0756615000, 0.1427782000, 0.3387920000, 0.9110077000, 2.5775528000", \
+                        "0.0450867000, 0.0526131000, 0.0754307000, 0.1427762000, 0.3391109000, 0.9097947000, 2.5788121000", \
+                        "0.0733129000, 0.0786767000, 0.0927091000, 0.1471253000, 0.3390577000, 0.9137852000, 2.5730498000", \
+                        "0.1473460000, 0.1576431000, 0.1800982000, 0.2347194000, 0.3684289000, 0.9117612000, 2.5836007000", \
+                        "0.2986003000, 0.3152438000, 0.3586834000, 0.4491320000, 0.6142449000, 1.0051314000, 2.5775830000", \
+                        "0.5998153000, 0.6297930000, 0.7287508000, 0.8976958000, 1.2073392000, 1.7088330000, 2.8500833000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.1287076000, 0.1404511000, 0.1740655000, 0.2715549000, 0.5543281000, 1.3821252000, 3.7767500000", \
+                        "0.1334234000, 0.1452778000, 0.1795123000, 0.2777649000, 0.5609976000, 1.3863806000, 3.7829571000", \
+                        "0.1500398000, 0.1620295000, 0.1971758000, 0.2964355000, 0.5807593000, 1.4044580000, 3.8104999000", \
+                        "0.1990378000, 0.2113376000, 0.2466407000, 0.3472531000, 0.6330765000, 1.4577888000, 3.8599186000", \
+                        "0.3078793000, 0.3253863000, 0.3726947000, 0.4895579000, 0.7790986000, 1.6108811000, 4.0230148000", \
+                        "0.4806109000, 0.5133211000, 0.5960462000, 0.7856834000, 1.1755816000, 2.0264141000, 4.4308379000", \
+                        "0.6758513000, 0.7359691000, 0.8960689000, 1.2505139000, 1.9178250000, 3.1202976000, 5.6190941000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0743558000, 0.0809185000, 0.0995048000, 0.1528540000, 0.3056481000, 0.7498733000, 2.0398754000", \
+                        "0.0827666000, 0.0894227000, 0.1079060000, 0.1614393000, 0.3148128000, 0.7578281000, 2.0475748000", \
+                        "0.1065988000, 0.1133021000, 0.1322649000, 0.1863113000, 0.3396647000, 0.7827303000, 2.0718263000", \
+                        "0.1769696000, 0.1841016000, 0.2034837000, 0.2576187000, 0.4083248000, 0.8532025000, 2.1414182000", \
+                        "0.3217141000, 0.3370380000, 0.3719845000, 0.4525705000, 0.6153209000, 1.0584487000, 2.3306670000", \
+                        "0.5883893000, 0.6133676000, 0.6823452000, 0.8363314000, 1.1290210000, 1.6363152000, 2.9176295000", \
+                        "1.0511033000, 1.1014574000, 1.2314888000, 1.5289771000, 2.0956858000, 3.0470038000, 4.5582058000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0974261000, 0.1129474000, 0.1579288000, 0.2858435000, 0.6590119000, 1.7524289000, 4.9143463000", \
+                        "0.0975033000, 0.1126396000, 0.1571239000, 0.2860599000, 0.6594076000, 1.7543347000, 4.9178506000", \
+                        "0.0978118000, 0.1126759000, 0.1572435000, 0.2862054000, 0.6597138000, 1.7501108000, 4.9223177000", \
+                        "0.1024862000, 0.1167806000, 0.1589496000, 0.2872103000, 0.6619079000, 1.7481698000, 4.9152331000", \
+                        "0.1463145000, 0.1608060000, 0.2024716000, 0.3124235000, 0.6635725000, 1.7523761000, 4.9290594000", \
+                        "0.2720164000, 0.2926114000, 0.3442754000, 0.4736284000, 0.7973557000, 1.7665586000, 4.9287294000", \
+                        "0.5635580000, 0.5955774000, 0.6794293000, 0.8706173000, 1.2667062000, 2.1618203000, 4.9930415000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0625325000, 0.0709320000, 0.0958870000, 0.1684655000, 0.3796347000, 0.9952036000, 2.7813105000", \
+                        "0.0624291000, 0.0711165000, 0.0959484000, 0.1682609000, 0.3800780000, 0.9951123000, 2.7859557000", \
+                        "0.0624739000, 0.0709288000, 0.0960059000, 0.1683657000, 0.3795472000, 0.9945349000, 2.7887417000", \
+                        "0.0758549000, 0.0822823000, 0.1026505000, 0.1694726000, 0.3797203000, 0.9952844000, 2.7885489000", \
+                        "0.1495567000, 0.1582320000, 0.1812780000, 0.2317769000, 0.3962957000, 0.9950873000, 2.7889484000", \
+                        "0.3020174000, 0.3210922000, 0.3648874000, 0.4557910000, 0.6227849000, 1.0672075000, 2.7889175000", \
+                        "0.6167247000, 0.6524101000, 0.7368451000, 0.9171179000, 1.2191385000, 1.7463779000, 3.0079328000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.1466403000, 0.1588295000, 0.1921440000, 0.2895392000, 0.5722801000, 1.3962892000, 3.7939092000", \
+                        "0.1520841000, 0.1645293000, 0.1983491000, 0.2964051000, 0.5834618000, 1.4060950000, 3.8027603000", \
+                        "0.1696497000, 0.1820731000, 0.2170056000, 0.3169583000, 0.6030022000, 1.4325263000, 3.8234749000", \
+                        "0.2157825000, 0.2279253000, 0.2628932000, 0.3627768000, 0.6484918000, 1.4819909000, 3.8757850000", \
+                        "0.3179597000, 0.3329732000, 0.3750068000, 0.4858455000, 0.7747392000, 1.6014097000, 4.0026227000", \
+                        "0.4995899000, 0.5267790000, 0.5927771000, 0.7518670000, 1.1085654000, 1.9591178000, 4.3618011000", \
+                        "0.7171176000, 0.7678206000, 0.9027930000, 1.2023719000, 1.7800719000, 2.8864973000, 5.3668447000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0840129000, 0.0908974000, 0.1100857000, 0.1642012000, 0.3173155000, 0.7573739000, 2.0349353000", \
+                        "0.0922019000, 0.0989763000, 0.1185684000, 0.1726123000, 0.3257533000, 0.7665208000, 2.0417101000", \
+                        "0.1164714000, 0.1234693000, 0.1429169000, 0.1972377000, 0.3506904000, 0.7904200000, 2.0658411000", \
+                        "0.1881904000, 0.1949434000, 0.2141307000, 0.2686881000, 0.4191363000, 0.8586026000, 2.1351663000", \
+                        "0.3497372000, 0.3620226000, 0.3936849000, 0.4673564000, 0.6257467000, 1.0628738000, 2.3283091000", \
+                        "0.6417583000, 0.6655406000, 0.7265483000, 0.8651191000, 1.1466738000, 1.6424057000, 2.9117198000", \
+                        "1.1618734000, 1.2058986000, 1.3214441000, 1.5911124000, 2.1312847000, 3.0559149000, 4.5489745000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0982342000, 0.1131579000, 0.1571000000, 0.2858292000, 0.6606976000, 1.7483740000, 4.9130104000", \
+                        "0.0974645000, 0.1131263000, 0.1571495000, 0.2864383000, 0.6624399000, 1.7513587000, 4.9121711000", \
+                        "0.0976854000, 0.1131300000, 0.1573497000, 0.2879592000, 0.6618808000, 1.7551499000, 4.9137800000", \
+                        "0.1005257000, 0.1150826000, 0.1580049000, 0.2860158000, 0.6588234000, 1.7532390000, 4.9204205000", \
+                        "0.1300939000, 0.1448595000, 0.1876767000, 0.3043091000, 0.6630687000, 1.7502164000, 4.9342530000", \
+                        "0.2288227000, 0.2466039000, 0.2940448000, 0.4191799000, 0.7557607000, 1.7719128000, 4.9119580000", \
+                        "0.4966908000, 0.5236764000, 0.5952134000, 0.7633011000, 1.1209024000, 2.0820869000, 4.9980202000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0741716000, 0.0831397000, 0.1075342000, 0.1793831000, 0.3886690000, 0.9995698000, 2.7777047000", \
+                        "0.0745317000, 0.0828855000, 0.1077956000, 0.1797616000, 0.3889831000, 0.9992857000, 2.7758806000", \
+                        "0.0739650000, 0.0825350000, 0.1075524000, 0.1795987000, 0.3889545000, 0.9992185000, 2.7785478000", \
+                        "0.0822766000, 0.0893552000, 0.1114976000, 0.1794720000, 0.3892706000, 1.0005432000, 2.7793692000", \
+                        "0.1512322000, 0.1595526000, 0.1821385000, 0.2339541000, 0.4048325000, 1.0005915000, 2.7792537000", \
+                        "0.3069412000, 0.3215493000, 0.3615902000, 0.4562839000, 0.6222817000, 1.0710904000, 2.7793705000", \
+                        "0.6200890000, 0.6515837000, 0.7356334000, 0.9131891000, 1.2230527000, 1.7404764000, 3.0015119000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.1565406000, 0.1680277000, 0.2023250000, 0.2990143000, 0.5853313000, 1.4059571000, 3.8031565000", \
+                        "0.1628482000, 0.1747403000, 0.2094318000, 0.3092824000, 0.5942047000, 1.4134526000, 3.8129953000", \
+                        "0.1809052000, 0.1929378000, 0.2278512000, 0.3269968000, 0.6117866000, 1.4461988000, 3.8374348000", \
+                        "0.2278941000, 0.2401621000, 0.2750632000, 0.3745404000, 0.6656879000, 1.4866929000, 3.8848715000", \
+                        "0.3288559000, 0.3434172000, 0.3828499000, 0.4896899000, 0.7787221000, 1.6046340000, 4.0131023000", \
+                        "0.5146246000, 0.5361598000, 0.5914968000, 0.7316826000, 1.0677963000, 1.9144002000, 4.3174602000", \
+                        "0.7661354000, 0.8085037000, 0.9197086000, 1.1698046000, 1.6699102000, 2.7087803000, 5.1911897000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0802528000, 0.0870151000, 0.1055630000, 0.1564177000, 0.2967633000, 0.6926909000, 1.8372303000", \
+                        "0.0881961000, 0.0949499000, 0.1136772000, 0.1641210000, 0.3041636000, 0.7003925000, 1.8451521000", \
+                        "0.1116602000, 0.1183181000, 0.1369830000, 0.1878394000, 0.3277842000, 0.7245218000, 1.8677955000", \
+                        "0.1845626000, 0.1908209000, 0.2084973000, 0.2588322000, 0.3988347000, 0.7930978000, 1.9359054000", \
+                        "0.3446463000, 0.3556712000, 0.3848424000, 0.4531340000, 0.5989411000, 0.9941171000, 2.1300002000", \
+                        "0.6292542000, 0.6490010000, 0.7046793000, 0.8335891000, 1.0961719000, 1.5623521000, 2.6955651000", \
+                        "1.1068521000, 1.1436141000, 1.2454537000, 1.4924019000, 1.9958200000, 2.8691771000, 4.3025086000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0976831000, 0.1129308000, 0.1575387000, 0.2871171000, 0.6619448000, 1.7468196000, 4.9318358000", \
+                        "0.0978556000, 0.1129788000, 0.1574189000, 0.2879381000, 0.6626208000, 1.7481332000, 4.9131725000", \
+                        "0.0974442000, 0.1126494000, 0.1571664000, 0.2866008000, 0.6594605000, 1.7556547000, 4.9179918000", \
+                        "0.0986996000, 0.1136687000, 0.1577410000, 0.2862952000, 0.6659768000, 1.7469549000, 4.9292979000", \
+                        "0.1193291000, 0.1348508000, 0.1783011000, 0.2989144000, 0.6638847000, 1.7521584000, 4.9126330000", \
+                        "0.1870336000, 0.2047442000, 0.2508376000, 0.3818038000, 0.7360618000, 1.7649753000, 4.9140674000", \
+                        "0.4171104000, 0.4406960000, 0.5004605000, 0.6497421000, 1.0108945000, 2.0165558000, 4.9922328000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014574600, 0.0042483800, 0.0123837000, 0.0360975000, 0.1052210000, 0.3067120000");
+                    values("0.0797150000, 0.0877394000, 0.1103271000, 0.1752018000, 0.3644431000, 0.9140790000, 2.5199614000", \
+                        "0.0792934000, 0.0872680000, 0.1102233000, 0.1751385000, 0.3638926000, 0.9141645000, 2.5226363000", \
+                        "0.0776539000, 0.0858471000, 0.1092057000, 0.1745038000, 0.3636032000, 0.9139211000, 2.5210936000", \
+                        "0.0854884000, 0.0922685000, 0.1123080000, 0.1742334000, 0.3633094000, 0.9139560000, 2.5193441000", \
+                        "0.1550091000, 0.1635099000, 0.1848370000, 0.2345535000, 0.3831645000, 0.9140511000, 2.5210538000", \
+                        "0.3025628000, 0.3194605000, 0.3593996000, 0.4450941000, 0.6162854000, 1.0063446000, 2.5188311000", \
+                        "0.6047430000, 0.6373316000, 0.7160536000, 0.8889562000, 1.1877054000, 1.7153714000, 2.8134555000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand4_4") {
+        leakage_power () {
+            value : 0.1291928000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0897628000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.2592607000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.1310790000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.2628142000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.1342661000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 3.4985594000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.2688147000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.2564674000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.1333959000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 2.7514324000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.2623085000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 2.6229214000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.2614848000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 17.357264100;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 2.5706384000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nand4";
+        cell_leakage_power : 1.9368540000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0085610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084170000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0148997000, 0.0148955000, 0.0148932000, 0.0148909000, 0.0148933000, 0.0149097000, 0.0149654000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011005400, -0.011023500, -0.011073300, -0.011039200, -0.010941900, -0.010666500, -0.009889200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087040000;
+        }
+        pin ("B") {
+            capacitance : 0.0085710000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083420000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0132027000, 0.0131912000, 0.0131690000, 0.0131924000, 0.0132685000, 0.0134931000, 0.0141360000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013072900, -0.013063100, -0.013034400, -0.013034200, -0.013032500, -0.013026500, -0.013008100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088000000;
+        }
+        pin ("C") {
+            capacitance : 0.0085940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0129111000, 0.0129030000, 0.0128903000, 0.0128860000, 0.0128840000, 0.0128887000, 0.0129122000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012948100, -0.012931300, -0.012882900, -0.012882800, -0.012881200, -0.012875500, -0.012857900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089060000;
+        }
+        pin ("D") {
+            capacitance : 0.0087900000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0129991000, 0.0129902000, 0.0129753000, 0.0129661000, 0.0129506000, 0.0129172000, 0.0128336000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012986200, -0.012985300, -0.012981400, -0.012981200, -0.012979200, -0.012972200, -0.012951300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092020000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C) | (!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0163172000, 0.0149469000, 0.0103540000, -0.004614200, -0.053763200, -0.213781100, -0.733548400", \
+                        "0.0156008000, 0.0143264000, 0.0098510000, -0.004896900, -0.053895800, -0.213807600, -0.733556700", \
+                        "0.0147111000, 0.0134199000, 0.0090580000, -0.005468800, -0.054108400, -0.213885900, -0.733483100", \
+                        "0.0136384000, 0.0123263000, 0.0078601000, -0.006634000, -0.054884200, -0.214188600, -0.733673500", \
+                        "0.0130805000, 0.0117364000, 0.0072900000, -0.007697800, -0.056132600, -0.214987200, -0.733967900", \
+                        "0.0140823000, 0.0126026000, 0.0086370000, -0.007763800, -0.056878800, -0.216051000, -0.734781200", \
+                        "0.0179150000, 0.0162758000, 0.0114032000, -0.004126700, -0.055042200, -0.216204800, -0.735255800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0121084000, 0.0136504000, 0.0185102000, 0.0338730000, 0.0831678000, 0.2410426000, 0.7596287000", \
+                        "0.0115879000, 0.0131654000, 0.0182388000, 0.0337545000, 0.0833087000, 0.2411955000, 0.7555185000", \
+                        "0.0107602000, 0.0123237000, 0.0174553000, 0.0332957000, 0.0826969000, 0.2425134000, 0.7561342000", \
+                        "0.0099243000, 0.0114822000, 0.0164266000, 0.0321409000, 0.0820113000, 0.2399010000, 0.7564007000", \
+                        "0.0099882000, 0.0113108000, 0.0159502000, 0.0313738000, 0.0809637000, 0.2414026000, 0.7556673000", \
+                        "0.0101679000, 0.0115578000, 0.0161434000, 0.0310695000, 0.0804269000, 0.2380889000, 0.7539375000", \
+                        "0.0164314000, 0.0173843000, 0.0206933000, 0.0346003000, 0.0817054000, 0.2401857000, 0.7558520000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0187533000, 0.0173425000, 0.0127903000, -0.002288900, -0.051515700, -0.211511100, -0.731363800", \
+                        "0.0183253000, 0.0169218000, 0.0124069000, -0.002516800, -0.051633300, -0.211527800, -0.731345400", \
+                        "0.0174182000, 0.0160652000, 0.0116223000, -0.003079300, -0.051869700, -0.211610100, -0.731357700", \
+                        "0.0163594000, 0.0150154000, 0.0106020000, -0.003967300, -0.052436800, -0.211904700, -0.731490500", \
+                        "0.0159286000, 0.0145320000, 0.0100023000, -0.004770900, -0.053318000, -0.212349200, -0.731725900", \
+                        "0.0158781000, 0.0144543000, 0.0098408000, -0.005497900, -0.054278700, -0.213359800, -0.732122700", \
+                        "0.0173715000, 0.0158987000, 0.0111316000, -0.004246300, -0.053664500, -0.213868100, -0.732899200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0231189000, 0.0245684000, 0.0292674000, 0.0444884000, 0.0932087000, 0.2509601000, 0.7644449000", \
+                        "0.0228835000, 0.0243182000, 0.0290284000, 0.0443971000, 0.0933010000, 0.2516863000, 0.7645647000", \
+                        "0.0222041000, 0.0236293000, 0.0284990000, 0.0440794000, 0.0931289000, 0.2513536000, 0.7646776000", \
+                        "0.0209323000, 0.0224360000, 0.0273362000, 0.0430368000, 0.0926378000, 0.2506030000, 0.7639874000", \
+                        "0.0203199000, 0.0217472000, 0.0264123000, 0.0419064000, 0.0912111000, 0.2498346000, 0.7621016000", \
+                        "0.0202915000, 0.0215742000, 0.0261602000, 0.0410097000, 0.0910236000, 0.2485642000, 0.7614770000", \
+                        "0.0244514000, 0.0257990000, 0.0291527000, 0.0436419000, 0.0916362000, 0.2499728000, 0.7607718000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0186917000, 0.0172276000, 0.0126064000, -0.002503900, -0.051750500, -0.211789600, -0.731480800", \
+                        "0.0182154000, 0.0167926000, 0.0122559000, -0.002717400, -0.051827000, -0.211814300, -0.731571500", \
+                        "0.0172159000, 0.0159544000, 0.0114689000, -0.003259700, -0.052105700, -0.211922400, -0.731625200", \
+                        "0.0162745000, 0.0148991000, 0.0104771000, -0.004095600, -0.052610900, -0.212135800, -0.731644400", \
+                        "0.0158306000, 0.0144365000, 0.0098819000, -0.004922600, -0.053461000, -0.212594600, -0.731782000", \
+                        "0.0156623000, 0.0142576000, 0.0096048000, -0.005503000, -0.054381900, -0.213518800, -0.732359700", \
+                        "0.0165055000, 0.0150482000, 0.0103605000, -0.004907800, -0.054131100, -0.214144600, -0.733130000");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0310061000, 0.0324528000, 0.0371502000, 0.0525022000, 0.1015771000, 0.2599224000, 0.7745108000", \
+                        "0.0306836000, 0.0321802000, 0.0369213000, 0.0522697000, 0.1014231000, 0.2597615000, 0.7731951000", \
+                        "0.0300786000, 0.0315508000, 0.0363759000, 0.0518451000, 0.1011854000, 0.2595310000, 0.7734219000", \
+                        "0.0290163000, 0.0305015000, 0.0352988000, 0.0509262000, 0.1005148000, 0.2588871000, 0.7728000000", \
+                        "0.0283352000, 0.0298220000, 0.0346577000, 0.0500576000, 0.0996251000, 0.2583861000, 0.7711431000", \
+                        "0.0294332000, 0.0307520000, 0.0352409000, 0.0506665000, 0.0994485000, 0.2575433000, 0.7706339000", \
+                        "0.0325194000, 0.0339107000, 0.0384653000, 0.0532768000, 0.1009092000, 0.2593262000, 0.7703724000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0184870000, 0.0170223000, 0.0123972000, -0.002712700, -0.051951300, -0.211920000, -0.731710000", \
+                        "0.0179318000, 0.0165509000, 0.0120348000, -0.002940400, -0.052051800, -0.212037500, -0.731794000", \
+                        "0.0170687000, 0.0157387000, 0.0112916000, -0.003432900, -0.052303100, -0.212129700, -0.731820000", \
+                        "0.0161149000, 0.0147873000, 0.0103436000, -0.004289400, -0.052826100, -0.212338600, -0.731921500", \
+                        "0.0157632000, 0.0143067000, 0.0097665000, -0.005074500, -0.053526900, -0.212655200, -0.732112800", \
+                        "0.0155195000, 0.0141120000, 0.0095312000, -0.005439500, -0.054547600, -0.213757300, -0.732579600", \
+                        "0.0164301000, 0.0149472000, 0.0103018000, -0.005011700, -0.054183600, -0.214274300, -0.733276600");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
+                    values("0.0384186000, 0.0398619000, 0.0444925000, 0.0598077000, 0.1095051000, 0.2686493000, 0.7826456000", \
+                        "0.0380294000, 0.0394740000, 0.0441212000, 0.0593846000, 0.1090992000, 0.2678735000, 0.7814623000", \
+                        "0.0374839000, 0.0389104000, 0.0436034000, 0.0589762000, 0.1083622000, 0.2674632000, 0.7820345000", \
+                        "0.0367204000, 0.0381947000, 0.0428989000, 0.0582793000, 0.1080414000, 0.2670008000, 0.7808824000", \
+                        "0.0360848000, 0.0375314000, 0.0424116000, 0.0576869000, 0.1074836000, 0.2663575000, 0.7802554000", \
+                        "0.0367452000, 0.0381131000, 0.0426337000, 0.0581188000, 0.1080298000, 0.2660634000, 0.7794063000", \
+                        "0.0425196000, 0.0439010000, 0.0482007000, 0.0629994000, 0.1108654000, 0.2703268000, 0.7798812000");
+                }
+            }
+            max_capacitance : 0.1675510000;
+            max_transition : 1.4957790000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0867943000, 0.0941785000, 0.1175779000, 0.1918328000, 0.4305799000, 1.2037422000, 3.7142653000", \
+                        "0.0900041000, 0.0976715000, 0.1214022000, 0.1980919000, 0.4366864000, 1.2100286000, 3.7216699000", \
+                        "0.1049231000, 0.1123390000, 0.1360897000, 0.2138837000, 0.4545237000, 1.2392655000, 3.7402295000", \
+                        "0.1569462000, 0.1650187000, 0.1882904000, 0.2631526000, 0.5062721000, 1.2887523000, 3.7940513000", \
+                        "0.2511430000, 0.2660250000, 0.3024059000, 0.4069476000, 0.6557737000, 1.4353114000, 3.9464751000", \
+                        "0.3805755000, 0.4047862000, 0.4737416000, 0.6512303000, 1.0409124000, 1.8658257000, 4.3784598000", \
+                        "0.5179026000, 0.5581833000, 0.6799502000, 0.9903803000, 1.6635069000, 2.9635994000, 5.5999396000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0514327000, 0.0552704000, 0.0675278000, 0.1055839000, 0.2275258000, 0.6195990000, 1.9020381000", \
+                        "0.0592151000, 0.0630680000, 0.0756156000, 0.1142954000, 0.2360205000, 0.6286920000, 1.9221578000", \
+                        "0.0828050000, 0.0866518000, 0.0995538000, 0.1387075000, 0.2601413000, 0.6566701000, 1.9270765000", \
+                        "0.1443312000, 0.1501453000, 0.1672881000, 0.2084529000, 0.3313169000, 0.7215730000, 1.9962807000", \
+                        "0.2539368000, 0.2651086000, 0.2963296000, 0.3771569000, 0.5322172000, 0.9260316000, 2.1919873000", \
+                        "0.4435631000, 0.4645267000, 0.5264211000, 0.6772224000, 0.9769823000, 1.4952685000, 2.7639830000", \
+                        "0.7650445000, 0.8034248000, 0.9157886000, 1.1962232000, 1.7674773000, 2.7650899000, 4.3751887000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0973572000, 0.1067968000, 0.1372520000, 0.2353161000, 0.5517282000, 1.5833933000, 4.9057047000", \
+                        "0.0966123000, 0.1065102000, 0.1369807000, 0.2359454000, 0.5523521000, 1.5779217000, 4.9109379000", \
+                        "0.0939350000, 0.1042611000, 0.1359289000, 0.2356633000, 0.5517307000, 1.5847296000, 4.9093871000", \
+                        "0.1062152000, 0.1138350000, 0.1402704000, 0.2334737000, 0.5519940000, 1.5832815000, 4.9283360000", \
+                        "0.1654205000, 0.1770754000, 0.2074147000, 0.2911209000, 0.5622605000, 1.5788981000, 4.9086264000", \
+                        "0.3064734000, 0.3205539000, 0.3650970000, 0.4817040000, 0.7596592000, 1.6180550000, 4.9116018000", \
+                        "0.5797728000, 0.6112754000, 0.6875466000, 0.8876996000, 1.3021022000, 2.1917217000, 4.9953934000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0451133000, 0.0502347000, 0.0659691000, 0.1181208000, 0.2880931000, 0.8364399000, 2.6338571000", \
+                        "0.0451240000, 0.0500271000, 0.0660792000, 0.1183850000, 0.2885750000, 0.8364053000, 2.6259379000", \
+                        "0.0456974000, 0.0503105000, 0.0659768000, 0.1184399000, 0.2876111000, 0.8453070000, 2.6128077000", \
+                        "0.0737468000, 0.0768658000, 0.0862951000, 0.1251295000, 0.2874785000, 0.8420650000, 2.6159654000", \
+                        "0.1470324000, 0.1527592000, 0.1700083000, 0.2119994000, 0.3236868000, 0.8413523000, 2.6274096000", \
+                        "0.2971801000, 0.3093031000, 0.3383475000, 0.4177584000, 0.5752029000, 0.9470564000, 2.6203215000", \
+                        "0.5910670000, 0.6163566000, 0.6783327000, 0.8300848000, 1.1342849000, 1.6530698000, 2.8889358000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.1264482000, 0.1336327000, 0.1575491000, 0.2318686000, 0.4706148000, 1.2424459000, 3.7622532000", \
+                        "0.1316222000, 0.1387916000, 0.1619846000, 0.2377681000, 0.4761173000, 1.2490213000, 3.7594048000", \
+                        "0.1473612000, 0.1551055000, 0.1788806000, 0.2546167000, 0.4950018000, 1.2690792000, 3.7789616000", \
+                        "0.1943966000, 0.2019564000, 0.2263987000, 0.3035438000, 0.5449444000, 1.3208427000, 3.8319783000", \
+                        "0.2977817000, 0.3090098000, 0.3416420000, 0.4365192000, 0.6869388000, 1.4671589000, 3.9792951000", \
+                        "0.4550035000, 0.4756907000, 0.5363590000, 0.6940743000, 1.0476955000, 1.8752428000, 4.3966062000", \
+                        "0.6097712000, 0.6491835000, 0.7660751000, 1.0652279000, 1.6952127000, 2.8990097000, 5.5466529000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0771697000, 0.0814428000, 0.0947378000, 0.1374458000, 0.2729844000, 0.7103421000, 2.1269688000", \
+                        "0.0855153000, 0.0898855000, 0.1031992000, 0.1459505000, 0.2818726000, 0.7191884000, 2.1361661000", \
+                        "0.1092235000, 0.1134298000, 0.1272145000, 0.1706160000, 0.3070067000, 0.7442141000, 2.1622675000", \
+                        "0.1793027000, 0.1838218000, 0.1980223000, 0.2414706000, 0.3778969000, 0.8144537000, 2.2289148000", \
+                        "0.3261679000, 0.3345608000, 0.3618026000, 0.4294497000, 0.5813375000, 1.0185591000, 2.4223925000", \
+                        "0.5966977000, 0.6127909000, 0.6629685000, 0.7937365000, 1.0693381000, 1.5951631000, 3.0047962000", \
+                        "1.0783090000, 1.1097933000, 1.2003478000, 1.4514577000, 1.9892099000, 2.9740432000, 4.6391868000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0979124000, 0.1072858000, 0.1379783000, 0.2362599000, 0.5535739000, 1.5814628000, 4.9098348000", \
+                        "0.0982884000, 0.1072701000, 0.1373693000, 0.2359023000, 0.5519242000, 1.5794481000, 4.9138893000", \
+                        "0.0983454000, 0.1075809000, 0.1374030000, 0.2353949000, 0.5533675000, 1.5811699000, 4.9078039000", \
+                        "0.1033268000, 0.1121462000, 0.1411476000, 0.2362572000, 0.5525131000, 1.5819860000, 4.9229918000", \
+                        "0.1474509000, 0.1569402000, 0.1849570000, 0.2723820000, 0.5598504000, 1.5803432000, 4.9090709000", \
+                        "0.2723044000, 0.2848310000, 0.3222987000, 0.4263025000, 0.6982712000, 1.6087787000, 4.9195341000", \
+                        "0.5630771000, 0.5817518000, 0.6443199000, 0.8025595000, 1.1676823000, 2.0218593000, 4.9694884000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0642584000, 0.0696404000, 0.0875403000, 0.1454604000, 0.3321235000, 0.9399789000, 2.9092269000", \
+                        "0.0642443000, 0.0698218000, 0.0874317000, 0.1453513000, 0.3320324000, 0.9397129000, 2.9115534000", \
+                        "0.0642245000, 0.0695779000, 0.0874612000, 0.1453540000, 0.3319303000, 0.9391435000, 2.9081089000", \
+                        "0.0768498000, 0.0810580000, 0.0955190000, 0.1472630000, 0.3323962000, 0.9400154000, 2.9058493000", \
+                        "0.1505468000, 0.1567133000, 0.1726499000, 0.2170323000, 0.3563055000, 0.9388129000, 2.9068552000", \
+                        "0.3029760000, 0.3133218000, 0.3477531000, 0.4253419000, 0.5936711000, 1.0224518000, 2.9129434000", \
+                        "0.6082673000, 0.6296516000, 0.6983418000, 0.8535824000, 1.1594562000, 1.6907780000, 3.1049261000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.1466267000, 0.1537677000, 0.1764505000, 0.2503205000, 0.4929256000, 1.2620555000, 3.7774539000", \
+                        "0.1519699000, 0.1593286000, 0.1823638000, 0.2569332000, 0.4970146000, 1.2693182000, 3.7791712000", \
+                        "0.1683136000, 0.1762571000, 0.1999533000, 0.2755557000, 0.5156504000, 1.2987877000, 3.8019459000", \
+                        "0.2131967000, 0.2208949000, 0.2450864000, 0.3218602000, 0.5629224000, 1.3396524000, 3.8518744000", \
+                        "0.3101261000, 0.3194233000, 0.3484038000, 0.4363368000, 0.6842125000, 1.4629538000, 3.9739545000", \
+                        "0.4761431000, 0.4923753000, 0.5432986000, 0.6730381000, 0.9927523000, 1.8048072000, 4.3207402000", \
+                        "0.6514855000, 0.6847130000, 0.7812393000, 1.0340956000, 1.5771219000, 2.6760134000, 5.2935984000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0902093000, 0.0947813000, 0.1091388000, 0.1531769000, 0.2913291000, 0.7320431000, 2.1540729000", \
+                        "0.0985409000, 0.1029058000, 0.1171383000, 0.1614163000, 0.2997754000, 0.7414189000, 2.1624829000", \
+                        "0.1225494000, 0.1271357000, 0.1413352000, 0.1858075000, 0.3244400000, 0.7651262000, 2.1878677000", \
+                        "0.1933771000, 0.1977850000, 0.2118518000, 0.2564859000, 0.3952681000, 0.8341049000, 2.2552691000", \
+                        "0.3586038000, 0.3665822000, 0.3901948000, 0.4516304000, 0.5988332000, 1.0371597000, 2.4492302000", \
+                        "0.6636195000, 0.6780668000, 0.7218030000, 0.8388226000, 1.1034991000, 1.6156503000, 3.0291349000", \
+                        "1.2112000000, 1.2384915000, 1.3212165000, 1.5457597000, 2.0501478000, 3.0071210000, 4.6653175000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0983040000, 0.1072764000, 0.1373874000, 0.2356420000, 0.5545200000, 1.5807929000, 4.9174700000", \
+                        "0.0983283000, 0.1073445000, 0.1373925000, 0.2355134000, 0.5536357000, 1.5820558000, 4.9098188000", \
+                        "0.0979741000, 0.1076087000, 0.1373871000, 0.2353603000, 0.5543067000, 1.5839561000, 4.9124917000", \
+                        "0.1011444000, 0.1097344000, 0.1389119000, 0.2361784000, 0.5526156000, 1.5837575000, 4.9117568000", \
+                        "0.1305283000, 0.1396643000, 0.1689368000, 0.2598426000, 0.5592315000, 1.5789891000, 4.9110618000", \
+                        "0.2304966000, 0.2410381000, 0.2745347000, 0.3734324000, 0.6646246000, 1.6071930000, 4.9105675000", \
+                        "0.4988464000, 0.5149079000, 0.5673743000, 0.7023289000, 1.0279891000, 1.9382802000, 4.9709716000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0787105000, 0.0842559000, 0.1025467000, 0.1607747000, 0.3497351000, 0.9650412000, 2.9569014000", \
+                        "0.0788598000, 0.0843987000, 0.1021856000, 0.1608754000, 0.3500196000, 0.9645144000, 2.9593081000", \
+                        "0.0783787000, 0.0838702000, 0.1022460000, 0.1607214000, 0.3501666000, 0.9639576000, 2.9618499000", \
+                        "0.0850115000, 0.0898784000, 0.1058788000, 0.1612226000, 0.3497726000, 0.9642044000, 2.9593856000", \
+                        "0.1540263000, 0.1599325000, 0.1767091000, 0.2201080000, 0.3697996000, 0.9655579000, 2.9621652000", \
+                        "0.3047040000, 0.3157453000, 0.3485743000, 0.4282641000, 0.5906547000, 1.0421106000, 2.9597693000", \
+                        "0.6183026000, 0.6389095000, 0.7004772000, 0.8528096000, 1.1561097000, 1.6989155000, 3.1450094000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.1549827000, 0.1621131000, 0.1847776000, 0.2586721000, 0.4998225000, 1.2700746000, 3.7801966000", \
+                        "0.1611019000, 0.1684045000, 0.1917959000, 0.2661624000, 0.5068784000, 1.2792655000, 3.7877279000", \
+                        "0.1784923000, 0.1858544000, 0.2096073000, 0.2853374000, 0.5256747000, 1.3004364000, 3.8090926000", \
+                        "0.2223519000, 0.2297752000, 0.2539327000, 0.3304996000, 0.5716265000, 1.3505352000, 3.8588519000", \
+                        "0.3158421000, 0.3246642000, 0.3515313000, 0.4356200000, 0.6814338000, 1.4581993000, 3.9700399000", \
+                        "0.4833635000, 0.4966808000, 0.5353930000, 0.6465842000, 0.9401754000, 1.7478244000, 4.2746335000", \
+                        "0.6876977000, 0.7144831000, 0.7935668000, 0.9999461000, 1.4580414000, 2.4591038000, 5.0727325000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0877150000, 0.0921379000, 0.1060883000, 0.1485476000, 0.2770379000, 0.6790456000, 1.9722743000", \
+                        "0.0957948000, 0.1001622000, 0.1140000000, 0.1564221000, 0.2849471000, 0.6866913000, 1.9800832000", \
+                        "0.1195149000, 0.1239859000, 0.1378146000, 0.1802621000, 0.3083181000, 0.7108452000, 2.0010946000", \
+                        "0.1918157000, 0.1958798000, 0.2090357000, 0.2509157000, 0.3793470000, 0.7803828000, 2.0728872000", \
+                        "0.3585871000, 0.3656875000, 0.3866942000, 0.4441968000, 0.5805773000, 0.9814906000, 2.2715094000", \
+                        "0.6612930000, 0.6742198000, 0.7139603000, 0.8220891000, 1.0696295000, 1.5516783000, 2.8353087000", \
+                        "1.1904689000, 1.2141811000, 1.2885178000, 1.4896588000, 1.9606179000, 2.8685330000, 4.4457016000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0983072000, 0.1072678000, 0.1373926000, 0.2354914000, 0.5532232000, 1.5775596000, 4.9130491000", \
+                        "0.0980355000, 0.1071959000, 0.1374695000, 0.2351779000, 0.5535200000, 1.5790004000, 4.9223767000", \
+                        "0.0980760000, 0.1072673000, 0.1373591000, 0.2353347000, 0.5522219000, 1.5773346000, 4.9188320000", \
+                        "0.0992891000, 0.1085400000, 0.1382267000, 0.2355797000, 0.5514777000, 1.5839774000, 4.9055426000", \
+                        "0.1194655000, 0.1290389000, 0.1588030000, 0.2525635000, 0.5575753000, 1.5849799000, 4.9115134000", \
+                        "0.1868724000, 0.1971012000, 0.2283304000, 0.3289196000, 0.6344411000, 1.6054634000, 4.9147020000", \
+                        "0.4158958000, 0.4302083000, 0.4719180000, 0.5891668000, 0.9060664000, 1.8632202000, 4.9834554000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
+                    values("0.0862155000, 0.0914600000, 0.1080695000, 0.1620951000, 0.3359662000, 0.9008926000, 2.7356379000", \
+                        "0.0858923000, 0.0912640000, 0.1080836000, 0.1618025000, 0.3358624000, 0.9010651000, 2.7390814000", \
+                        "0.0844282000, 0.0899141000, 0.1072268000, 0.1614795000, 0.3353919000, 0.9007496000, 2.7324876000", \
+                        "0.0897693000, 0.0945084000, 0.1101078000, 0.1608244000, 0.3350095000, 0.8998570000, 2.7334790000", \
+                        "0.1565382000, 0.1619704000, 0.1782211000, 0.2220568000, 0.3574808000, 0.8998827000, 2.7375369000", \
+                        "0.3079118000, 0.3178109000, 0.3464712000, 0.4210464000, 0.5848061000, 0.9898190000, 2.7354139000", \
+                        "0.6076739000, 0.6271463000, 0.6934070000, 0.8363413000, 1.1332408000, 1.6698037000, 2.9814688000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand4b_1 */
+
+/* removed sky130_fd_sc_hd__nand4b_2 */
+
+/* removed sky130_fd_sc_hd__nand4b_4 */
+
+/* removed sky130_fd_sc_hd__nand4bb_1 */
+
+/* removed sky130_fd_sc_hd__nand4bb_2 */
+
+/* removed sky130_fd_sc_hd__nand4bb_4 */
+
+/* removed sky130_fd_sc_hd__nor2_1 */
+
+    cell ("sky130_fd_sc_hd__nor2_2") {
+        leakage_power () {
+            value : 4.6420068000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 2.9037308000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.3233539000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 2.9010476000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__nor2";
+        cell_leakage_power : 2.6925350000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065507000, 0.0065469000, 0.0065387000, 0.0065385000, 0.0065402000, 0.0065474000, 0.0065703000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005914400, -0.006019200, -0.006279900, -0.006304400, -0.006338800, -0.006401400, -0.006543300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045850000;
+        }
+        pin ("B") {
+            capacitance : 0.0044310000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0050351000, 0.0050145000, 0.0049589000, 0.0049772000, 0.0050309000, 0.0051846000, 0.0056202000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002928300, -0.002939300, -0.002948800, -0.002960400, -0.002971500, -0.002981100, -0.002986700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046820000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014494970, 0.0042020840, 0.0121818200, 0.0353150200, 0.1023781000, 0.2967934000");
+                    values("0.0062261000, 0.0050133000, 0.0015266000, -0.008532800, -0.037941700, -0.123603200, -0.372265000", \
+                        "0.0060687000, 0.0048726000, 0.0014122000, -0.008665500, -0.038016900, -0.123692100, -0.372337400", \
+                        "0.0059352000, 0.0047552000, 0.0013500000, -0.008644300, -0.037972700, -0.123577200, -0.372226400", \
+                        "0.0053990000, 0.0042365000, 0.0008734000, -0.008995400, -0.038188700, -0.123687700, -0.372310000", \
+                        "0.0055419000, 0.0043653000, 0.0009259000, -0.009259000, -0.038474100, -0.123859100, -0.372354800", \
+                        "0.0062616000, 0.0050242000, 0.0014418000, -0.008827200, -0.038366500, -0.123792200, -0.372283500", \
+                        "0.0092238000, 0.0077962000, 0.0040367000, -0.006447000, -0.036721600, -0.123091500, -0.372065800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014494970, 0.0042020840, 0.0121818200, 0.0353150200, 0.1023781000, 0.2967934000");
+                    values("0.0111989000, 0.0124644000, 0.0160895000, 0.0262374000, 0.0554267000, 0.1401147000, 0.3863252000", \
+                        "0.0108945000, 0.0121707000, 0.0157847000, 0.0260650000, 0.0555844000, 0.1402323000, 0.3863776000", \
+                        "0.0106283000, 0.0118691000, 0.0155317000, 0.0258511000, 0.0552307000, 0.1407018000, 0.3866634000", \
+                        "0.0104307000, 0.0116640000, 0.0152477000, 0.0255008000, 0.0549541000, 0.1410002000, 0.3864790000", \
+                        "0.0102721000, 0.0114999000, 0.0151167000, 0.0252653000, 0.0548522000, 0.1405599000, 0.3877541000", \
+                        "0.0098631000, 0.0110263000, 0.0145521000, 0.0251416000, 0.0546397000, 0.1401165000, 0.3875012000", \
+                        "0.0109614000, 0.0120646000, 0.0153462000, 0.0253378000, 0.0545125000, 0.1398533000, 0.3862924000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014494970, 0.0042020840, 0.0121818200, 0.0353150200, 0.1023781000, 0.2967934000");
+                    values("-0.000127800, -0.001214700, -0.004566400, -0.014654900, -0.044204900, -0.130023700, -0.378902600", \
+                        "-0.000499500, -0.001516800, -0.004703900, -0.014626700, -0.044085100, -0.129853900, -0.378686200", \
+                        "-0.001032100, -0.002045500, -0.005154100, -0.014804200, -0.044083400, -0.129756900, -0.378533900", \
+                        "-0.001480000, -0.002569200, -0.005741000, -0.015347700, -0.044359600, -0.129823800, -0.378499800", \
+                        "-0.001263100, -0.002447400, -0.005989300, -0.015859700, -0.044969300, -0.130132400, -0.378630600", \
+                        "-0.000429400, -0.001740900, -0.005521800, -0.015549800, -0.045230300, -0.130625300, -0.378747200", \
+                        "0.0025038000, 0.0011364000, -0.002833500, -0.013747600, -0.044103200, -0.130089400, -0.378996300");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014494970, 0.0042020840, 0.0121818200, 0.0353150200, 0.1023781000, 0.2967934000");
+                    values("0.0089156000, 0.0102303000, 0.0138975000, 0.0242414000, 0.0534357000, 0.1380267000, 0.3845758000", \
+                        "0.0084676000, 0.0098029000, 0.0136191000, 0.0239134000, 0.0533271000, 0.1383512000, 0.3843634000", \
+                        "0.0081698000, 0.0094861000, 0.0131573000, 0.0235366000, 0.0531877000, 0.1381338000, 0.3846040000", \
+                        "0.0079346000, 0.0093260000, 0.0128639000, 0.0231198000, 0.0526876000, 0.1379383000, 0.3843175000", \
+                        "0.0080776000, 0.0092689000, 0.0127524000, 0.0229174000, 0.0525419000, 0.1374157000, 0.3839218000", \
+                        "0.0086967000, 0.0098374000, 0.0133423000, 0.0231697000, 0.0523604000, 0.1371379000, 0.3833198000", \
+                        "0.0112157000, 0.0121929000, 0.0152388000, 0.0254227000, 0.0531555000, 0.1375087000, 0.3823870000");
+                }
+            }
+            max_capacitance : 0.0860700000;
+            max_transition : 1.4962660000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0300209000, 0.0335135000, 0.0429815000, 0.0680965000, 0.1374571000, 0.3336542000, 0.9000159000", \
+                        "0.0381001000, 0.0415344000, 0.0509280000, 0.0760235000, 0.1451719000, 0.3414930000, 0.9074365000", \
+                        "0.0624497000, 0.0659322000, 0.0749523000, 0.0999568000, 0.1688310000, 0.3642145000, 0.9306891000", \
+                        "0.1115236000, 0.1175711000, 0.1334296000, 0.1665913000, 0.2371079000, 0.4300964000, 0.9954760000", \
+                        "0.1974727000, 0.2086552000, 0.2368875000, 0.2991426000, 0.4152142000, 0.6209298000, 1.1837127000", \
+                        "0.3311227000, 0.3530317000, 0.4072331000, 0.5236661000, 0.7435414000, 1.1086214000, 1.7325650000", \
+                        "0.5033821000, 0.5421605000, 0.6462213000, 0.8725647000, 1.2995726000, 2.0008835000, 3.1043801000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.1018362000, 0.1143228000, 0.1491851000, 0.2476885000, 0.5292086000, 1.3450573000, 3.7156862000", \
+                        "0.1080429000, 0.1204532000, 0.1554485000, 0.2541721000, 0.5403064000, 1.3530201000, 3.7210317000", \
+                        "0.1307395000, 0.1431203000, 0.1782544000, 0.2782626000, 0.5614321000, 1.3779535000, 3.7423313000", \
+                        "0.1915208000, 0.2043274000, 0.2392547000, 0.3379575000, 0.6221018000, 1.4465312000, 3.8058579000", \
+                        "0.3062417000, 0.3257429000, 0.3765620000, 0.4953235000, 0.7817280000, 1.6044033000, 3.9762589000", \
+                        "0.4933373000, 0.5321809000, 0.6240005000, 0.8191823000, 1.2078618000, 2.0494557000, 4.4269304000", \
+                        "0.7462366000, 0.8231030000, 1.0082372000, 1.3820661000, 2.0553912000, 3.2327321000, 5.6882312000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0232893000, 0.0271157000, 0.0383436000, 0.0702018000, 0.1625682000, 0.4295722000, 1.2051966000", \
+                        "0.0226435000, 0.0266379000, 0.0379299000, 0.0698902000, 0.1620144000, 0.4316497000, 1.2064463000", \
+                        "0.0256865000, 0.0289185000, 0.0388733000, 0.0693274000, 0.1622068000, 0.4293398000, 1.2062757000", \
+                        "0.0477544000, 0.0522841000, 0.0628590000, 0.0868381000, 0.1653935000, 0.4301249000, 1.2075919000", \
+                        "0.0935604000, 0.1021807000, 0.1212595000, 0.1612012000, 0.2371780000, 0.4496063000, 1.2078962000", \
+                        "0.1957225000, 0.2122317000, 0.2478534000, 0.3223743000, 0.4476921000, 0.6762711000, 1.2643362000", \
+                        "0.4090319000, 0.4447213000, 0.5195966000, 0.6673206000, 0.8962318000, 1.2706364000, 1.8995889000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0645589000, 0.0803786000, 0.1259236000, 0.2578021000, 0.6385141000, 1.7414814000, 4.9357407000", \
+                        "0.0646991000, 0.0804924000, 0.1260464000, 0.2579519000, 0.6401836000, 1.7460159000, 4.9347082000", \
+                        "0.0647720000, 0.0805182000, 0.1261429000, 0.2577900000, 0.6382305000, 1.7459018000, 4.9333915000", \
+                        "0.0711591000, 0.0852919000, 0.1279182000, 0.2582129000, 0.6382334000, 1.7546010000, 4.9359503000", \
+                        "0.1131286000, 0.1289127000, 0.1736277000, 0.2860819000, 0.6432018000, 1.7502584000, 4.9537250000", \
+                        "0.2277158000, 0.2504272000, 0.3063244000, 0.4402358000, 0.7644514000, 1.7604032000, 4.9538551000", \
+                        "0.5172891000, 0.5515683000, 0.6373457000, 0.8288613000, 1.2385220000, 2.1456865000, 4.9819149000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0238978000, 0.0270556000, 0.0356155000, 0.0595685000, 0.1282218000, 0.3287672000, 0.8998605000", \
+                        "0.0316702000, 0.0349819000, 0.0438289000, 0.0679939000, 0.1365784000, 0.3342961000, 0.9191978000", \
+                        "0.0521327000, 0.0567549000, 0.0673394000, 0.0919649000, 0.1607557000, 0.3586217000, 0.9311737000", \
+                        "0.0871329000, 0.0958426000, 0.1157498000, 0.1560768000, 0.2288439000, 0.4272676000, 0.9956393000", \
+                        "0.1418745000, 0.1587608000, 0.1980066000, 0.2739201000, 0.4039835000, 0.6197559000, 1.1876607000", \
+                        "0.2151175000, 0.2486935000, 0.3236790000, 0.4705219000, 0.7151846000, 1.1005973000, 1.7321851000", \
+                        "0.2733945000, 0.3362771000, 0.4766330000, 0.7603345000, 1.2367973000, 1.9740500000, 3.1038894000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0709520000, 0.0835125000, 0.1188373000, 0.2200711000, 0.5021641000, 1.3172999000, 3.6801999000", \
+                        "0.0736801000, 0.0865659000, 0.1230675000, 0.2224329000, 0.5056137000, 1.3262934000, 3.6948644000", \
+                        "0.0921129000, 0.1045195000, 0.1397951000, 0.2398398000, 0.5261859000, 1.3421517000, 3.7092445000", \
+                        "0.1474376000, 0.1633254000, 0.1994175000, 0.2989489000, 0.5798604000, 1.4070187000, 3.7648080000", \
+                        "0.2418440000, 0.2697006000, 0.3356927000, 0.4706314000, 0.7554162000, 1.5772688000, 3.9415053000", \
+                        "0.3906088000, 0.4397049000, 0.5579759000, 0.7968748000, 1.2302251000, 2.0638473000, 4.4231236000", \
+                        "0.6361302000, 0.7173854000, 0.9150795000, 1.3314102000, 2.0955802000, 3.3957863000, 5.8304019000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0136709000, 0.0176010000, 0.0287215000, 0.0610492000, 0.1549059000, 0.4292141000, 1.2144062000", \
+                        "0.0137034000, 0.0175647000, 0.0287911000, 0.0609949000, 0.1546716000, 0.4254836000, 1.2236275000", \
+                        "0.0209831000, 0.0237349000, 0.0318547000, 0.0613086000, 0.1543186000, 0.4265489000, 1.2115620000", \
+                        "0.0424518000, 0.0473877000, 0.0590018000, 0.0835290000, 0.1589884000, 0.4279336000, 1.2111840000", \
+                        "0.0923095000, 0.1005276000, 0.1202098000, 0.1602156000, 0.2394710000, 0.4445457000, 1.2237710000", \
+                        "0.1974938000, 0.2135783000, 0.2551618000, 0.3303004000, 0.4506416000, 0.6714905000, 1.2684505000", \
+                        "0.4217063000, 0.4562234000, 0.5417821000, 0.6804573000, 0.9112676000, 1.2715451000, 1.9042115000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014495000, 0.0042020800, 0.0121818000, 0.0353150000, 0.1023780000, 0.2967930000");
+                    values("0.0643248000, 0.0804364000, 0.1257232000, 0.2594985000, 0.6392220000, 1.7427917000, 4.9376667000", \
+                        "0.0640130000, 0.0799966000, 0.1259046000, 0.2577026000, 0.6384600000, 1.7451829000, 4.9361897000", \
+                        "0.0628650000, 0.0792005000, 0.1256480000, 0.2575842000, 0.6386480000, 1.7478115000, 4.9315161000", \
+                        "0.0873545000, 0.1002378000, 0.1339651000, 0.2573107000, 0.6394409000, 1.7464186000, 4.9301363000", \
+                        "0.1495726000, 0.1680117000, 0.2132163000, 0.3126372000, 0.6454752000, 1.7442470000, 4.9339794000", \
+                        "0.2771117000, 0.3099878000, 0.3858311000, 0.5341090000, 0.8348375000, 1.7691315000, 4.9299249000", \
+                        "0.5146603000, 0.5728070000, 0.7085742000, 0.9780233000, 1.4554495000, 2.3112212000, 4.9999223000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor2_4") {
+        leakage_power () {
+            value : 5.9380176000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 7.0151320000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.4009605000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 3.7608716000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nor2";
+        cell_leakage_power : 4.2787450000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084140000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130219000, 0.0130109000, 0.0129827000, 0.0129754000, 0.0129578000, 0.0129110000, 0.0127823000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011612300, -0.011825300, -0.012381500, -0.012417400, -0.012474900, -0.012592600, -0.012880200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091070000;
+        }
+        pin ("B") {
+            capacitance : 0.0086870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0093008000, 0.0092703000, 0.0091873000, 0.0092201000, 0.0093154000, 0.0095868000, 0.0103545000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005817800, -0.005832400, -0.005845900, -0.005860900, -0.005875000, -0.005887100, -0.005893000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091950000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
+                    values("0.0121882000, 0.0108082000, 0.0063615000, -0.007827500, -0.053350900, -0.199680500, -0.668390100", \
+                        "0.0119219000, 0.0105457000, 0.0061155000, -0.008014900, -0.053508400, -0.199883000, -0.668523600", \
+                        "0.0116811000, 0.0103347000, 0.0059999000, -0.007999300, -0.053366900, -0.199622700, -0.668392400", \
+                        "0.0106414000, 0.0093134000, 0.0050092000, -0.008684300, -0.053826400, -0.199893100, -0.668445500", \
+                        "0.0108314000, 0.0094371000, 0.0051238000, -0.008939300, -0.054419100, -0.200216400, -0.668616000", \
+                        "0.0120430000, 0.0106508000, 0.0060766000, -0.008359200, -0.054205100, -0.200549300, -0.668516200", \
+                        "0.0172439000, 0.0158021000, 0.0110077000, -0.004123000, -0.051088000, -0.198456600, -0.668186300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
+                    values("0.0217473000, 0.0232134000, 0.0278135000, 0.0421854000, 0.0878945000, 0.2330132000, 0.6971032000", \
+                        "0.0210470000, 0.0225935000, 0.0272779000, 0.0420148000, 0.0874640000, 0.2322393000, 0.6982179000", \
+                        "0.0205287000, 0.0219810000, 0.0266535000, 0.0412567000, 0.0877688000, 0.2335277000, 0.6965499000", \
+                        "0.0201403000, 0.0216002000, 0.0261754000, 0.0407541000, 0.0865152000, 0.2317276000, 0.6970138000", \
+                        "0.0198128000, 0.0212659000, 0.0258770000, 0.0401651000, 0.0860605000, 0.2313770000, 0.6989636000", \
+                        "0.0189519000, 0.0203641000, 0.0248499000, 0.0401264000, 0.0861508000, 0.2318094000, 0.6986321000", \
+                        "0.0211679000, 0.0224859000, 0.0266313000, 0.0407215000, 0.0855727000, 0.2324579000, 0.6953814000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
+                    values("0.0004578000, -0.000780300, -0.004962400, -0.019022100, -0.064777600, -0.211478200, -0.680554300", \
+                        "-0.000263400, -0.001417200, -0.005350700, -0.019047600, -0.064574500, -0.211162800, -0.680171400", \
+                        "-0.001297300, -0.002433700, -0.006295500, -0.019541500, -0.064626600, -0.210983100, -0.679909100", \
+                        "-0.002195100, -0.003524400, -0.007398900, -0.020780400, -0.065276100, -0.211107300, -0.679984400", \
+                        "-0.001869100, -0.003354900, -0.007784700, -0.021583600, -0.066464200, -0.211799300, -0.680119100", \
+                        "-0.000464900, -0.001672200, -0.006899600, -0.020911800, -0.067012100, -0.212878800, -0.680336100", \
+                        "0.0050688000, 0.0040899000, -0.001829200, -0.017353000, -0.064699900, -0.211960700, -0.680959800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
+                    values("0.0177308000, 0.0192829000, 0.0240880000, 0.0386338000, 0.0848247000, 0.2304152000, 0.6931272000", \
+                        "0.0168387000, 0.0183731000, 0.0232430000, 0.0383508000, 0.0841036000, 0.2290935000, 0.6934419000", \
+                        "0.0161606000, 0.0176211000, 0.0225069000, 0.0372157000, 0.0837041000, 0.2298310000, 0.6930921000", \
+                        "0.0157049000, 0.0174486000, 0.0219732000, 0.0365771000, 0.0825160000, 0.2296185000, 0.6934026000", \
+                        "0.0160717000, 0.0174610000, 0.0219546000, 0.0361832000, 0.0818381000, 0.2271969000, 0.6956020000", \
+                        "0.0171232000, 0.0184152000, 0.0227815000, 0.0372600000, 0.0817443000, 0.2276749000, 0.6916018000", \
+                        "0.0218615000, 0.0229831000, 0.0268005000, 0.0400230000, 0.0830656000, 0.2275683000, 0.6891270000");
+                }
+            }
+            max_capacitance : 0.1547340000;
+            max_transition : 1.5018520000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0292324000, 0.0313595000, 0.0378329000, 0.0565923000, 0.1125239000, 0.2856665000, 0.8358570000", \
+                        "0.0372417000, 0.0393299000, 0.0457018000, 0.0645033000, 0.1203142000, 0.2935424000, 0.8439952000", \
+                        "0.0611686000, 0.0633876000, 0.0695828000, 0.0879738000, 0.1435598000, 0.3166525000, 0.8661451000", \
+                        "0.1076186000, 0.1113868000, 0.1231598000, 0.1506851000, 0.2108386000, 0.3811609000, 0.9304273000", \
+                        "0.1869219000, 0.1936929000, 0.2141280000, 0.2655998000, 0.3731319000, 0.5738801000, 1.1222000000", \
+                        "0.3024301000, 0.3153452000, 0.3543690000, 0.4524903000, 0.6549135000, 1.0212604000, 1.6572322000", \
+                        "0.4218947000, 0.4475575000, 0.5215917000, 0.7118377000, 1.1043566000, 1.8040391000, 2.9522682000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.1064910000, 0.1145565000, 0.1396441000, 0.2170335000, 0.4657473000, 1.2424233000, 3.7501535000", \
+                        "0.1121658000, 0.1203051000, 0.1459727000, 0.2248566000, 0.4724198000, 1.2506678000, 3.7663259000", \
+                        "0.1349265000, 0.1431484000, 0.1683560000, 0.2473496000, 0.4969433000, 1.2822452000, 3.7685064000", \
+                        "0.1971333000, 0.2053515000, 0.2304408000, 0.3087446000, 0.5553416000, 1.3386581000, 3.8466283000", \
+                        "0.3167888000, 0.3290107000, 0.3654696000, 0.4642308000, 0.7172313000, 1.4993600000, 4.0020072000", \
+                        "0.5241678000, 0.5483474000, 0.6176165000, 0.7801794000, 1.1351128000, 1.9541491000, 4.4576125000", \
+                        "0.8334131000, 0.8822440000, 1.0172372000, 1.3352662000, 1.9579924000, 3.1307361000, 5.7302807000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0226272000, 0.0250246000, 0.0324788000, 0.0559311000, 0.1306595000, 0.3707216000, 1.1382664000", \
+                        "0.0219581000, 0.0243649000, 0.0320101000, 0.0555587000, 0.1303301000, 0.3710106000, 1.1385571000", \
+                        "0.0254319000, 0.0272947000, 0.0334940000, 0.0555392000, 0.1303482000, 0.3698748000, 1.1393503000", \
+                        "0.0465763000, 0.0496135000, 0.0568063000, 0.0779006000, 0.1372953000, 0.3704463000, 1.1382050000", \
+                        "0.0905854000, 0.0964753000, 0.1128119000, 0.1441333000, 0.2145541000, 0.3976464000, 1.1400841000", \
+                        "0.1912082000, 0.2032915000, 0.2296850000, 0.2919355000, 0.4087899000, 0.6341714000, 1.2119890000", \
+                        "0.4015964000, 0.4221994000, 0.4861528000, 0.6045823000, 0.8288887000, 1.1915330000, 1.8668582000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0665236000, 0.0766966000, 0.1089956000, 0.2126729000, 0.5431210000, 1.5921414000, 4.9521987000", \
+                        "0.0661907000, 0.0766725000, 0.1091005000, 0.2132419000, 0.5420546000, 1.5956454000, 4.9610858000", \
+                        "0.0666101000, 0.0767848000, 0.1091013000, 0.2122514000, 0.5485471000, 1.5955954000, 4.9370144000", \
+                        "0.0719169000, 0.0812888000, 0.1110624000, 0.2129557000, 0.5412554000, 1.5899964000, 4.9577062000", \
+                        "0.1117548000, 0.1225408000, 0.1549618000, 0.2451518000, 0.5479729000, 1.6014045000, 4.9698521000", \
+                        "0.2232114000, 0.2373707000, 0.2777684000, 0.3881941000, 0.6790940000, 1.6174880000, 4.9679332000", \
+                        "0.5066532000, 0.5288966000, 0.5916046000, 0.7524052000, 1.1062123000, 2.0105559000, 4.9925246000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0230223000, 0.0249312000, 0.0307507000, 0.0481909000, 0.1026665000, 0.2754710000, 0.8290579000", \
+                        "0.0307591000, 0.0327466000, 0.0386454000, 0.0564318000, 0.1109418000, 0.2839805000, 0.8406946000", \
+                        "0.0504114000, 0.0533776000, 0.0613208000, 0.0796276000, 0.1347321000, 0.3098524000, 0.8603625000", \
+                        "0.0828156000, 0.0883890000, 0.1032449000, 0.1372640000, 0.2019376000, 0.3728232000, 0.9262924000", \
+                        "0.1309140000, 0.1414691000, 0.1705452000, 0.2356758000, 0.3566457000, 0.5651167000, 1.1206182000", \
+                        "0.1852659000, 0.2070094000, 0.2620759000, 0.3887256000, 0.6198819000, 1.0066081000, 1.6508536000", \
+                        "0.1896296000, 0.2291214000, 0.3362883000, 0.5795446000, 1.0295661000, 1.7715930000, 2.9556089000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0751967000, 0.0834073000, 0.1093623000, 0.1879918000, 0.4362763000, 1.2206198000, 3.7054302000", \
+                        "0.0775094000, 0.0858391000, 0.1119342000, 0.1930568000, 0.4393364000, 1.2194149000, 3.7116490000", \
+                        "0.0952885000, 0.1029778000, 0.1293758000, 0.2083809000, 0.4609846000, 1.2488351000, 3.7327608000", \
+                        "0.1530507000, 0.1629181000, 0.1903817000, 0.2681205000, 0.5159572000, 1.2987657000, 3.8034123000", \
+                        "0.2549809000, 0.2731529000, 0.3242104000, 0.4374625000, 0.6909040000, 1.4716310000, 3.9775223000", \
+                        "0.4254907000, 0.4564903000, 0.5443312000, 0.7508548000, 1.1597001000, 1.9745030000, 4.4564219000", \
+                        "0.7249496000, 0.7772776000, 0.9262179000, 1.2834264000, 2.0110238000, 3.3120877000, 5.8935217000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0129604000, 0.0152319000, 0.0225377000, 0.0458525000, 0.1206674000, 0.3591729000, 1.1206792000", \
+                        "0.0129339000, 0.0152326000, 0.0225152000, 0.0459346000, 0.1207312000, 0.3579652000, 1.1187006000", \
+                        "0.0203689000, 0.0225644000, 0.0273477000, 0.0469327000, 0.1204857000, 0.3583683000, 1.1184068000", \
+                        "0.0412859000, 0.0444514000, 0.0529538000, 0.0731565000, 0.1290452000, 0.3594733000, 1.1215674000", \
+                        "0.0898227000, 0.0953079000, 0.1097817000, 0.1437321000, 0.2119321000, 0.3878707000, 1.1259523000", \
+                        "0.1949785000, 0.2048617000, 0.2371687000, 0.2966811000, 0.4105005000, 0.6273689000, 1.1923584000", \
+                        "0.4193063000, 0.4396284000, 0.4979582000, 0.6256930000, 0.8440385000, 1.2010640000, 1.8726314000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
+                    values("0.0662979000, 0.0761075000, 0.1090361000, 0.2122521000, 0.5490192000, 1.5961962000, 4.9383524000", \
+                        "0.0661119000, 0.0761829000, 0.1088551000, 0.2135360000, 0.5426881000, 1.5965974000, 4.9458482000", \
+                        "0.0650735000, 0.0754770000, 0.1084352000, 0.2121561000, 0.5452476000, 1.5961437000, 4.9389581000", \
+                        "0.0873226000, 0.0965041000, 0.1193290000, 0.2135205000, 0.5426181000, 1.5977998000, 4.9523541000", \
+                        "0.1502392000, 0.1624842000, 0.1964222000, 0.2780155000, 0.5520136000, 1.5942095000, 4.9710316000", \
+                        "0.2767806000, 0.2982105000, 0.3554225000, 0.4854792000, 0.7542192000, 1.6292793000, 4.9459201000", \
+                        "0.5127071000, 0.5569908000, 0.6566234000, 0.8893921000, 1.3483633000, 2.1826887000, 5.0006870000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor2_8 */
+
+/* removed sky130_fd_sc_hd__nor2b_1 */
+
+/* removed sky130_fd_sc_hd__nor2b_2 */
+
+/* removed sky130_fd_sc_hd__nor2b_4 */
+
+/* removed sky130_fd_sc_hd__nor3_1 */
+
+    cell ("sky130_fd_sc_hd__nor3_2") {
+        leakage_power () {
+            value : 8.0429681000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 3.9994283000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.3405391000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 2.9945226000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.3458196000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 2.7688687000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.1718750000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.3160475000;
+            when : "A&B&!C";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__nor3";
+        cell_leakage_power : 2.3725090000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066251000, 0.0066204000, 0.0066093000, 0.0066064000, 0.0066001000, 0.0065847000, 0.0065431000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005603400, -0.005675800, -0.005851700, -0.005880500, -0.005933600, -0.006055300, -0.006370100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045780000;
+        }
+        pin ("B") {
+            capacitance : 0.0043990000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041510000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065798000, 0.0065772000, 0.0065722000, 0.0065701000, 0.0065662000, 0.0065572000, 0.0065342000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005910500, -0.006011300, -0.006267900, -0.006290000, -0.006324500, -0.006394200, -0.006562900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046460000;
+        }
+        pin ("C") {
+            capacitance : 0.0043560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0050248000, 0.0050068000, 0.0049582000, 0.0049769000, 0.0050316000, 0.0051878000, 0.0056301000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002982000, -0.002989300, -0.002994600, -0.003002600, -0.003010200, -0.003016600, -0.003019400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046410000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0089670000, 0.0078847000, 0.0049413000, -0.003008300, -0.024352200, -0.082368100, -0.240166200", \
+                        "0.0088348000, 0.0077567000, 0.0048007000, -0.003172100, -0.024491700, -0.082489400, -0.240305300", \
+                        "0.0087124000, 0.0076291000, 0.0047043000, -0.003241200, -0.024572400, -0.082600400, -0.240374700", \
+                        "0.0082631000, 0.0072097000, 0.0043206000, -0.003490100, -0.024780100, -0.082767800, -0.240522500", \
+                        "0.0081836000, 0.0071038000, 0.0041476000, -0.003764300, -0.024995800, -0.082895000, -0.240650700", \
+                        "0.0091746000, 0.0080745000, 0.0050931000, -0.002933200, -0.024556700, -0.082893200, -0.240622300", \
+                        "0.0119224000, 0.0108456000, 0.0078435000, -0.000568500, -0.022540500, -0.081388400, -0.240003600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0175231000, 0.0186285000, 0.0216340000, 0.0295329000, 0.0509481000, 0.1086173000, 0.2652678000", \
+                        "0.0172308000, 0.0183594000, 0.0213864000, 0.0295271000, 0.0508432000, 0.1086736000, 0.2652336000", \
+                        "0.0169498000, 0.0180864000, 0.0210845000, 0.0291682000, 0.0507034000, 0.1087269000, 0.2651995000", \
+                        "0.0167433000, 0.0178331000, 0.0208495000, 0.0288391000, 0.0504158000, 0.1084541000, 0.2650737000", \
+                        "0.0165540000, 0.0176628000, 0.0205930000, 0.0285675000, 0.0500569000, 0.1080315000, 0.2649348000", \
+                        "0.0161825000, 0.0174585000, 0.0205665000, 0.0286190000, 0.0499688000, 0.1078576000, 0.2647466000", \
+                        "0.0164928000, 0.0175849000, 0.0204070000, 0.0283313000, 0.0499270000, 0.1083544000, 0.2643114000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0063209000, 0.0052432000, 0.0023186000, -0.005551600, -0.026992500, -0.085291700, -0.243351100", \
+                        "0.0063463000, 0.0052749000, 0.0023765000, -0.005485600, -0.026908900, -0.085211500, -0.243227600", \
+                        "0.0063582000, 0.0052676000, 0.0024098000, -0.005354900, -0.026716600, -0.084941900, -0.242953700", \
+                        "0.0058337000, 0.0048059000, 0.0020624000, -0.005595200, -0.026855300, -0.085009700, -0.243007700", \
+                        "0.0057392000, 0.0046855000, 0.0018121000, -0.006060800, -0.027293100, -0.085206200, -0.243044300", \
+                        "0.0064145000, 0.0053138000, 0.0023836000, -0.005460100, -0.027262800, -0.085482900, -0.243146700", \
+                        "0.0093751000, 0.0078109000, 0.0045338000, -0.003753900, -0.025793200, -0.084629100, -0.242953600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0138936000, 0.0150004000, 0.0180118000, 0.0259763000, 0.0476053000, 0.1051299000, 0.2616701000", \
+                        "0.0135993000, 0.0147315000, 0.0177863000, 0.0258057000, 0.0475871000, 0.1050170000, 0.2616183000", \
+                        "0.0132615000, 0.0144063000, 0.0173991000, 0.0256284000, 0.0471998000, 0.1050061000, 0.2617664000", \
+                        "0.0130522000, 0.0141418000, 0.0171583000, 0.0252392000, 0.0467190000, 0.1048230000, 0.2616744000", \
+                        "0.0128281000, 0.0139369000, 0.0169132000, 0.0248921000, 0.0463882000, 0.1044168000, 0.2615001000", \
+                        "0.0128152000, 0.0139450000, 0.0168764000, 0.0249110000, 0.0465682000, 0.1044071000, 0.2608820000", \
+                        "0.0133219000, 0.0142791000, 0.0171455000, 0.0249261000, 0.0466447000, 0.1040071000, 0.2613250000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0001915000, -0.000874600, -0.003790700, -0.011731500, -0.033281700, -0.091736600, -0.249948400", \
+                        "-0.000101000, -0.001110000, -0.003891500, -0.011686000, -0.033135200, -0.091538300, -0.249725700", \
+                        "-0.000630600, -0.001594200, -0.004277400, -0.011820900, -0.033083800, -0.091369200, -0.249495900", \
+                        "-0.001141800, -0.002095100, -0.004840700, -0.012333600, -0.033332300, -0.091372200, -0.249404100", \
+                        "-0.001149500, -0.002310800, -0.005161200, -0.012800600, -0.033945100, -0.091727300, -0.249491800", \
+                        "-0.000515100, -0.001651500, -0.004580700, -0.012578200, -0.034222600, -0.092284600, -0.249864600", \
+                        "0.0029546000, 0.0017926000, -0.001972700, -0.010515100, -0.032679600, -0.091682100, -0.249929100");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527450, 0.0036598370, 0.0099016520, 0.0267888200, 0.0724768700, 0.1960854000");
+                    values("0.0116643000, 0.0127743000, 0.0158400000, 0.0238476000, 0.0451962000, 0.1029596000, 0.2594589000", \
+                        "0.0112579000, 0.0124401000, 0.0154681000, 0.0235826000, 0.0450697000, 0.1028942000, 0.2594579000", \
+                        "0.0108952000, 0.0120613000, 0.0151146000, 0.0232246000, 0.0451316000, 0.1028337000, 0.2593259000", \
+                        "0.0107004000, 0.0117954000, 0.0147894000, 0.0227776000, 0.0444886000, 0.1029098000, 0.2594698000", \
+                        "0.0107113000, 0.0118080000, 0.0146856000, 0.0226224000, 0.0442186000, 0.1024501000, 0.2590176000", \
+                        "0.0113511000, 0.0123915000, 0.0152811000, 0.0231386000, 0.0442622000, 0.1020590000, 0.2586654000", \
+                        "0.0141549000, 0.0150088000, 0.0176045000, 0.0253165000, 0.0455058000, 0.1030097000, 0.2584592000");
+                }
+            }
+            max_capacitance : 0.0549420000;
+            max_transition : 1.4940040000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0323475000, 0.0357804000, 0.0446685000, 0.0667176000, 0.1212762000, 0.2597962000, 0.6229154000", \
+                        "0.0410976000, 0.0443843000, 0.0530744000, 0.0748465000, 0.1292335000, 0.2673064000, 0.6309481000", \
+                        "0.0672215000, 0.0701820000, 0.0781592000, 0.0992453000, 0.1528727000, 0.2908101000, 0.6538634000", \
+                        "0.1261147000, 0.1309679000, 0.1428879000, 0.1676069000, 0.2211606000, 0.3579157000, 0.7210879000", \
+                        "0.2321755000, 0.2407742000, 0.2616923000, 0.3077415000, 0.3959069000, 0.5525537000, 0.9081441000", \
+                        "0.4107193000, 0.4262805000, 0.4646027000, 0.5492386000, 0.7144372000, 0.9992470000, 1.4608321000", \
+                        "0.6684513000, 0.6969907000, 0.7666696000, 0.9291400000, 1.2454389000, 1.7888707000, 2.6450087000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.2074089000, 0.2236494000, 0.2673707000, 0.3833280000, 0.6907984000, 1.5246722000, 3.7876697000", \
+                        "0.2124260000, 0.2285169000, 0.2730913000, 0.3896502000, 0.6986164000, 1.5416467000, 3.7913113000", \
+                        "0.2331958000, 0.2498369000, 0.2940553000, 0.4102547000, 0.7216645000, 1.5657574000, 3.8165915000", \
+                        "0.2931398000, 0.3093557000, 0.3530448000, 0.4690003000, 0.7804976000, 1.6176421000, 3.8861558000", \
+                        "0.4276465000, 0.4464574000, 0.4951848000, 0.6142963000, 0.9248814000, 1.7724591000, 4.0213139000", \
+                        "0.6679040000, 0.6951509000, 0.7648918000, 0.9262504000, 1.2905135000, 2.1381767000, 4.3969171000", \
+                        "1.0209089000, 1.0762227000, 1.2100498000, 1.4903914000, 2.0350832000, 3.1160021000, 5.4415743000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0332421000, 0.0373448000, 0.0480779000, 0.0751830000, 0.1442420000, 0.3281543000, 0.8229570000", \
+                        "0.0320083000, 0.0361280000, 0.0470514000, 0.0744473000, 0.1437716000, 0.3272327000, 0.8228463000", \
+                        "0.0339237000, 0.0372337000, 0.0468601000, 0.0728562000, 0.1430924000, 0.3274085000, 0.8234769000", \
+                        "0.0584224000, 0.0620053000, 0.0702412000, 0.0899173000, 0.1469560000, 0.3268616000, 0.8230248000", \
+                        "0.1106050000, 0.1166257000, 0.1312285000, 0.1616985000, 0.2205871000, 0.3564175000, 0.8231192000", \
+                        "0.2224225000, 0.2337478000, 0.2605612000, 0.3169290000, 0.4163435000, 0.5895920000, 0.9423426000", \
+                        "0.4647987000, 0.4891985000, 0.5449145000, 0.6530539000, 0.8349336000, 1.1357764000, 1.6136787000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.1348095000, 0.1551230000, 0.2124391000, 0.3656347000, 0.7792119000, 1.8950634000, 4.9004005000", \
+                        "0.1349461000, 0.1551940000, 0.2118831000, 0.3664099000, 0.7799992000, 1.8960948000, 4.9072870000", \
+                        "0.1343919000, 0.1553954000, 0.2118152000, 0.3653967000, 0.7787505000, 1.9006368000, 4.9024047000", \
+                        "0.1346587000, 0.1557717000, 0.2125153000, 0.3653002000, 0.7787007000, 1.8953121000, 4.9035886000", \
+                        "0.1614445000, 0.1803510000, 0.2312350000, 0.3740064000, 0.7787903000, 1.8980146000, 4.9008642000", \
+                        "0.2501574000, 0.2727255000, 0.3309744000, 0.4829374000, 0.8504645000, 1.9063724000, 4.9061879000", \
+                        "0.5296171000, 0.5578978000, 0.6326811000, 0.8033905000, 1.2085847000, 2.2026818000, 4.9905258000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0351813000, 0.0382291000, 0.0462094000, 0.0664265000, 0.1185162000, 0.2564747000, 0.6269023000", \
+                        "0.0433522000, 0.0464037000, 0.0543077000, 0.0745654000, 0.1266688000, 0.2644675000, 0.6342811000", \
+                        "0.0678948000, 0.0705002000, 0.0782399000, 0.0983630000, 0.1504672000, 0.2880192000, 0.6586594000", \
+                        "0.1209428000, 0.1260467000, 0.1383340000, 0.1654580000, 0.2191130000, 0.3566815000, 0.7256317000", \
+                        "0.2134105000, 0.2228960000, 0.2454784000, 0.2946759000, 0.3881551000, 0.5498393000, 0.9126890000", \
+                        "0.3595073000, 0.3775101000, 0.4209362000, 0.5143941000, 0.6923706000, 0.9892533000, 1.4641975000", \
+                        "0.5513434000, 0.5821418000, 0.6665271000, 0.8486712000, 1.1901065000, 1.7615630000, 2.6461662000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.1795795000, 0.1956088000, 0.2396036000, 0.3547722000, 0.6671598000, 1.4983270000, 3.7621648000", \
+                        "0.1818971000, 0.1984749000, 0.2426376000, 0.3588095000, 0.6732881000, 1.5160907000, 3.7619028000", \
+                        "0.1989836000, 0.2159521000, 0.2596849000, 0.3779566000, 0.6897647000, 1.5259857000, 3.7816008000", \
+                        "0.2561498000, 0.2723521000, 0.3158477000, 0.4336231000, 0.7444079000, 1.5823074000, 3.8395993000", \
+                        "0.3835923000, 0.4045710000, 0.4589176000, 0.5841017000, 0.8954344000, 1.7352848000, 4.0079509000", \
+                        "0.6072507000, 0.6443399000, 0.7331744000, 0.9254670000, 1.3201518000, 2.1658428000, 4.4259751000", \
+                        "0.9304514000, 0.9932455000, 1.1613390000, 1.5120326000, 2.1583926000, 3.3056855000, 5.6515614000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0283926000, 0.0319546000, 0.0414738000, 0.0669340000, 0.1358866000, 0.3237031000, 0.8293556000", \
+                        "0.0280421000, 0.0316084000, 0.0411078000, 0.0666685000, 0.1358556000, 0.3234841000, 0.8310950000", \
+                        "0.0294851000, 0.0327561000, 0.0414196000, 0.0662330000, 0.1357599000, 0.3227614000, 0.8292780000", \
+                        "0.0520990000, 0.0557143000, 0.0650887000, 0.0843442000, 0.1405432000, 0.3226641000, 0.8321233000", \
+                        "0.1029372000, 0.1091792000, 0.1243691000, 0.1562463000, 0.2174530000, 0.3542066000, 0.8292262000", \
+                        "0.2154604000, 0.2280865000, 0.2573203000, 0.3149777000, 0.4163851000, 0.5929406000, 0.9480013000", \
+                        "0.4523960000, 0.4827670000, 0.5446010000, 0.6548148000, 0.8467440000, 1.1405296000, 1.6314622000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.1347662000, 0.1550594000, 0.2124392000, 0.3657142000, 0.7836859000, 1.8902213000, 4.9087787000", \
+                        "0.1342285000, 0.1554472000, 0.2123636000, 0.3653768000, 0.7847465000, 1.9007267000, 4.9057096000", \
+                        "0.1343142000, 0.1554804000, 0.2135478000, 0.3662489000, 0.7803074000, 1.8932471000, 4.9015929000", \
+                        "0.1355403000, 0.1562073000, 0.2124983000, 0.3663965000, 0.7781971000, 1.8935900000, 4.8960880000", \
+                        "0.1796030000, 0.2002173000, 0.2492976000, 0.3838127000, 0.7801061000, 1.8981459000, 4.9111830000", \
+                        "0.3118165000, 0.3356392000, 0.3950160000, 0.5378925000, 0.8857061000, 1.9117634000, 4.9074513000", \
+                        "0.6420338000, 0.6778086000, 0.7629204000, 0.9582564000, 1.3670896000, 2.2744855000, 4.9654467000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0279570000, 0.0307973000, 0.0383538000, 0.0581807000, 0.1108243000, 0.2526105000, 0.6377473000", \
+                        "0.0357592000, 0.0387457000, 0.0466348000, 0.0666402000, 0.1193625000, 0.2612857000, 0.6439954000", \
+                        "0.0586558000, 0.0623909000, 0.0706879000, 0.0909763000, 0.1439479000, 0.2858252000, 0.6692193000", \
+                        "0.1005001000, 0.1073985000, 0.1237046000, 0.1555928000, 0.2131827000, 0.3526494000, 0.7377269000", \
+                        "0.1699580000, 0.1841773000, 0.2146527000, 0.2756312000, 0.3824548000, 0.5505863000, 0.9264264000", \
+                        "0.2779666000, 0.3047092000, 0.3626524000, 0.4813261000, 0.6826220000, 1.0021181000, 1.4865597000", \
+                        "0.4168322000, 0.4666999000, 0.5777109000, 0.8044158000, 1.1881963000, 1.7998222000, 2.7154741000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.1059144000, 0.1220717000, 0.1664144000, 0.2821613000, 0.5914677000, 1.4255698000, 3.6842598000", \
+                        "0.1071810000, 0.1237677000, 0.1679272000, 0.2861013000, 0.5964038000, 1.4313741000, 3.6897003000", \
+                        "0.1209893000, 0.1371121000, 0.1808002000, 0.2986929000, 0.6180983000, 1.4610079000, 3.7044712000", \
+                        "0.1773711000, 0.1919545000, 0.2325867000, 0.3473425000, 0.6601287000, 1.5011222000, 3.7584407000", \
+                        "0.2867205000, 0.3125090000, 0.3736635000, 0.5053007000, 0.8119977000, 1.6487032000, 3.9122861000", \
+                        "0.4459358000, 0.4903850000, 0.5978303000, 0.8243567000, 1.2443815000, 2.0852922000, 4.3429553000", \
+                        "0.6794713000, 0.7528120000, 0.9282654000, 1.2996326000, 2.0108644000, 3.2667102000, 5.5780172000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.0185282000, 0.0219552000, 0.0319005000, 0.0579431000, 0.1300373000, 0.3239303000, 0.8562308000", \
+                        "0.0184716000, 0.0220028000, 0.0318923000, 0.0585989000, 0.1301163000, 0.3237157000, 0.8467687000", \
+                        "0.0238971000, 0.0262968000, 0.0340561000, 0.0584734000, 0.1303929000, 0.3248222000, 0.8539862000", \
+                        "0.0472966000, 0.0512260000, 0.0602806000, 0.0796726000, 0.1358322000, 0.3253335000, 0.8450379000", \
+                        "0.1004237000, 0.1074304000, 0.1226788000, 0.1544869000, 0.2159057000, 0.3536798000, 0.8457487000", \
+                        "0.2152297000, 0.2277920000, 0.2589982000, 0.3160441000, 0.4187029000, 0.5909515000, 0.9566383000", \
+                        "0.4571724000, 0.4844769000, 0.5505769000, 0.6643637000, 0.8522094000, 1.1507054000, 1.6398514000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0013527400, 0.0036598400, 0.0099016500, 0.0267888000, 0.0724769000, 0.1960850000");
+                    values("0.1306726000, 0.1526301000, 0.2111066000, 0.3658204000, 0.7794960000, 1.8946229000, 4.9041464000", \
+                        "0.1297205000, 0.1517628000, 0.2119465000, 0.3652375000, 0.7789904000, 1.8966473000, 4.9020552000", \
+                        "0.1258874000, 0.1483452000, 0.2087455000, 0.3646278000, 0.7841499000, 1.9011126000, 4.9223612000", \
+                        "0.1356485000, 0.1543445000, 0.2074269000, 0.3620959000, 0.7806641000, 1.8996185000, 4.9003637000", \
+                        "0.2009448000, 0.2232276000, 0.2786037000, 0.3984434000, 0.7795251000, 1.8971456000, 4.9020730000", \
+                        "0.3434880000, 0.3754269000, 0.4535251000, 0.6153961000, 0.9509079000, 1.9183657000, 4.9069881000", \
+                        "0.6078505000, 0.6629937000, 0.7923255000, 1.0562390000, 1.5490285000, 2.4572919000, 5.0009303000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor3_4") {
+        leakage_power () {
+            value : 4.0285322000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 11.398388900;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.3370668000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 3.2374772000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.3626495000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 3.2925189000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.1809479000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.3586787000;
+            when : "A&B&!C";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__nor3";
+        cell_leakage_power : 2.8995330000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0127305000, 0.0127322000, 0.0127393000, 0.0127406000, 0.0127463000, 0.0127648000, 0.0128193000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010922600, -0.011054400, -0.011407100, -0.011446300, -0.011538000, -0.011777300, -0.012433100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090770000;
+        }
+        pin ("B") {
+            capacitance : 0.0090450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0085300000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0128311000, 0.0128269000, 0.0128173000, 0.0128146000, 0.0128092000, 0.0127963000, 0.0127621000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011283200, -0.011490900, -0.012057000, -0.012085600, -0.012146800, -0.012300600, -0.012714800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0095600000;
+        }
+        pin ("C") {
+            capacitance : 0.0084360000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079020000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0086109000, 0.0085882000, 0.0085265000, 0.0085539000, 0.0086333000, 0.0088591000, 0.0094976000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005788200, -0.005802400, -0.005825400, -0.005834800, -0.005844400, -0.005854400, -0.005865500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089690000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0164188000, 0.0151634000, 0.0115682000, 0.0007914000, -0.030710000, -0.123597100, -0.398875700", \
+                        "0.0161548000, 0.0149192000, 0.0112829000, 0.0005310000, -0.031002300, -0.123902900, -0.399201200", \
+                        "0.0159296000, 0.0147060000, 0.0110350000, 0.0003497000, -0.031196600, -0.124044300, -0.399301900", \
+                        "0.0150650000, 0.0138865000, 0.0103230000, -0.000252600, -0.031630200, -0.124467100, -0.399666000", \
+                        "0.0146311000, 0.0134010000, 0.0097588000, -0.000825800, -0.032136500, -0.124786000, -0.399881300", \
+                        "0.0164286000, 0.0151671000, 0.0119428000, 0.0007293000, -0.031190400, -0.124834000, -0.399866900", \
+                        "0.0213401000, 0.0201162000, 0.0162339000, 0.0051519000, -0.027402500, -0.121880800, -0.398730900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0339136000, 0.0351836000, 0.0388525000, 0.0496334000, 0.0814447000, 0.1737004000, 0.4465642000", \
+                        "0.0332921000, 0.0345485000, 0.0384134000, 0.0493801000, 0.0808750000, 0.1737108000, 0.4468754000", \
+                        "0.0326219000, 0.0338838000, 0.0376361000, 0.0487887000, 0.0805022000, 0.1733948000, 0.4467743000", \
+                        "0.0321850000, 0.0334644000, 0.0371961000, 0.0480321000, 0.0798592000, 0.1730950000, 0.4465325000", \
+                        "0.0317841000, 0.0330486000, 0.0367034000, 0.0475691000, 0.0795648000, 0.1722675000, 0.4460552000", \
+                        "0.0316259000, 0.0329770000, 0.0366811000, 0.0476506000, 0.0789375000, 0.1719549000, 0.4451786000", \
+                        "0.0313063000, 0.0324902000, 0.0361869000, 0.0468547000, 0.0784601000, 0.1726577000, 0.4455901000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0119068000, 0.0106775000, 0.0070295000, -0.003627300, -0.035163700, -0.128573800, -0.404359300", \
+                        "0.0119497000, 0.0107285000, 0.0071234000, -0.003503300, -0.035020800, -0.128416500, -0.404197700", \
+                        "0.0119564000, 0.0107937000, 0.0072930000, -0.003229300, -0.034609500, -0.127932900, -0.403737100", \
+                        "0.0109287000, 0.0097696000, 0.0063444000, -0.003889700, -0.035039500, -0.128137600, -0.403799200", \
+                        "0.0105308000, 0.0093336000, 0.0057883000, -0.004669400, -0.036024000, -0.128619400, -0.403861900", \
+                        "0.0114942000, 0.0102570000, 0.0066356000, -0.004232700, -0.035466000, -0.129244800, -0.404220200", \
+                        "0.0165833000, 0.0154707000, 0.0105068000, -5.39000e-05, -0.032683800, -0.127500200, -0.403714100");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0270396000, 0.0283062000, 0.0320877000, 0.0429030000, 0.0744942000, 0.1672355000, 0.4403905000", \
+                        "0.0263148000, 0.0276275000, 0.0314933000, 0.0425144000, 0.0742167000, 0.1676019000, 0.4403025000", \
+                        "0.0256227000, 0.0268715000, 0.0307041000, 0.0417843000, 0.0737300000, 0.1671308000, 0.4398000000", \
+                        "0.0251582000, 0.0264175000, 0.0302064000, 0.0410858000, 0.0730121000, 0.1664084000, 0.4399252000", \
+                        "0.0246719000, 0.0259435000, 0.0296457000, 0.0405000000, 0.0722484000, 0.1653606000, 0.4390693000", \
+                        "0.0246093000, 0.0258439000, 0.0295270000, 0.0404079000, 0.0723430000, 0.1655440000, 0.4386757000", \
+                        "0.0252337000, 0.0263968000, 0.0299082000, 0.0403365000, 0.0722816000, 0.1654813000, 0.4390582000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0015382000, 0.0002908000, -0.003369500, -0.014166700, -0.045923500, -0.139623000, -0.415715800", \
+                        "0.0010621000, -0.000104200, -0.003604700, -0.014165200, -0.045713300, -0.139313200, -0.415339400", \
+                        "0.0001710000, -0.000940700, -0.004263600, -0.014442800, -0.045633500, -0.138998400, -0.414930100", \
+                        "-0.000897800, -0.001968700, -0.005256000, -0.015274500, -0.046022000, -0.138994600, -0.414712800", \
+                        "-0.001044700, -0.002201300, -0.005618400, -0.015826400, -0.047100600, -0.139463800, -0.414900700", \
+                        "-0.000386200, -0.001686300, -0.005396200, -0.016173900, -0.047062100, -0.140119100, -0.415151100", \
+                        "0.0043340000, 0.0027755000, -0.001280600, -0.012490500, -0.044303400, -0.139842600, -0.415883000");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
+                    values("0.0231837000, 0.0244400000, 0.0283406000, 0.0391892000, 0.0707054000, 0.1637098000, 0.4366271000", \
+                        "0.0223325000, 0.0236410000, 0.0275149000, 0.0386936000, 0.0706162000, 0.1633083000, 0.4364088000", \
+                        "0.0215126000, 0.0228700000, 0.0267085000, 0.0378439000, 0.0698694000, 0.1630481000, 0.4365776000", \
+                        "0.0210864000, 0.0223548000, 0.0260725000, 0.0370398000, 0.0688932000, 0.1624368000, 0.4364140000", \
+                        "0.0208851000, 0.0221103000, 0.0257596000, 0.0365376000, 0.0681972000, 0.1615216000, 0.4354284000", \
+                        "0.0217792000, 0.0230205000, 0.0265149000, 0.0371524000, 0.0684885000, 0.1612933000, 0.4344729000", \
+                        "0.0250117000, 0.0261087000, 0.0293860000, 0.0394729000, 0.0704662000, 0.1619743000, 0.4348021000");
+                }
+            }
+            max_capacitance : 0.0915630000;
+            max_transition : 1.5017200000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0300958000, 0.0321493000, 0.0379599000, 0.0540678000, 0.0970227000, 0.2135865000, 0.5441297000", \
+                        "0.0388510000, 0.0408702000, 0.0465434000, 0.0622811000, 0.1050598000, 0.2214282000, 0.5525329000", \
+                        "0.0646538000, 0.0665925000, 0.0714652000, 0.0866006000, 0.1281765000, 0.2442866000, 0.5751153000", \
+                        "0.1197562000, 0.1228901000, 0.1313587000, 0.1521368000, 0.1966240000, 0.3112955000, 0.6405592000", \
+                        "0.2168828000, 0.2223392000, 0.2370125000, 0.2736012000, 0.3517214000, 0.5010177000, 0.8295963000", \
+                        "0.3704642000, 0.3804383000, 0.4079215000, 0.4743540000, 0.6200289000, 0.8924808000, 1.3599338000", \
+                        "0.5638960000, 0.5810107000, 0.6319862000, 0.7572655000, 1.0391190000, 1.5581845000, 2.4252020000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.2272133000, 0.2385024000, 0.2710446000, 0.3649684000, 0.6462322000, 1.4470161000, 3.8346442000", \
+                        "0.2309042000, 0.2429321000, 0.2761571000, 0.3721260000, 0.6471971000, 1.4540413000, 3.8305181000", \
+                        "0.2518507000, 0.2628708000, 0.2960730000, 0.3929690000, 0.6696704000, 1.4784650000, 3.8527967000", \
+                        "0.3128394000, 0.3242324000, 0.3567303000, 0.4527009000, 0.7294085000, 1.5519093000, 3.9176875000", \
+                        "0.4526985000, 0.4658159000, 0.5020609000, 0.5999237000, 0.8774617000, 1.6873491000, 4.0701629000", \
+                        "0.7143518000, 0.7320105000, 0.7822301000, 0.9128419000, 1.2402854000, 2.0641688000, 4.4419304000", \
+                        "1.1397602000, 1.1739018000, 1.2667947000, 1.4970715000, 1.9791151000, 3.0360376000, 5.4845137000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0326224000, 0.0350724000, 0.0423727000, 0.0625335000, 0.1182077000, 0.2764289000, 0.7442132000", \
+                        "0.0313366000, 0.0338965000, 0.0412046000, 0.0616173000, 0.1175651000, 0.2763673000, 0.7445646000", \
+                        "0.0345970000, 0.0365316000, 0.0423512000, 0.0606596000, 0.1162988000, 0.2761007000, 0.7435869000", \
+                        "0.0579466000, 0.0601347000, 0.0665054000, 0.0824482000, 0.1247128000, 0.2749582000, 0.7434206000", \
+                        "0.1089253000, 0.1128394000, 0.1227721000, 0.1473414000, 0.2011408000, 0.3187149000, 0.7460366000", \
+                        "0.2165068000, 0.2234900000, 0.2441496000, 0.2917331000, 0.3768178000, 0.5471962000, 0.8914209000", \
+                        "0.4524217000, 0.4672100000, 0.5077552000, 0.5975374000, 0.7679751000, 1.0550758000, 1.5508058000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.1457773000, 0.1600783000, 0.2021478000, 0.3271401000, 0.6969032000, 1.7656454000, 4.9187765000", \
+                        "0.1456762000, 0.1598648000, 0.2026112000, 0.3268324000, 0.6921985000, 1.7680170000, 4.9129607000", \
+                        "0.1462946000, 0.1600305000, 0.2021367000, 0.3268625000, 0.6921987000, 1.7690506000, 4.9199684000", \
+                        "0.1460962000, 0.1603210000, 0.2028433000, 0.3269571000, 0.6929388000, 1.7716250000, 4.9133906000", \
+                        "0.1686032000, 0.1817611000, 0.2202244000, 0.3368632000, 0.6954931000, 1.7653468000, 4.9158877000", \
+                        "0.2469492000, 0.2618143000, 0.3062618000, 0.4332077000, 0.7713479000, 1.7776105000, 4.9315632000", \
+                        "0.5034215000, 0.5222865000, 0.5765931000, 0.7209692000, 1.0891600000, 2.0720052000, 4.9774065000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0337998000, 0.0356518000, 0.0409148000, 0.0554522000, 0.0953466000, 0.2088262000, 0.5391247000", \
+                        "0.0419665000, 0.0438016000, 0.0490908000, 0.0636215000, 0.1036199000, 0.2169239000, 0.5474978000", \
+                        "0.0659377000, 0.0677212000, 0.0727444000, 0.0870278000, 0.1269120000, 0.2405279000, 0.5706670000", \
+                        "0.1154912000, 0.1187538000, 0.1275077000, 0.1490548000, 0.1939963000, 0.3071071000, 0.6369508000", \
+                        "0.1977128000, 0.2036979000, 0.2199806000, 0.2591374000, 0.3422723000, 0.4950688000, 0.8237807000", \
+                        "0.3149159000, 0.3263024000, 0.3572435000, 0.4332121000, 0.5904335000, 0.8751432000, 1.3530554000", \
+                        "0.4335032000, 0.4551469000, 0.5105613000, 0.6599667000, 0.9664540000, 1.5132552000, 2.3985656000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.2028727000, 0.2142116000, 0.2482177000, 0.3439074000, 0.6178569000, 1.4243581000, 3.8002783000", \
+                        "0.2046756000, 0.2161802000, 0.2499919000, 0.3461652000, 0.6228932000, 1.4330803000, 3.8045758000", \
+                        "0.2206800000, 0.2324497000, 0.2659540000, 0.3622675000, 0.6412528000, 1.4577463000, 3.8271377000", \
+                        "0.2784384000, 0.2896249000, 0.3225706000, 0.4182984000, 0.6962673000, 1.5177524000, 3.8862376000", \
+                        "0.4126471000, 0.4268030000, 0.4674859000, 0.5713494000, 0.8491116000, 1.6609095000, 4.0406642000", \
+                        "0.6657218000, 0.6917848000, 0.7535786000, 0.9094611000, 1.2699818000, 2.1001445000, 4.4783781000", \
+                        "1.0701159000, 1.1151939000, 1.2350274000, 1.5225277000, 2.1126894000, 3.2459706000, 5.7141012000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0278919000, 0.0298777000, 0.0358522000, 0.0533874000, 0.1052138000, 0.2601636000, 0.7180056000", \
+                        "0.0273033000, 0.0293272000, 0.0354484000, 0.0531094000, 0.1053590000, 0.2604573000, 0.7172414000", \
+                        "0.0292125000, 0.0309851000, 0.0363265000, 0.0527165000, 0.1050188000, 0.2601536000, 0.7194093000", \
+                        "0.0511414000, 0.0534035000, 0.0598117000, 0.0742960000, 0.1148279000, 0.2601557000, 0.7174979000", \
+                        "0.1003229000, 0.1043011000, 0.1148801000, 0.1402858000, 0.1936315000, 0.3073385000, 0.7217438000", \
+                        "0.2138837000, 0.2216878000, 0.2421545000, 0.2839392000, 0.3785345000, 0.5433573000, 0.8721656000", \
+                        "0.4427093000, 0.4579074000, 0.5102547000, 0.5966279000, 0.7734164000, 1.0617303000, 1.5558081000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.1451184000, 0.1595621000, 0.2024287000, 0.3272650000, 0.6920916000, 1.7660938000, 4.9125886000", \
+                        "0.1454619000, 0.1597671000, 0.2021830000, 0.3269801000, 0.6922825000, 1.7691515000, 4.9239102000", \
+                        "0.1457139000, 0.1598927000, 0.2024434000, 0.3272341000, 0.6927544000, 1.7702908000, 4.9275570000", \
+                        "0.1464522000, 0.1602240000, 0.2030741000, 0.3271354000, 0.6920167000, 1.7706820000, 4.9169899000", \
+                        "0.1884738000, 0.2012370000, 0.2372486000, 0.3471256000, 0.6947318000, 1.7651544000, 4.9178626000", \
+                        "0.3102593000, 0.3266194000, 0.3705236000, 0.4895836000, 0.8039614000, 1.7823156000, 4.9130300000", \
+                        "0.6327867000, 0.6552991000, 0.7214200000, 0.8799319000, 1.2457542000, 2.1420605000, 4.9675601000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0273217000, 0.0290273000, 0.0338484000, 0.0475920000, 0.0871307000, 0.2030924000, 0.5448900000", \
+                        "0.0350776000, 0.0368529000, 0.0419011000, 0.0557223000, 0.0955328000, 0.2115133000, 0.5525889000", \
+                        "0.0563514000, 0.0586718000, 0.0646990000, 0.0790915000, 0.1188739000, 0.2346021000, 0.5772589000", \
+                        "0.0932363000, 0.0975838000, 0.1090081000, 0.1349538000, 0.1855321000, 0.3020176000, 0.6412854000", \
+                        "0.1476719000, 0.1561742000, 0.1782648000, 0.2276963000, 0.3240528000, 0.4871782000, 0.8295864000", \
+                        "0.2092291000, 0.2244662000, 0.2683291000, 0.3651827000, 0.5452893000, 0.8547808000, 1.3508363000", \
+                        "0.2083581000, 0.2400804000, 0.3197582000, 0.5115027000, 0.8698355000, 1.4604093000, 2.3900604000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.1263439000, 0.1379974000, 0.1714352000, 0.2670374000, 0.5419325000, 1.3564332000, 3.7231440000", \
+                        "0.1257520000, 0.1377452000, 0.1716737000, 0.2685872000, 0.5480411000, 1.3541738000, 3.7505781000", \
+                        "0.1399643000, 0.1510596000, 0.1843240000, 0.2809691000, 0.5608427000, 1.3731879000, 3.7471928000", \
+                        "0.1987596000, 0.2088732000, 0.2400602000, 0.3352758000, 0.6111366000, 1.4239541000, 3.8148338000", \
+                        "0.3368772000, 0.3535135000, 0.3978033000, 0.5049485000, 0.7789474000, 1.5870917000, 3.9916030000", \
+                        "0.5732805000, 0.6015811000, 0.6786214000, 0.8632355000, 1.2507565000, 2.0637916000, 4.4371546000", \
+                        "0.9942380000, 1.0421256000, 1.1711743000, 1.4827356000, 2.1311117000, 3.3600973000, 5.7850991000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.0180210000, 0.0200903000, 0.0264188000, 0.0448495000, 0.0990630000, 0.2589305000, 0.7300571000", \
+                        "0.0180147000, 0.0200862000, 0.0262358000, 0.0445198000, 0.0990084000, 0.2592160000, 0.7323535000", \
+                        "0.0243538000, 0.0258148000, 0.0301843000, 0.0459573000, 0.0993148000, 0.2589662000, 0.7303171000", \
+                        "0.0470865000, 0.0498978000, 0.0558658000, 0.0718532000, 0.1118248000, 0.2594363000, 0.7287066000", \
+                        "0.0997532000, 0.1039472000, 0.1152339000, 0.1435559000, 0.1955849000, 0.3101833000, 0.7301745000", \
+                        "0.2173166000, 0.2262979000, 0.2467533000, 0.2936359000, 0.3865712000, 0.5511355000, 0.8834226000", \
+                        "0.4690707000, 0.4858743000, 0.5365086000, 0.6205096000, 0.7893179000, 1.0726968000, 1.5677752000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
+                    values("0.1435150000, 0.1576464000, 0.2015182000, 0.3267248000, 0.6916235000, 1.7702982000, 4.9174229000", \
+                        "0.1423242000, 0.1571021000, 0.2007460000, 0.3269047000, 0.6927383000, 1.7623621000, 4.9334759000", \
+                        "0.1395961000, 0.1550812000, 0.1995339000, 0.3268049000, 0.6930345000, 1.7648553000, 4.9153486000", \
+                        "0.1423428000, 0.1559547000, 0.1967879000, 0.3235363000, 0.6917877000, 1.7663195000, 4.9237872000", \
+                        "0.2082327000, 0.2224356000, 0.2629402000, 0.3596035000, 0.6929244000, 1.7659211000, 4.9278599000", \
+                        "0.3578838000, 0.3782300000, 0.4321592000, 0.5624872000, 0.8643189000, 1.7881248000, 4.9146434000", \
+                        "0.6412946000, 0.6762982000, 0.7663225000, 0.9843527000, 1.4258110000, 2.2887547000, 4.9732551000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor3b_1 */
+
+/* removed sky130_fd_sc_hd__nor3b_2 */
+
+/* removed sky130_fd_sc_hd__nor3b_4 */
+
+/* removed sky130_fd_sc_hd__nor4_1 */
+
+    cell ("sky130_fd_sc_hd__nor4_2") {
+        leakage_power () {
+            value : 4.6411195000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 5.8346181000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.3237476000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 2.9009586000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.3235393000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 2.7303668000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.1665405000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.3114448000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.3285220000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 2.6525853000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.1678341000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.3161640000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.1684663000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.3143749000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.1135761000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.1651814000;
+            when : "A&B&C&!D";
+        }
+        area : 12.512000000;
+        cell_footprint : "sky130_fd_sc_hd__nor4";
+        cell_leakage_power : 1.3411900000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043850000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042100000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065064000, 0.0065054000, 0.0065042000, 0.0065048000, 0.0065083000, 0.0065200000, 0.0065545000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005470900, -0.005528900, -0.005680000, -0.005702900, -0.005754900, -0.005889600, -0.006256900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045600000;
+        }
+        pin ("B") {
+            capacitance : 0.0043360000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065038000, 0.0065016000, 0.0064971000, 0.0064967000, 0.0064969000, 0.0064996000, 0.0065087000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005582600, -0.005620800, -0.005716700, -0.005740600, -0.005796000, -0.005940000, -0.006333600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045810000;
+        }
+        pin ("C") {
+            capacitance : 0.0043280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065076000, 0.0064988000, 0.0064758000, 0.0064748000, 0.0064736000, 0.0064720000, 0.0064691000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005767400, -0.005856600, -0.006096000, -0.006112000, -0.006145300, -0.006227100, -0.006446100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046090000;
+        }
+        pin ("D") {
+            capacitance : 0.0043110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0049835000, 0.0049682000, 0.0049267000, 0.0049444000, 0.0049960000, 0.0051429000, 0.0055585000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002916800, -0.002922200, -0.002927500, -0.002932600, -0.002937200, -0.002940000, -0.002937700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046190000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C&!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0108749000, 0.0098918000, 0.0073754000, 0.0009700000, -0.015305100, -0.056471300, -0.161920900", \
+                        "0.0107249000, 0.0097443000, 0.0072229000, 0.0008245000, -0.015448600, -0.056611600, -0.162056300", \
+                        "0.0106056000, 0.0096300000, 0.0071105000, 0.0007066000, -0.015562300, -0.056739700, -0.162200000", \
+                        "0.0102369000, 0.0092645000, 0.0067733000, 0.0004553000, -0.015831600, -0.056965600, -0.162417900", \
+                        "0.0098443000, 0.0089010000, 0.0064340000, 0.0001354000, -0.016031100, -0.057105700, -0.162557300", \
+                        "0.0111168000, 0.0101207000, 0.0075991000, 0.0011661000, -0.015304900, -0.056966800, -0.162502700", \
+                        "0.0140044000, 0.0129740000, 0.0103969000, 0.0038152000, -0.012700900, -0.054781000, -0.161517700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0234264000, 0.0244166000, 0.0269392000, 0.0333411000, 0.0495276000, 0.0907045000, 0.1958349000", \
+                        "0.0231456000, 0.0241626000, 0.0267420000, 0.0331841000, 0.0494049000, 0.0906519000, 0.1958209000", \
+                        "0.0228346000, 0.0238462000, 0.0264042000, 0.0329104000, 0.0492549000, 0.0905674000, 0.1957223000", \
+                        "0.0225948000, 0.0236026000, 0.0261535000, 0.0326784000, 0.0490084000, 0.0903438000, 0.1955640000", \
+                        "0.0223816000, 0.0233838000, 0.0259290000, 0.0323106000, 0.0485865000, 0.0899630000, 0.1953202000", \
+                        "0.0223313000, 0.0233506000, 0.0259498000, 0.0322980000, 0.0484530000, 0.0899895000, 0.1950525000", \
+                        "0.0219526000, 0.0229398000, 0.0254655000, 0.0320347000, 0.0485402000, 0.0896894000, 0.1948808000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0083633000, 0.0073708000, 0.0048700000, -0.001519800, -0.017685900, -0.058971900, -0.164812700", \
+                        "0.0083763000, 0.0073618000, 0.0048441000, -0.001543000, -0.017700600, -0.058966600, -0.164808300", \
+                        "0.0082795000, 0.0072963000, 0.0048117000, -0.001572200, -0.017716000, -0.058993700, -0.164793900", \
+                        "0.0079140000, 0.0069944000, 0.0045636000, -0.001737900, -0.017844100, -0.059133500, -0.164914100", \
+                        "0.0077184000, 0.0067459000, 0.0042273000, -0.002104100, -0.018091100, -0.059301100, -0.165001300", \
+                        "0.0086477000, 0.0076635000, 0.0051732000, -0.001218300, -0.017788300, -0.059187100, -0.164883000", \
+                        "0.0110799000, 0.0100195000, 0.0074013000, 0.0008260000, -0.015854400, -0.057868900, -0.164319000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0197888000, 0.0207839000, 0.0233239000, 0.0297890000, 0.0459760000, 0.0870902000, 0.1922112000", \
+                        "0.0194981000, 0.0205136000, 0.0230964000, 0.0296285000, 0.0459124000, 0.0870463000, 0.1920801000", \
+                        "0.0191656000, 0.0201752000, 0.0227611000, 0.0293327000, 0.0456847000, 0.0869301000, 0.1921746000", \
+                        "0.0189234000, 0.0199586000, 0.0224926000, 0.0290063000, 0.0452794000, 0.0867075000, 0.1920573000", \
+                        "0.0187143000, 0.0196801000, 0.0222203000, 0.0286247000, 0.0448947000, 0.0863542000, 0.1916658000", \
+                        "0.0186585000, 0.0196685000, 0.0221936000, 0.0286482000, 0.0447812000, 0.0860807000, 0.1914078000", \
+                        "0.0184278000, 0.0193931000, 0.0218052000, 0.0282212000, 0.0447580000, 0.0862644000, 0.1915400000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0063994000, 0.0054140000, 0.0029153000, -0.003426700, -0.019641000, -0.061168500, -0.167184300", \
+                        "0.0064224000, 0.0054541000, 0.0029693000, -0.003357700, -0.019555200, -0.061057900, -0.167075200", \
+                        "0.0064671000, 0.0055081000, 0.0030791000, -0.003155000, -0.019312600, -0.060773500, -0.166745300", \
+                        "0.0060356000, 0.0051090000, 0.0027683000, -0.003365200, -0.019390200, -0.060778900, -0.166705500", \
+                        "0.0059090000, 0.0049482000, 0.0025285000, -0.003772800, -0.019844600, -0.061007600, -0.166810900", \
+                        "0.0062041000, 0.0052137000, 0.0028021000, -0.003480700, -0.019924200, -0.061122600, -0.166823600", \
+                        "0.0084347000, 0.0074373000, 0.0049023000, -0.001388900, -0.017912000, -0.060408800, -0.166612900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0162544000, 0.0172828000, 0.0197769000, 0.0261906000, 0.0424039000, 0.0835167000, 0.1887316000", \
+                        "0.0159563000, 0.0170084000, 0.0195433000, 0.0260339000, 0.0423346000, 0.0836004000, 0.1886888000", \
+                        "0.0156557000, 0.0166962000, 0.0192444000, 0.0257666000, 0.0421290000, 0.0834492000, 0.1885233000", \
+                        "0.0153875000, 0.0164407000, 0.0189342000, 0.0254091000, 0.0420225000, 0.0832101000, 0.1885935000", \
+                        "0.0151819000, 0.0161735000, 0.0187083000, 0.0251465000, 0.0415787000, 0.0828050000, 0.1881382000", \
+                        "0.0151481000, 0.0161523000, 0.0187125000, 0.0250827000, 0.0413846000, 0.0826836000, 0.1877875000", \
+                        "0.0153688000, 0.0163283000, 0.0187924000, 0.0250136000, 0.0416342000, 0.0829446000, 0.1878616000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0006054000, -0.000396600, -0.002958800, -0.009476900, -0.025925200, -0.067594900, -0.173783400", \
+                        "0.0004051000, -0.000553500, -0.003060300, -0.009460300, -0.025804300, -0.067421700, -0.173575500", \
+                        "-7.95000e-05, -0.001003900, -0.003386300, -0.009594600, -0.025754000, -0.067240600, -0.173345700", \
+                        "-0.000721100, -0.001600800, -0.003918500, -0.010006500, -0.025910900, -0.067230800, -0.173192500", \
+                        "-0.000979900, -0.001915100, -0.004282800, -0.010389500, -0.026418600, -0.067507800, -0.173278100", \
+                        "-0.000551800, -0.001512400, -0.003984500, -0.010266700, -0.026685100, -0.068018100, -0.173621000", \
+                        "0.0020273000, 0.0012535000, -0.001583800, -0.008343900, -0.025527500, -0.067500500, -0.173433000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736130, 0.0032441800, 0.0082636600, 0.0210494100, 0.0536176000, 0.1365761000");
+                    values("0.0138612000, 0.0149354000, 0.0174905000, 0.0239181000, 0.0401490000, 0.0813509000, 0.1864031000", \
+                        "0.0135045000, 0.0145534000, 0.0171835000, 0.0237111000, 0.0400415000, 0.0815570000, 0.1864085000", \
+                        "0.0131441000, 0.0141414000, 0.0167239000, 0.0233263000, 0.0398339000, 0.0811900000, 0.1863531000", \
+                        "0.0128786000, 0.0138891000, 0.0164468000, 0.0229146000, 0.0392693000, 0.0808222000, 0.1860695000", \
+                        "0.0127922000, 0.0137300000, 0.0162829000, 0.0226845000, 0.0390840000, 0.0803584000, 0.1857822000", \
+                        "0.0132688000, 0.0143031000, 0.0167095000, 0.0230302000, 0.0390184000, 0.0801393000, 0.1853787000", \
+                        "0.0153006000, 0.0161585000, 0.0184673000, 0.0249838000, 0.0409421000, 0.0813787000, 0.1858458000");
+                }
+            }
+            max_capacitance : 0.0366310000;
+            max_transition : 1.4891980000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0332891000, 0.0363041000, 0.0438232000, 0.0619749000, 0.1054037000, 0.2083958000, 0.4576249000", \
+                        "0.0422445000, 0.0452119000, 0.0525845000, 0.0705831000, 0.1135143000, 0.2161704000, 0.4656180000", \
+                        "0.0688755000, 0.0715089000, 0.0783380000, 0.0955834000, 0.1377798000, 0.2399506000, 0.4882829000", \
+                        "0.1309489000, 0.1351862000, 0.1450314000, 0.1654495000, 0.2072250000, 0.3073474000, 0.5558246000", \
+                        "0.2476652000, 0.2544784000, 0.2715928000, 0.3085331000, 0.3790823000, 0.5026718000, 0.7492806000", \
+                        "0.4498198000, 0.4619482000, 0.4924941000, 0.5584723000, 0.6885487000, 0.9136780000, 1.2787637000", \
+                        "0.7570007000, 0.7804544000, 0.8369703000, 0.9576376000, 1.2036021000, 1.6314566000, 2.3143902000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.3573484000, 0.3776058000, 0.4313693000, 0.5637295000, 0.8947540000, 1.7405444000, 3.9083670000", \
+                        "0.3605584000, 0.3811154000, 0.4361227000, 0.5698014000, 0.9016924000, 1.7490908000, 3.9016408000", \
+                        "0.3796101000, 0.4008596000, 0.4539107000, 0.5875788000, 0.9281375000, 1.7720196000, 3.9316328000", \
+                        "0.4381756000, 0.4586055000, 0.5117456000, 0.6457873000, 0.9813389000, 1.8317956000, 3.9889767000", \
+                        "0.5784421000, 0.5994900000, 0.6524959000, 0.7852385000, 1.1224882000, 1.9697450000, 4.1276031000", \
+                        "0.8465520000, 0.8728573000, 0.9389739000, 1.0945646000, 1.4538715000, 2.3080422000, 4.4782826000", \
+                        "1.2857447000, 1.3283772000, 1.4330817000, 1.6601809000, 2.1294357000, 3.1322960000, 5.3440807000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0365813000, 0.0405430000, 0.0504020000, 0.0741033000, 0.1304448000, 0.2649170000, 0.5988385000", \
+                        "0.0357915000, 0.0398677000, 0.0496715000, 0.0733334000, 0.1294516000, 0.2643574000, 0.5996971000", \
+                        "0.0381602000, 0.0414302000, 0.0499128000, 0.0720208000, 0.1282452000, 0.2632835000, 0.5992701000", \
+                        "0.0648243000, 0.0678647000, 0.0748263000, 0.0906687000, 0.1338942000, 0.2619285000, 0.5982202000", \
+                        "0.1216025000, 0.1267279000, 0.1384577000, 0.1626899000, 0.2083364000, 0.3038238000, 0.6016874000", \
+                        "0.2400686000, 0.2516449000, 0.2725676000, 0.3199161000, 0.3954982000, 0.5328417000, 0.7743111000", \
+                        "0.4979968000, 0.5167139000, 0.5592606000, 0.6535208000, 0.7980114000, 1.0363591000, 1.4178256000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.2296475000, 0.2559804000, 0.3250850000, 0.4978299000, 0.9358829000, 2.0554050000, 4.8995625000", \
+                        "0.2299525000, 0.2560886000, 0.3250845000, 0.4978730000, 0.9360668000, 2.0506001000, 4.8833697000", \
+                        "0.2298722000, 0.2564161000, 0.3243601000, 0.4966022000, 0.9373189000, 2.0536322000, 4.8815969000", \
+                        "0.2312066000, 0.2565967000, 0.3250767000, 0.4982423000, 0.9386195000, 2.0532607000, 4.8905346000", \
+                        "0.2374576000, 0.2625451000, 0.3294186000, 0.4990815000, 0.9381868000, 2.0524906000, 4.8825339000", \
+                        "0.3080467000, 0.3340509000, 0.4028336000, 0.5716566000, 0.9761003000, 2.0650319000, 4.8984239000", \
+                        "0.5230967000, 0.5521757000, 0.6286134000, 0.8118849000, 1.2510261000, 2.2798254000, 4.9442677000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0366881000, 0.0397834000, 0.0474026000, 0.0655050000, 0.1081611000, 0.2100890000, 0.4618663000", \
+                        "0.0454055000, 0.0483713000, 0.0558789000, 0.0738705000, 0.1163239000, 0.2182376000, 0.4702174000", \
+                        "0.0710063000, 0.0737686000, 0.0807671000, 0.0981056000, 0.1401050000, 0.2416819000, 0.4931108000", \
+                        "0.1322635000, 0.1365031000, 0.1462888000, 0.1675739000, 0.2092540000, 0.3100693000, 0.5595844000", \
+                        "0.2408906000, 0.2484444000, 0.2660822000, 0.3040561000, 0.3760856000, 0.5026579000, 0.7523340000", \
+                        "0.4226927000, 0.4364530000, 0.4687846000, 0.5352322000, 0.6725525000, 0.9021758000, 1.2807205000", \
+                        "0.6810937000, 0.7068896000, 0.7678403000, 0.9005937000, 1.1580777000, 1.6064687000, 2.3083571000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.3314022000, 0.3519395000, 0.4053275000, 0.5379556000, 0.8703435000, 1.7166203000, 3.8690731000", \
+                        "0.3331142000, 0.3538352000, 0.4078186000, 0.5414820000, 0.8750264000, 1.7219343000, 3.8749879000", \
+                        "0.3486099000, 0.3694160000, 0.4231268000, 0.5577188000, 0.8937935000, 1.7420967000, 3.8968375000", \
+                        "0.4016804000, 0.4223067000, 0.4750166000, 0.6094521000, 0.9441801000, 1.7957472000, 3.9522794000", \
+                        "0.5359943000, 0.5581511000, 0.6126586000, 0.7459280000, 1.0810856000, 1.9302695000, 4.0969317000", \
+                        "0.7936221000, 0.8242542000, 0.8947716000, 1.0631256000, 1.4355916000, 2.2916409000, 4.4592281000", \
+                        "1.2009417000, 1.2557031000, 1.3890794000, 1.6541579000, 2.1844870000, 3.2347833000, 5.4516720000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0379882000, 0.0415899000, 0.0506804000, 0.0727252000, 0.1261543000, 0.2601733000, 0.6017051000", \
+                        "0.0369442000, 0.0406918000, 0.0498450000, 0.0720969000, 0.1258024000, 0.2596752000, 0.6012303000", \
+                        "0.0376937000, 0.0408681000, 0.0490753000, 0.0703119000, 0.1247373000, 0.2596312000, 0.6006234000", \
+                        "0.0610348000, 0.0640771000, 0.0715422000, 0.0868598000, 0.1301743000, 0.2594278000, 0.6017529000", \
+                        "0.1171601000, 0.1225639000, 0.1320483000, 0.1591656000, 0.2064087000, 0.3017544000, 0.6051801000", \
+                        "0.2330254000, 0.2430441000, 0.2655518000, 0.3123500000, 0.3898612000, 0.5377594000, 0.7789078000", \
+                        "0.4923102000, 0.5126498000, 0.5549908000, 0.6416830000, 0.7948033000, 1.0386629000, 1.4274889000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.2296316000, 0.2558961000, 0.3250877000, 0.4986730000, 0.9389900000, 2.0517208000, 4.8828008000", \
+                        "0.2307169000, 0.2559679000, 0.3250700000, 0.4988443000, 0.9392527000, 2.0515019000, 4.9054871000", \
+                        "0.2307977000, 0.2564254000, 0.3250499000, 0.4987815000, 0.9386737000, 2.0528095000, 4.8846793000", \
+                        "0.2311022000, 0.2568287000, 0.3251426000, 0.4978474000, 0.9359246000, 2.0511000000, 4.8845252000", \
+                        "0.2474653000, 0.2723756000, 0.3365346000, 0.5020854000, 0.9372274000, 2.0516808000, 4.8825566000", \
+                        "0.3446392000, 0.3718360000, 0.4398790000, 0.6027743000, 1.0018911000, 2.0628936000, 4.8960906000", \
+                        "0.6485170000, 0.6809240000, 0.7582137000, 0.9392193000, 1.3489347000, 2.3332070000, 4.9479438000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0383337000, 0.0409830000, 0.0477205000, 0.0640611000, 0.1039051000, 0.2025516000, 0.4511689000", \
+                        "0.0464901000, 0.0492578000, 0.0559696000, 0.0723613000, 0.1120743000, 0.2107304000, 0.4592589000", \
+                        "0.0706843000, 0.0733283000, 0.0799434000, 0.0964149000, 0.1359297000, 0.2343689000, 0.4828460000", \
+                        "0.1271344000, 0.1314674000, 0.1412791000, 0.1623656000, 0.2046357000, 0.3031753000, 0.5493199000", \
+                        "0.2226199000, 0.2307915000, 0.2497841000, 0.2900832000, 0.3654161000, 0.4945850000, 0.7381795000", \
+                        "0.3744687000, 0.3900321000, 0.4264925000, 0.5011297000, 0.6464547000, 0.8851477000, 1.2677386000", \
+                        "0.5720953000, 0.6006939000, 0.6683886000, 0.8226891000, 1.0993459000, 1.5659266000, 2.2834550000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.2716450000, 0.2929725000, 0.3448224000, 0.4769791000, 0.8101324000, 1.6559457000, 3.8138159000", \
+                        "0.2723533000, 0.2940052000, 0.3466810000, 0.4799007000, 0.8146869000, 1.6617013000, 3.8161172000", \
+                        "0.2861498000, 0.3078673000, 0.3607415000, 0.4960086000, 0.8315306000, 1.6803737000, 3.8344741000", \
+                        "0.3386338000, 0.3604135000, 0.4123928000, 0.5455614000, 0.8867012000, 1.7407110000, 3.8906536000", \
+                        "0.4749129000, 0.4984752000, 0.5557717000, 0.6902643000, 1.0276965000, 1.8761595000, 4.0383159000", \
+                        "0.7398250000, 0.7740447000, 0.8584087000, 1.0431311000, 1.4337521000, 2.2911439000, 4.4461879000", \
+                        "1.1268724000, 1.1995425000, 1.3471873000, 1.6689425000, 2.2887636000, 3.4135548000, 5.6143126000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0319623000, 0.0350397000, 0.0430844000, 0.0633110000, 0.1155096000, 0.2485415000, 0.5863068000", \
+                        "0.0315602000, 0.0347269000, 0.0428635000, 0.0632790000, 0.1153381000, 0.2484777000, 0.5858152000", \
+                        "0.0324987000, 0.0352918000, 0.0427721000, 0.0626654000, 0.1151004000, 0.2477829000, 0.5862300000", \
+                        "0.0547797000, 0.0578222000, 0.0653504000, 0.0811399000, 0.1222346000, 0.2480177000, 0.5861597000", \
+                        "0.1077824000, 0.1131136000, 0.1251472000, 0.1519777000, 0.2002936000, 0.2938529000, 0.5922324000", \
+                        "0.2270241000, 0.2370791000, 0.2597443000, 0.3064521000, 0.3907625000, 0.5332458000, 0.7702122000", \
+                        "0.4861426000, 0.5095565000, 0.5569177000, 0.6397247000, 0.7996852000, 1.0419723000, 1.4231772000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.2295190000, 0.2563992000, 0.3240001000, 0.4972632000, 0.9362866000, 2.0537714000, 4.8894044000", \
+                        "0.2295494000, 0.2565547000, 0.3239672000, 0.4966738000, 0.9365123000, 2.0527680000, 4.8834576000", \
+                        "0.2295849000, 0.2567042000, 0.3241252000, 0.4979480000, 0.9372255000, 2.0511726000, 4.8980149000", \
+                        "0.2299633000, 0.2565494000, 0.3241087000, 0.4967996000, 0.9428773000, 2.0545778000, 4.8839817000", \
+                        "0.2585193000, 0.2827110000, 0.3447267000, 0.5076551000, 0.9403865000, 2.0503332000, 4.8836521000", \
+                        "0.3935768000, 0.4210449000, 0.4869235000, 0.6437042000, 1.0170602000, 2.0619251000, 4.8977684000", \
+                        "0.7485206000, 0.7884249000, 0.8797317000, 1.0809650000, 1.4939368000, 2.4187954000, 4.9621751000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0292403000, 0.0317268000, 0.0377811000, 0.0528250000, 0.0909754000, 0.1872461000, 0.4319181000", \
+                        "0.0373111000, 0.0398992000, 0.0459731000, 0.0614028000, 0.0994225000, 0.1958943000, 0.4404332000", \
+                        "0.0605288000, 0.0634247000, 0.0700382000, 0.0851552000, 0.1240681000, 0.2199656000, 0.4644314000", \
+                        "0.1039567000, 0.1096560000, 0.1223125000, 0.1475509000, 0.1924509000, 0.2890313000, 0.5306887000", \
+                        "0.1743918000, 0.1854426000, 0.2099394000, 0.2588036000, 0.3444215000, 0.4802440000, 0.7265993000", \
+                        "0.2749499000, 0.2963565000, 0.3445704000, 0.4377853000, 0.6036039000, 0.8623776000, 1.2512226000", \
+                        "0.3726446000, 0.4154901000, 0.5090873000, 0.6938988000, 1.0091205000, 1.5121870000, 2.2479789000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.1496869000, 0.1704750000, 0.2228001000, 0.3562514000, 0.6909905000, 1.5364493000, 3.6898611000", \
+                        "0.1492555000, 0.1704325000, 0.2231186000, 0.3578213000, 0.6928533000, 1.5523004000, 3.6966139000", \
+                        "0.1612692000, 0.1811558000, 0.2336797000, 0.3679898000, 0.7076582000, 1.5571453000, 3.7134520000", \
+                        "0.2159974000, 0.2340664000, 0.2837922000, 0.4140545000, 0.7517695000, 1.6067593000, 3.7642525000", \
+                        "0.3627572000, 0.3874922000, 0.4466268000, 0.5697101000, 0.9027368000, 1.7532619000, 3.9189283000", \
+                        "0.5986021000, 0.6438671000, 0.7374215000, 0.9438665000, 1.3444910000, 2.1760007000, 4.3298236000", \
+                        "0.9840603000, 1.0541179000, 1.2157144000, 1.5522246000, 2.2149147000, 3.3776588000, 5.5602665000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.0212528000, 0.0244612000, 0.0324717000, 0.0527528000, 0.1036438000, 0.2356083000, 0.5696794000", \
+                        "0.0212867000, 0.0243582000, 0.0324534000, 0.0527503000, 0.1035199000, 0.2360059000, 0.5709372000", \
+                        "0.0263833000, 0.0285154000, 0.0348791000, 0.0534274000, 0.1036053000, 0.2363700000, 0.5697882000", \
+                        "0.0506564000, 0.0538630000, 0.0610424000, 0.0766069000, 0.1145902000, 0.2350670000, 0.5725551000", \
+                        "0.1063558000, 0.1112334000, 0.1236262000, 0.1489245000, 0.1976065000, 0.2881147000, 0.5756219000", \
+                        "0.2297958000, 0.2407722000, 0.2634158000, 0.3108764000, 0.3880286000, 0.5251997000, 0.7620104000", \
+                        "0.4990199000, 0.5167738000, 0.5634420000, 0.6521231000, 0.8058971000, 1.0420989000, 1.4143977000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0012736100, 0.0032441800, 0.0082636600, 0.0210494000, 0.0536176000, 0.1365760000");
+                    values("0.2179843000, 0.2464225000, 0.3183956000, 0.4961779000, 0.9381694000, 2.0498461000, 4.8820823000", \
+                        "0.2150272000, 0.2440440000, 0.3177188000, 0.4958793000, 0.9385402000, 2.0615882000, 4.8836277000", \
+                        "0.2096134000, 0.2392897000, 0.3138859000, 0.4948626000, 0.9377923000, 2.0529110000, 4.8808619000", \
+                        "0.2045498000, 0.2319601000, 0.3057169000, 0.4894142000, 0.9370684000, 2.0504296000, 4.8859619000", \
+                        "0.2678855000, 0.2925183000, 0.3496442000, 0.5005453000, 0.9312285000, 2.0546926000, 4.8827240000", \
+                        "0.4213291000, 0.4552201000, 0.5297229000, 0.6971858000, 1.0506354000, 2.0616429000, 4.8862607000", \
+                        "0.7345872000, 0.7868137000, 0.9063696000, 1.1554804000, 1.6300075000, 2.5384903000, 4.9685362000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor4_4") {
+        leakage_power () {
+            value : 6.0550209000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 15.957859000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.4187241000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 3.9027515000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.4206438000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 3.6882899000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.2159992000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.4060892000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.4112538000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 3.4640082000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.2134509000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.3971964000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.2153376000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.3954297000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.1459999000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.2111452000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nor4";
+        cell_leakage_power : 2.2824500000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0127610000, 0.0127586000, 0.0127541000, 0.0127504000, 0.0127417000, 0.0127196000, 0.0126593000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010828700, -0.010940300, -0.011238500, -0.011279400, -0.011378400, -0.011641300, -0.012366300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090520000;
+        }
+        pin ("B") {
+            capacitance : 0.0086300000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081310000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130255000, 0.0130150000, 0.0129878000, 0.0129898000, 0.0129978000, 0.0130223000, 0.0130934000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.010901300, -0.010969300, -0.011145400, -0.011192200, -0.011308200, -0.011619400, -0.012480300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091290000;
+        }
+        pin ("C") {
+            capacitance : 0.0084410000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0128585000, 0.0128402000, 0.0127909000, 0.0127906000, 0.0127921000, 0.0127982000, 0.0128176000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.011499000, -0.011665400, -0.012118300, -0.012145500, -0.012206400, -0.012362800, -0.012787700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090030000;
+        }
+        pin ("D") {
+            capacitance : 0.0085740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0093485000, 0.0093184000, 0.0092359000, 0.0092698000, 0.0093674000, 0.0096447000, 0.0104280000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.005825800, -0.005830900, -0.005831900, -0.005838800, -0.005844800, -0.005848600, -0.005846300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092000000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C&!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0212948000, 0.0201383000, 0.0169528000, 0.0078550000, -0.017660800, -0.088808200, -0.289289700", \
+                        "0.0210308000, 0.0198795000, 0.0166180000, 0.0075380000, -0.017961900, -0.089057600, -0.289490600", \
+                        "0.0208138000, 0.0196632000, 0.0164225000, 0.0073326000, -0.018155700, -0.089267500, -0.289750900", \
+                        "0.0200949000, 0.0189425000, 0.0157563000, 0.0068196000, -0.018617900, -0.089808400, -0.290299600", \
+                        "0.0193825000, 0.0182484000, 0.0150959000, 0.0061628000, -0.019080400, -0.090080800, -0.290445600", \
+                        "0.0213098000, 0.0201327000, 0.0168479000, 0.0076823000, -0.017896200, -0.089921100, -0.290401100", \
+                        "0.0265783000, 0.0253213000, 0.0219678000, 0.0125829000, -0.013570100, -0.085830200, -0.288599600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0457755000, 0.0469388000, 0.0501597000, 0.0592141000, 0.0845877000, 0.1555916000, 0.3551430000", \
+                        "0.0453282000, 0.0465123000, 0.0497181000, 0.0590202000, 0.0843675000, 0.1553352000, 0.3548602000", \
+                        "0.0445448000, 0.0458303000, 0.0490644000, 0.0584459000, 0.0843728000, 0.1552595000, 0.3551797000", \
+                        "0.0441323000, 0.0453002000, 0.0485534000, 0.0577471000, 0.0833963000, 0.1552302000, 0.3550693000", \
+                        "0.0436807000, 0.0449110000, 0.0481701000, 0.0572194000, 0.0827759000, 0.1544794000, 0.3546821000", \
+                        "0.0436751000, 0.0448210000, 0.0481022000, 0.0572731000, 0.0825023000, 0.1537690000, 0.3539351000", \
+                        "0.0429657000, 0.0441179000, 0.0473610000, 0.0563927000, 0.0829771000, 0.1542483000, 0.3534145000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0166176000, 0.0154761000, 0.0122434000, 0.0031855000, -0.022182700, -0.093419900, -0.294465500", \
+                        "0.0166417000, 0.0154818000, 0.0122570000, 0.0031442000, -0.022203600, -0.093370000, -0.294461600", \
+                        "0.0165335000, 0.0153584000, 0.0121217000, 0.0030422000, -0.022267800, -0.093431700, -0.294495600", \
+                        "0.0156831000, 0.0146218000, 0.0114506000, 0.0026027000, -0.022613400, -0.093741100, -0.294745300", \
+                        "0.0149729000, 0.0139360000, 0.0106474000, 0.0017724000, -0.023154200, -0.093981400, -0.294970600", \
+                        "0.0166729000, 0.0156394000, 0.0122946000, 0.0032589000, -0.022539800, -0.094332700, -0.294509800", \
+                        "0.0223443000, 0.0202765000, 0.0176824000, 0.0081732000, -0.018465500, -0.091195400, -0.293482600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0386505000, 0.0399125000, 0.0431004000, 0.0523093000, 0.0775380000, 0.1485930000, 0.3482800000", \
+                        "0.0380545000, 0.0392696000, 0.0426435000, 0.0519092000, 0.0772636000, 0.1483333000, 0.3480610000", \
+                        "0.0374689000, 0.0385557000, 0.0419546000, 0.0511589000, 0.0768900000, 0.1486373000, 0.3482302000", \
+                        "0.0368509000, 0.0380155000, 0.0412759000, 0.0506195000, 0.0761702000, 0.1477387000, 0.3475083000", \
+                        "0.0364252000, 0.0375525000, 0.0408479000, 0.0499637000, 0.0753854000, 0.1470037000, 0.3472569000", \
+                        "0.0363444000, 0.0374744000, 0.0407928000, 0.0498786000, 0.0751861000, 0.1468050000, 0.3466699000", \
+                        "0.0357273000, 0.0368604000, 0.0399215000, 0.0490213000, 0.0752079000, 0.1467465000, 0.3469682000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0125782000, 0.0114326000, 0.0082228000, -0.000826100, -0.026162600, -0.097717300, -0.299272800", \
+                        "0.0126553000, 0.0115134000, 0.0083129000, -0.000677700, -0.025996000, -0.097511100, -0.299041200", \
+                        "0.0127997000, 0.0116889000, 0.0085271000, -0.000338800, -0.025552400, -0.096966400, -0.298398900", \
+                        "0.0120562000, 0.0109477000, 0.0079434000, -0.000773500, -0.025691300, -0.096968200, -0.298251200", \
+                        "0.0118599000, 0.0107678000, 0.0076660000, -0.001170900, -0.026609700, -0.097395900, -0.298340500", \
+                        "0.0125481000, 0.0114274000, 0.0082584000, -0.000725000, -0.026037700, -0.097397300, -0.298374700", \
+                        "0.0167322000, 0.0155449000, 0.0122473000, 0.0038415000, -0.023017600, -0.095959100, -0.297828400");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0312692000, 0.0324210000, 0.0357106000, 0.0448022000, 0.0702092000, 0.1412040000, 0.3410968000", \
+                        "0.0306218000, 0.0319385000, 0.0351558000, 0.0444305000, 0.0700081000, 0.1414027000, 0.3409755000", \
+                        "0.0299835000, 0.0311489000, 0.0344592000, 0.0437591000, 0.0696455000, 0.1413063000, 0.3405024000", \
+                        "0.0294196000, 0.0305975000, 0.0339624000, 0.0430896000, 0.0688745000, 0.1404496000, 0.3405645000", \
+                        "0.0290276000, 0.0301996000, 0.0334653000, 0.0426028000, 0.0680412000, 0.1395141000, 0.3398253000", \
+                        "0.0290022000, 0.0301379000, 0.0334279000, 0.0424574000, 0.0679680000, 0.1395696000, 0.3389916000", \
+                        "0.0294660000, 0.0305422000, 0.0336782000, 0.0424582000, 0.0684923000, 0.1391900000, 0.3393949000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0019149000, 0.0007456000, -0.002558300, -0.011847600, -0.037701500, -0.109617300, -0.311455600", \
+                        "0.0015051000, 0.0003904000, -0.002801000, -0.011908300, -0.037520800, -0.109302400, -0.311077700", \
+                        "0.0005584000, -0.000514500, -0.003589600, -0.012350900, -0.037527600, -0.109026400, -0.310615100", \
+                        "-0.000779200, -0.001799600, -0.004723300, -0.013246500, -0.037986100, -0.109014800, -0.310383100", \
+                        "-0.001264700, -0.002341400, -0.005346400, -0.013843900, -0.038907300, -0.109596900, -0.310502700", \
+                        "-0.000541600, -0.001680000, -0.004898500, -0.013858900, -0.039526000, -0.110322000, -0.311199100", \
+                        "0.0044601000, 0.0031848000, -0.000399900, -0.010082700, -0.037179600, -0.109719700, -0.310755500");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
+                    values("0.0274195000, 0.0285963000, 0.0320483000, 0.0411990000, 0.0667129000, 0.1379995000, 0.3376445000", \
+                        "0.0265980000, 0.0278385000, 0.0313219000, 0.0406789000, 0.0663215000, 0.1376330000, 0.3375786000", \
+                        "0.0259041000, 0.0270494000, 0.0304802000, 0.0397533000, 0.0658204000, 0.1373621000, 0.3371213000", \
+                        "0.0252076000, 0.0263929000, 0.0297280000, 0.0390334000, 0.0648183000, 0.1367324000, 0.3370091000", \
+                        "0.0251116000, 0.0262602000, 0.0295003000, 0.0384876000, 0.0642869000, 0.1355729000, 0.3364702000", \
+                        "0.0260206000, 0.0271247000, 0.0302633000, 0.0391446000, 0.0643167000, 0.1350712000, 0.3352253000", \
+                        "0.0299865000, 0.0310476000, 0.0340493000, 0.0425677000, 0.0671485000, 0.1365364000, 0.3353309000");
+                }
+            }
+            max_capacitance : 0.0650120000;
+            max_transition : 1.4961820000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0327597000, 0.0346106000, 0.0397439000, 0.0536014000, 0.0899367000, 0.1842211000, 0.4335139000", \
+                        "0.0416317000, 0.0434951000, 0.0485285000, 0.0620790000, 0.0981776000, 0.1920513000, 0.4407104000", \
+                        "0.0678530000, 0.0695086000, 0.0741084000, 0.0870037000, 0.1219703000, 0.2153977000, 0.4641778000", \
+                        "0.1271701000, 0.1299026000, 0.1369855000, 0.1544817000, 0.1916166000, 0.2809829000, 0.5290551000", \
+                        "0.2362927000, 0.2409150000, 0.2527036000, 0.2826805000, 0.3467177000, 0.4698986000, 0.7189584000", \
+                        "0.4181383000, 0.4263589000, 0.4481217000, 0.5015238000, 0.6162486000, 0.8407403000, 1.2275398000", \
+                        "0.6716889000, 0.6865812000, 0.7262647000, 0.8238900000, 1.0423808000, 1.4624948000, 2.1825846000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.3796260000, 0.3930105000, 0.4301983000, 0.5360870000, 0.8275325000, 1.6454978000, 3.9526808000", \
+                        "0.3821637000, 0.3963342000, 0.4351731000, 0.5420385000, 0.8348106000, 1.6635139000, 3.9475410000", \
+                        "0.4003419000, 0.4145146000, 0.4530979000, 0.5618268000, 0.8589396000, 1.6865451000, 3.9728829000", \
+                        "0.4596348000, 0.4735597000, 0.5110729000, 0.6175015000, 0.9179849000, 1.7401621000, 4.0348107000", \
+                        "0.6035311000, 0.6177145000, 0.6561325000, 0.7627899000, 1.0563284000, 1.8817812000, 4.1780761000", \
+                        "0.8916249000, 0.9086056000, 0.9546254000, 1.0802720000, 1.3996038000, 2.2270028000, 4.5248485000", \
+                        "1.3890366000, 1.4156792000, 1.4919355000, 1.6664901000, 2.0912352000, 3.0713052000, 5.4229319000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0370780000, 0.0396725000, 0.0467615000, 0.0657938000, 0.1152058000, 0.2444375000, 0.5950007000", \
+                        "0.0363400000, 0.0389182000, 0.0458143000, 0.0649026000, 0.1145750000, 0.2434747000, 0.5950400000", \
+                        "0.0393419000, 0.0414098000, 0.0473421000, 0.0642081000, 0.1128327000, 0.2429709000, 0.5943274000", \
+                        "0.0644671000, 0.0665726000, 0.0722858000, 0.0862340000, 0.1225449000, 0.2413302000, 0.5950263000", \
+                        "0.1205285000, 0.1238259000, 0.1322830000, 0.1518982000, 0.2005592000, 0.2939867000, 0.6014003000", \
+                        "0.2372456000, 0.2432317000, 0.2581545000, 0.2948012000, 0.3707766000, 0.5108280000, 0.7827751000", \
+                        "0.4914810000, 0.5031465000, 0.5333395000, 0.6050787000, 0.7450847000, 0.9916814000, 1.3975071000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.2417213000, 0.2581821000, 0.3067361000, 0.4451764000, 0.8289183000, 1.9046682000, 4.9144715000", \
+                        "0.2409908000, 0.2585632000, 0.3071072000, 0.4453505000, 0.8286959000, 1.9114910000, 4.9347655000", \
+                        "0.2419478000, 0.2587665000, 0.3073956000, 0.4456361000, 0.8333156000, 1.9114939000, 4.9144284000", \
+                        "0.2423922000, 0.2600179000, 0.3071749000, 0.4433569000, 0.8309087000, 1.9074469000, 4.9145332000", \
+                        "0.2470313000, 0.2639899000, 0.3115392000, 0.4460652000, 0.8294891000, 1.9083499000, 4.9094000000", \
+                        "0.3145635000, 0.3322381000, 0.3790511000, 0.5149577000, 0.8715743000, 1.9121302000, 4.9126778000", \
+                        "0.5161894000, 0.5353225000, 0.5896803000, 0.7350038000, 1.1267792000, 2.1368411000, 4.9883937000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0358680000, 0.0378043000, 0.0430319000, 0.0570051000, 0.0926511000, 0.1852729000, 0.4340610000", \
+                        "0.0444942000, 0.0463690000, 0.0515953000, 0.0652587000, 0.1008179000, 0.1930855000, 0.4420258000", \
+                        "0.0698299000, 0.0713140000, 0.0763079000, 0.0891433000, 0.1239469000, 0.2161041000, 0.4649770000", \
+                        "0.1273784000, 0.1297939000, 0.1371521000, 0.1541500000, 0.1915871000, 0.2819978000, 0.5302986000", \
+                        "0.2257300000, 0.2301844000, 0.2430836000, 0.2731986000, 0.3400439000, 0.4659166000, 0.7162223000", \
+                        "0.3776737000, 0.3859267000, 0.4092849000, 0.4656751000, 0.5866759000, 0.8191787000, 1.2168976000", \
+                        "0.5598184000, 0.5730434000, 0.6183214000, 0.7229508000, 0.9506458000, 1.3947830000, 2.1369695000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.3548583000, 0.3692101000, 0.4077493000, 0.5135999000, 0.8053166000, 1.6225712000, 3.9218695000", \
+                        "0.3554636000, 0.3693905000, 0.4093944000, 0.5163375000, 0.8094067000, 1.6281723000, 3.9241774000", \
+                        "0.3698241000, 0.3839137000, 0.4230671000, 0.5298784000, 0.8261416000, 1.6474511000, 3.9431143000", \
+                        "0.4253962000, 0.4389871000, 0.4766257000, 0.5845496000, 0.8800603000, 1.7030055000, 4.0014947000", \
+                        "0.5660585000, 0.5804177000, 0.6197977000, 0.7266620000, 1.0222320000, 1.8428251000, 4.1451034000", \
+                        "0.8451002000, 0.8631473000, 0.9158799000, 1.0486155000, 1.3825884000, 2.2149866000, 4.5270783000", \
+                        "1.3134381000, 1.3477589000, 1.4447589000, 1.6583637000, 2.1419748000, 3.1738285000, 5.5372249000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0379492000, 0.0402909000, 0.0466201000, 0.0637266000, 0.1090881000, 0.2337795000, 0.5825681000", \
+                        "0.0368435000, 0.0391931000, 0.0456286000, 0.0629240000, 0.1087955000, 0.2331159000, 0.5825914000", \
+                        "0.0378747000, 0.0397506000, 0.0454265000, 0.0614398000, 0.1075533000, 0.2329365000, 0.5824658000", \
+                        "0.0608684000, 0.0630580000, 0.0683202000, 0.0813831000, 0.1166011000, 0.2326868000, 0.5823698000", \
+                        "0.1128970000, 0.1171437000, 0.1252540000, 0.1479814000, 0.1925721000, 0.2884073000, 0.5893858000", \
+                        "0.2290820000, 0.2360837000, 0.2508671000, 0.2898569000, 0.3647166000, 0.5106551000, 0.7846411000", \
+                        "0.4744048000, 0.4941398000, 0.5197938000, 0.5966570000, 0.7435110000, 0.9899046000, 1.4044600000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.2411547000, 0.2585213000, 0.3069716000, 0.4454210000, 0.8288406000, 1.9037625000, 4.9118705000", \
+                        "0.2414655000, 0.2588109000, 0.3076641000, 0.4455943000, 0.8284375000, 1.9079933000, 4.9191948000", \
+                        "0.2410262000, 0.2583811000, 0.3079299000, 0.4431754000, 0.8290846000, 1.9058652000, 4.9156998000", \
+                        "0.2424807000, 0.2586892000, 0.3072127000, 0.4455043000, 0.8289430000, 1.9035085000, 4.9355000000", \
+                        "0.2562935000, 0.2721205000, 0.3184511000, 0.4491688000, 0.8302846000, 1.9045225000, 4.9133897000", \
+                        "0.3479828000, 0.3655656000, 0.4150266000, 0.5456865000, 0.8909182000, 1.9221711000, 4.9286699000", \
+                        "0.6431239000, 0.6649284000, 0.7198628000, 0.8643419000, 1.2345411000, 2.1930320000, 4.9653375000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0366440000, 0.0383759000, 0.0429874000, 0.0553047000, 0.0877708000, 0.1757011000, 0.4193638000", \
+                        "0.0447795000, 0.0464566000, 0.0510521000, 0.0633316000, 0.0959300000, 0.1839438000, 0.4274246000", \
+                        "0.0684874000, 0.0701081000, 0.0745718000, 0.0866989000, 0.1190585000, 0.2070657000, 0.4503439000", \
+                        "0.1209972000, 0.1237532000, 0.1309321000, 0.1486196000, 0.1861849000, 0.2738908000, 0.5169657000", \
+                        "0.2056390000, 0.2107857000, 0.2242957000, 0.2570910000, 0.3259420000, 0.4551617000, 0.7035947000", \
+                        "0.3278364000, 0.3375696000, 0.3632029000, 0.4229868000, 0.5556605000, 0.7965333000, 1.1996110000", \
+                        "0.4432154000, 0.4618629000, 0.5097852000, 0.6344585000, 0.8874212000, 1.3492878000, 2.1071325000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.2867073000, 0.3006781000, 0.3381388000, 0.4442670000, 0.7417472000, 1.5569255000, 3.8483887000", \
+                        "0.2857005000, 0.3006312000, 0.3390940000, 0.4469795000, 0.7407012000, 1.5672784000, 3.8550185000", \
+                        "0.2987911000, 0.3121291000, 0.3512308000, 0.4588170000, 0.7570466000, 1.5815259000, 3.8743426000", \
+                        "0.3512819000, 0.3647593000, 0.4045456000, 0.5115809000, 0.8072424000, 1.6300180000, 3.9289896000", \
+                        "0.4912760000, 0.5067098000, 0.5483900000, 0.6559596000, 0.9534667000, 1.7739642000, 4.0762740000", \
+                        "0.7713273000, 0.7943279000, 0.8556637000, 1.0061855000, 1.3613144000, 2.1974056000, 4.4947286000", \
+                        "1.2242329000, 1.2657130000, 1.3763498000, 1.6426809000, 2.2058371000, 3.3062280000, 5.6792357000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0308548000, 0.0328334000, 0.0382293000, 0.0533427000, 0.0958456000, 0.2155695000, 0.5534884000", \
+                        "0.0305154000, 0.0324458000, 0.0379102000, 0.0531327000, 0.0957930000, 0.2159640000, 0.5535081000", \
+                        "0.0319190000, 0.0335466000, 0.0385524000, 0.0527833000, 0.0953305000, 0.2155026000, 0.5527722000", \
+                        "0.0539713000, 0.0560752000, 0.0617562000, 0.0745127000, 0.1067182000, 0.2163879000, 0.5530095000", \
+                        "0.1056383000, 0.1090494000, 0.1179319000, 0.1390288000, 0.1850359000, 0.2748572000, 0.5619142000", \
+                        "0.2215142000, 0.2281942000, 0.2454636000, 0.2840649000, 0.3628097000, 0.5032256000, 0.7609417000", \
+                        "0.4783573000, 0.4916598000, 0.5273457000, 0.5946208000, 0.7437175000, 0.9891375000, 1.3972052000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.2414177000, 0.2580624000, 0.3065808000, 0.4443667000, 0.8311654000, 1.9057071000, 4.9141073000", \
+                        "0.2409846000, 0.2584965000, 0.3068581000, 0.4451334000, 0.8301290000, 1.9136484000, 4.9099511000", \
+                        "0.2417256000, 0.2584246000, 0.3069115000, 0.4469363000, 0.8306517000, 1.9067830000, 4.9342450000", \
+                        "0.2410396000, 0.2586316000, 0.3076613000, 0.4447766000, 0.8305518000, 1.9070903000, 4.9103930000", \
+                        "0.2674990000, 0.2837882000, 0.3272511000, 0.4569329000, 0.8305392000, 1.9038628000, 4.9135962000", \
+                        "0.3985458000, 0.4171773000, 0.4637054000, 0.5906885000, 0.9193453000, 1.9225601000, 4.9351558000", \
+                        "0.7493807000, 0.7738801000, 0.8404382000, 1.0015838000, 1.3743218000, 2.2791669000, 4.9812363000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0279637000, 0.0294558000, 0.0335573000, 0.0446635000, 0.0749618000, 0.1593703000, 0.3956100000", \
+                        "0.0358475000, 0.0374487000, 0.0416486000, 0.0530039000, 0.0835852000, 0.1679761000, 0.4033960000", \
+                        "0.0583772000, 0.0603613000, 0.0651150000, 0.0766657000, 0.1072522000, 0.1916982000, 0.4272320000", \
+                        "0.0992952000, 0.1027972000, 0.1118496000, 0.1327833000, 0.1742498000, 0.2593261000, 0.4935197000", \
+                        "0.1624129000, 0.1693719000, 0.1870066000, 0.2279198000, 0.3058122000, 0.4408417000, 0.6846531000", \
+                        "0.2423489000, 0.2550389000, 0.2905798000, 0.3682857000, 0.5214050000, 0.7793256000, 1.1879847000", \
+                        "0.2828752000, 0.3117609000, 0.3774290000, 0.5306535000, 0.8234972000, 1.3268940000, 2.1009225000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.1612875000, 0.1753647000, 0.2136079000, 0.3215185000, 0.6143332000, 1.4415419000, 3.7284940000", \
+                        "0.1598058000, 0.1737152000, 0.2122805000, 0.3210713000, 0.6195285000, 1.4379495000, 3.7331107000", \
+                        "0.1711333000, 0.1845916000, 0.2223482000, 0.3302824000, 0.6287321000, 1.4538852000, 3.7508769000", \
+                        "0.2258726000, 0.2381542000, 0.2731588000, 0.3785812000, 0.6766764000, 1.5021032000, 3.8048391000", \
+                        "0.3804157000, 0.3962626000, 0.4379511000, 0.5384289000, 0.8271814000, 1.6480322000, 3.9534207000", \
+                        "0.6423100000, 0.6684202000, 0.7399638000, 0.9100460000, 1.2819895000, 2.0887176000, 4.3858854000", \
+                        "1.0859988000, 1.1302271000, 1.2465199000, 1.5305296000, 2.1313600000, 3.3010907000, 5.6375358000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.0203378000, 0.0222029000, 0.0272172000, 0.0419845000, 0.0832367000, 0.1982982000, 0.5212537000", \
+                        "0.0204303000, 0.0222430000, 0.0272788000, 0.0417138000, 0.0828685000, 0.1987195000, 0.5210168000", \
+                        "0.0258567000, 0.0270957000, 0.0309428000, 0.0432971000, 0.0832554000, 0.1994968000, 0.5231742000", \
+                        "0.0495810000, 0.0516164000, 0.0566915000, 0.0690034000, 0.0986698000, 0.1997964000, 0.5222338000", \
+                        "0.1035362000, 0.1068943000, 0.1153335000, 0.1372625000, 0.1799655000, 0.2627989000, 0.5309899000", \
+                        "0.2266250000, 0.2330937000, 0.2504086000, 0.2868827000, 0.3588931000, 0.4925975000, 0.7394647000", \
+                        "0.4917851000, 0.5056988000, 0.5387535000, 0.6123306000, 0.7548697000, 0.9875727000, 1.3750182000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
+                    values("0.2286876000, 0.2473919000, 0.3001700000, 0.4418846000, 0.8301722000, 1.9092534000, 4.9139341000", \
+                        "0.2266616000, 0.2451820000, 0.2984435000, 0.4401284000, 0.8298010000, 1.9075208000, 4.9138902000", \
+                        "0.2208985000, 0.2402892000, 0.2941542000, 0.4394118000, 0.8304020000, 1.9038038000, 4.9336091000", \
+                        "0.2142005000, 0.2321624000, 0.2830981000, 0.4320210000, 0.8285155000, 1.9052790000, 4.9134607000", \
+                        "0.2764733000, 0.2896396000, 0.3296624000, 0.4494843000, 0.8190638000, 1.9030959000, 4.9134781000", \
+                        "0.4274708000, 0.4478180000, 0.5039847000, 0.6391687000, 0.9665087000, 1.9147868000, 4.9131530000", \
+                        "0.7406682000, 0.7743958000, 0.8605422000, 1.0658135000, 1.5103746000, 2.3982902000, 4.9880891000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor4b_1 */
+
+/* removed sky130_fd_sc_hd__nor4b_2 */
+
+/* removed sky130_fd_sc_hd__nor4b_4 */
+
+/* removed sky130_fd_sc_hd__nor4bb_1 */
+
+/* removed sky130_fd_sc_hd__nor4bb_2 */
+
+/* removed sky130_fd_sc_hd__nor4bb_4 */
+
+/* removed sky130_fd_sc_hd__o2111a_1 */
+
+/* removed sky130_fd_sc_hd__o2111a_2 */
+
+/* removed sky130_fd_sc_hd__o2111a_4 */
+
+/* removed sky130_fd_sc_hd__o2111ai_1 */
+
+/* removed sky130_fd_sc_hd__o2111ai_2 */
+
+/* removed sky130_fd_sc_hd__o2111ai_4 */
+
+/* removed sky130_fd_sc_hd__o211a_1 */
+
+/* removed sky130_fd_sc_hd__o211a_2 */
+
+/* removed sky130_fd_sc_hd__o211a_4 */
+
+/* removed sky130_fd_sc_hd__o211ai_1 */
+
+/* removed sky130_fd_sc_hd__o211ai_2 */
+
+/* removed sky130_fd_sc_hd__o211ai_4 */
+
+/* removed sky130_fd_sc_hd__o21a_1 */
+
+/* removed sky130_fd_sc_hd__o21a_2 */
+
+    cell ("sky130_fd_sc_hd__o21a_4") {
+        leakage_power () {
+            value : 8.2693949000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 5.8824554000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 6.8866526000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 7.2037123000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 6.7184680000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 7.2037194000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 4.8849609000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 7.2037269000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__o21a";
+        cell_leakage_power : 6.7816360000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0048750000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0067316000, 0.0067053000, 0.0066794000, 0.0066529000, 0.0066261000, 0.0065986000, 0.0065685000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006729000, -0.006753400, -0.006772500, -0.006799600, -0.006826600, -0.006853100, -0.006878200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050650000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0062873000, 0.0062575000, 0.0062214000, 0.0061954000, 0.0061703000, 0.0061472000, 0.0061297000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006278500, -0.006304800, -0.006329700, -0.006356300, -0.006382200, -0.006406300, -0.006425000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047280000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043960000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075783000, 0.0075484000, 0.0075259000, 0.0074921000, 0.0074579000, 0.0074232000, 0.0073868000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.004243800, -0.004290100, -0.004376700, -0.004372400, -0.004316900, -0.004116700, -0.003509400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046800000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0278103000, 0.0262745000, 0.0213376000, 0.0026899000, -0.072987800, -0.354244600, -1.371551900", \
+                        "0.0276262000, 0.0261464000, 0.0213083000, 0.0025078000, -0.073111700, -0.354367000, -1.371664100", \
+                        "0.0274858000, 0.0259449000, 0.0210681000, 0.0023123000, -0.073340300, -0.354526600, -1.371844400", \
+                        "0.0272328000, 0.0257471000, 0.0206350000, 0.0019851000, -0.073633800, -0.354841100, -1.372150900", \
+                        "0.0271299000, 0.0255520000, 0.0204817000, 0.0015440000, -0.074099300, -0.355129700, -1.372380600", \
+                        "0.0296427000, 0.0280540000, 0.0222531000, 0.0010728000, -0.074761700, -0.355520600, -1.372555300", \
+                        "0.0321004000, 0.0303798000, 0.0238093000, 0.0023879000, -0.074746500, -0.355895200, -1.372250800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0287137000, 0.0305900000, 0.0371869000, 0.0597870000, 0.1380319000, 0.4174751000, 1.4268869000", \
+                        "0.0285836000, 0.0304676000, 0.0370513000, 0.0595958000, 0.1379766000, 0.4171536000, 1.4253527000", \
+                        "0.0283121000, 0.0301460000, 0.0367222000, 0.0593421000, 0.1377863000, 0.4176250000, 1.4295354000", \
+                        "0.0279782000, 0.0298691000, 0.0364555000, 0.0589829000, 0.1374233000, 0.4172520000, 1.4291025000", \
+                        "0.0277354000, 0.0295451000, 0.0361380000, 0.0583044000, 0.1367773000, 0.4184011000, 1.4248529000", \
+                        "0.0282563000, 0.0299539000, 0.0361222000, 0.0584745000, 0.1363284000, 0.4151188000, 1.4241236000", \
+                        "0.0292587000, 0.0309095000, 0.0369763000, 0.0585659000, 0.1372349000, 0.4165261000, 1.4272345000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0242371000, 0.0227930000, 0.0177619000, -0.000884200, -0.076552000, -0.357713800, -1.375013000", \
+                        "0.0241109000, 0.0225883000, 0.0176231000, -0.001042200, -0.076715000, -0.357876100, -1.375152900", \
+                        "0.0238168000, 0.0223298000, 0.0174611000, -0.001327500, -0.076949000, -0.358137400, -1.375430900", \
+                        "0.0234889000, 0.0221270000, 0.0171676000, -0.001633800, -0.077275500, -0.358404000, -1.375691400", \
+                        "0.0232787000, 0.0218346000, 0.0167636000, -0.002144900, -0.077810200, -0.358731800, -1.375878000", \
+                        "0.0262090000, 0.0246443000, 0.0187563000, -0.002570900, -0.078298900, -0.358937300, -1.375860000", \
+                        "0.0299252000, 0.0281407000, 0.0220572000, 0.0003155000, -0.077271800, -0.358279300, -1.374447300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0260526000, 0.0279596000, 0.0345226000, 0.0570928000, 0.1353023000, 0.4169967000, 1.4203438000", \
+                        "0.0261326000, 0.0280044000, 0.0346427000, 0.0572723000, 0.1353665000, 0.4152150000, 1.4208795000", \
+                        "0.0259624000, 0.0278287000, 0.0344507000, 0.0569897000, 0.1352682000, 0.4165794000, 1.4289475000", \
+                        "0.0254358000, 0.0273054000, 0.0339001000, 0.0564897000, 0.1346904000, 0.4145622000, 1.4241081000", \
+                        "0.0249244000, 0.0266772000, 0.0332281000, 0.0553908000, 0.1337360000, 0.4136421000, 1.4179865000", \
+                        "0.0252900000, 0.0270139000, 0.0332650000, 0.0554249000, 0.1331156000, 0.4118537000, 1.4187737000", \
+                        "0.0260467000, 0.0277073000, 0.0336890000, 0.0555662000, 0.1340267000, 0.4135690000, 1.4218662000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0211935000, 0.0200021000, 0.0157136000, -0.003026900, -0.079951400, -0.361926800, -1.379625300", \
+                        "0.0211090000, 0.0198336000, 0.0156099000, -0.003083000, -0.080002900, -0.362017100, -1.379730500", \
+                        "0.0206732000, 0.0194413000, 0.0151624000, -0.003470300, -0.080337900, -0.362331600, -1.380066600", \
+                        "0.0201118000, 0.0188443000, 0.0144479000, -0.004264400, -0.080949400, -0.362825300, -1.380530200", \
+                        "0.0196252000, 0.0182612000, 0.0135643000, -0.005283600, -0.081713400, -0.363265300, -1.380840200", \
+                        "0.0234165000, 0.0217875000, 0.0159357000, -0.005286600, -0.082129600, -0.363267200, -1.380646900", \
+                        "0.0256113000, 0.0241838000, 0.0181390000, -0.003581400, -0.080884000, -0.362251400, -1.378958700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
+                    values("0.0264984000, 0.0283476000, 0.0350325000, 0.0576244000, 0.1359758000, 0.4157280000, 1.4276344000", \
+                        "0.0264018000, 0.0281902000, 0.0348630000, 0.0575088000, 0.1359335000, 0.4157618000, 1.4276837000", \
+                        "0.0262534000, 0.0281354000, 0.0347291000, 0.0572907000, 0.1357311000, 0.4152612000, 1.4231381000", \
+                        "0.0257771000, 0.0276296000, 0.0342292000, 0.0567054000, 0.1351004000, 0.4150196000, 1.4271313000", \
+                        "0.0251471000, 0.0268943000, 0.0334493000, 0.0556844000, 0.1340137000, 0.4136534000, 1.4221829000", \
+                        "0.0257669000, 0.0274767000, 0.0335911000, 0.0555211000, 0.1330771000, 0.4122316000, 1.4222158000", \
+                        "0.0271126000, 0.0287852000, 0.0346126000, 0.0562409000, 0.1346529000, 0.4150899000, 1.4254659000");
+                }
+            }
+            max_capacitance : 0.3250220000;
+            max_transition : 1.5058480000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.3391950000, 0.3471650000, 0.3693946000, 0.4224260000, 0.5470369000, 0.9014184000, 2.1392619000", \
+                        "0.3466895000, 0.3545290000, 0.3765977000, 0.4300625000, 0.5545066000, 0.9092148000, 2.1462809000", \
+                        "0.3700721000, 0.3779529000, 0.4001405000, 0.4535012000, 0.5779706000, 0.9326251000, 2.1701256000", \
+                        "0.4305366000, 0.4385065000, 0.4606868000, 0.5141510000, 0.6386502000, 0.9938125000, 2.2281339000", \
+                        "0.5924543000, 0.6004266000, 0.6229897000, 0.6761448000, 0.8006130000, 1.1558744000, 2.3916529000", \
+                        "0.9581401000, 0.9678777000, 0.9945618000, 1.0559590000, 1.1916735000, 1.5536812000, 2.7890292000", \
+                        "1.6368157000, 1.6508568000, 1.6892521000, 1.7713992000, 1.9349531000, 2.3178648000, 3.5606798000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.1896722000, 0.1978261000, 0.2216600000, 0.2869551000, 0.4891057000, 1.1997384000, 3.7482084000", \
+                        "0.1976621000, 0.2057425000, 0.2295610000, 0.2950300000, 0.4967679000, 1.2040410000, 3.7471319000", \
+                        "0.2195305000, 0.2277015000, 0.2513088000, 0.3168826000, 0.5191852000, 1.2275168000, 3.7793058000", \
+                        "0.2749894000, 0.2831342000, 0.3069170000, 0.3722785000, 0.5745922000, 1.2831505000, 3.8336752000", \
+                        "0.4112382000, 0.4199370000, 0.4451669000, 0.5121548000, 0.7148584000, 1.4247343000, 3.9703907000", \
+                        "0.6718383000, 0.6825428000, 0.7128743000, 0.7879285000, 0.9945745000, 1.7033029000, 4.2534780000", \
+                        "1.0829371000, 1.0999628000, 1.1453237000, 1.2437712000, 1.4667886000, 2.1790350000, 4.7194235000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0462032000, 0.0510780000, 0.0659172000, 0.1058856000, 0.2291692000, 0.6818308000, 2.3840816000", \
+                        "0.0456802000, 0.0502756000, 0.0650166000, 0.1056057000, 0.2290717000, 0.6820104000, 2.3808764000", \
+                        "0.0461583000, 0.0507205000, 0.0658083000, 0.1061099000, 0.2291928000, 0.6818935000, 2.3846518000", \
+                        "0.0458005000, 0.0506128000, 0.0656264000, 0.1056639000, 0.2289640000, 0.6803608000, 2.3787741000", \
+                        "0.0467657000, 0.0513715000, 0.0652812000, 0.1054704000, 0.2286450000, 0.6814354000, 2.3827833000", \
+                        "0.0616442000, 0.0665192000, 0.0818092000, 0.1233282000, 0.2450424000, 0.6866507000, 2.3785764000", \
+                        "0.1027847000, 0.1097704000, 0.1252524000, 0.1700561000, 0.2918644000, 0.7094895000, 2.3768219000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0388361000, 0.0455535000, 0.0669432000, 0.1391395000, 0.4102860000, 1.4091140000, 4.9931530000", \
+                        "0.0388900000, 0.0454139000, 0.0666187000, 0.1393366000, 0.4101066000, 1.4060817000, 4.9923341000", \
+                        "0.0385883000, 0.0450637000, 0.0670600000, 0.1394435000, 0.4102562000, 1.4096579000, 5.0003113000", \
+                        "0.0386552000, 0.0453844000, 0.0668713000, 0.1393935000, 0.4103903000, 1.4091122000, 5.0003686000", \
+                        "0.0431485000, 0.0496419000, 0.0716696000, 0.1421855000, 0.4100610000, 1.4104659000, 4.9987601000", \
+                        "0.0588734000, 0.0666028000, 0.0875647000, 0.1558968000, 0.4158951000, 1.4066047000, 4.9971844000", \
+                        "0.0993259000, 0.1090231000, 0.1334555000, 0.1991156000, 0.4329528000, 1.4138991000, 4.9937570000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.3122413000, 0.3201332000, 0.3424293000, 0.3956840000, 0.5202180000, 0.8752695000, 2.1133297000", \
+                        "0.3171737000, 0.3252260000, 0.3476824000, 0.4007704000, 0.5253429000, 0.8803989000, 2.1205095000", \
+                        "0.3367205000, 0.3445043000, 0.3665371000, 0.4199183000, 0.5445211000, 0.8989274000, 2.1367750000", \
+                        "0.3989319000, 0.4062561000, 0.4286269000, 0.4818077000, 0.6063118000, 0.9614332000, 2.1981146000", \
+                        "0.5802449000, 0.5882130000, 0.6104119000, 0.6636411000, 0.7881160000, 1.1434586000, 2.3797107000", \
+                        "0.9903842000, 1.0014598000, 1.0293115000, 1.0904779000, 1.2235912000, 1.5848034000, 2.8256759000", \
+                        "1.7038100000, 1.7188626000, 1.7610403000, 1.8494134000, 2.0122628000, 2.3884621000, 3.6275909000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.1711812000, 0.1792663000, 0.2030842000, 0.2687054000, 0.4701353000, 1.1758633000, 3.7177750000", \
+                        "0.1798976000, 0.1880003000, 0.2119363000, 0.2774538000, 0.4789291000, 1.1856827000, 3.7311496000", \
+                        "0.2013490000, 0.2096142000, 0.2335151000, 0.2988222000, 0.5001276000, 1.2099528000, 3.7574797000", \
+                        "0.2539976000, 0.2620962000, 0.2858952000, 0.3512958000, 0.5524942000, 1.2584253000, 3.8047645000", \
+                        "0.3758964000, 0.3848308000, 0.4107473000, 0.4786029000, 0.6810252000, 1.3945143000, 3.9323313000", \
+                        "0.6008277000, 0.6123587000, 0.6444185000, 0.7211839000, 0.9286546000, 1.6366514000, 4.1787240000", \
+                        "0.9233779000, 0.9416872000, 0.9906728000, 1.0961914000, 1.3210740000, 2.0287589000, 4.5719264000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0458806000, 0.0505733000, 0.0659204000, 0.1066631000, 0.2286087000, 0.6820724000, 2.3872622000", \
+                        "0.0460930000, 0.0508979000, 0.0647420000, 0.1063144000, 0.2283801000, 0.6820641000, 2.3849174000", \
+                        "0.0456724000, 0.0502811000, 0.0649244000, 0.1057971000, 0.2289736000, 0.6815802000, 2.3842606000", \
+                        "0.0459943000, 0.0505591000, 0.0646583000, 0.1062841000, 0.2285711000, 0.6820355000, 2.3850109000", \
+                        "0.0463982000, 0.0512065000, 0.0648322000, 0.1061226000, 0.2291457000, 0.6821215000, 2.3806184000", \
+                        "0.0707343000, 0.0760748000, 0.0887080000, 0.1254938000, 0.2448713000, 0.6873866000, 2.3756819000", \
+                        "0.1244172000, 0.1324376000, 0.1493871000, 0.1881746000, 0.2952439000, 0.7078768000, 2.3787992000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0385780000, 0.0451606000, 0.0665402000, 0.1390640000, 0.4091184000, 1.4145703000, 4.9959779000", \
+                        "0.0385606000, 0.0450954000, 0.0662754000, 0.1387506000, 0.4088680000, 1.4146172000, 4.9914979000", \
+                        "0.0385776000, 0.0450731000, 0.0665200000, 0.1390531000, 0.4091305000, 1.4108099000, 5.0099715000", \
+                        "0.0384470000, 0.0451020000, 0.0663973000, 0.1386767000, 0.4090231000, 1.4065848000, 4.9925153000", \
+                        "0.0448483000, 0.0514754000, 0.0727018000, 0.1433698000, 0.4103032000, 1.4132477000, 4.9895905000", \
+                        "0.0628544000, 0.0704288000, 0.0915964000, 0.1589604000, 0.4167086000, 1.4049393000, 4.9982534000", \
+                        "0.1095921000, 0.1192709000, 0.1455403000, 0.2104870000, 0.4402847000, 1.4125016000, 4.9900604000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.1592939000, 0.1656646000, 0.1843467000, 0.2325133000, 0.3487728000, 0.6966572000, 1.9286603000", \
+                        "0.1675479000, 0.1739253000, 0.1925951000, 0.2408804000, 0.3572304000, 0.7051268000, 1.9396948000", \
+                        "0.1914714000, 0.1977944000, 0.2164803000, 0.2647820000, 0.3811258000, 0.7289690000, 1.9634083000", \
+                        "0.2624121000, 0.2687174000, 0.2871919000, 0.3354650000, 0.4520566000, 0.8001176000, 2.0321456000", \
+                        "0.4474987000, 0.4547862000, 0.4754936000, 0.5273193000, 0.6462353000, 0.9949201000, 2.2399953000", \
+                        "0.7952965000, 0.8061710000, 0.8360724000, 0.9068014000, 1.0499174000, 1.4092673000, 2.6412346000", \
+                        "1.4045909000, 1.4223601000, 1.4693725000, 1.5775566000, 1.7843915000, 2.1774398000, 3.4127290000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.1761420000, 0.1842437000, 0.2081593000, 0.2736277000, 0.4753357000, 1.1842016000, 3.7345580000", \
+                        "0.1829052000, 0.1909481000, 0.2148006000, 0.2802719000, 0.4826093000, 1.1908196000, 3.7421044000", \
+                        "0.2039581000, 0.2120383000, 0.2359540000, 0.3014262000, 0.5032512000, 1.2109348000, 3.7530246000", \
+                        "0.2637316000, 0.2718479000, 0.2956233000, 0.3608451000, 0.5630769000, 1.2717372000, 3.8228833000", \
+                        "0.4137845000, 0.4220799000, 0.4471291000, 0.5141734000, 0.7165398000, 1.4249798000, 3.9685477000", \
+                        "0.6676412000, 0.6791696000, 0.7104364000, 0.7828377000, 0.9875725000, 1.6973358000, 4.2424095000", \
+                        "1.0346642000, 1.0528003000, 1.1005583000, 1.2012369000, 1.4194079000, 2.1298623000, 4.6708317000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0307450000, 0.0356087000, 0.0504014000, 0.0932468000, 0.2150010000, 0.6712909000, 2.3626809000", \
+                        "0.0310038000, 0.0354304000, 0.0502838000, 0.0931365000, 0.2148591000, 0.6719841000, 2.3659854000", \
+                        "0.0309192000, 0.0356180000, 0.0504625000, 0.0930157000, 0.2150050000, 0.6710645000, 2.3656521000", \
+                        "0.0310301000, 0.0356589000, 0.0503770000, 0.0931496000, 0.2147588000, 0.6717005000, 2.3637545000", \
+                        "0.0399933000, 0.0439346000, 0.0586799000, 0.0997169000, 0.2176487000, 0.6720718000, 2.3819196000", \
+                        "0.0689915000, 0.0753112000, 0.0930520000, 0.1386291000, 0.2481395000, 0.6786290000, 2.3710427000", \
+                        "0.1265263000, 0.1349724000, 0.1600448000, 0.2272540000, 0.3373505000, 0.7147885000, 2.3840170000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
+                    values("0.0385373000, 0.0450262000, 0.0668486000, 0.1391462000, 0.4100662000, 1.4086108000, 4.9998259000", \
+                        "0.0389143000, 0.0453652000, 0.0670268000, 0.1392997000, 0.4106518000, 1.4100940000, 4.9980993000", \
+                        "0.0389403000, 0.0454496000, 0.0668347000, 0.1393435000, 0.4101198000, 1.4069976000, 4.9924189000", \
+                        "0.0387120000, 0.0453207000, 0.0668480000, 0.1393182000, 0.4106545000, 1.4096060000, 5.0003690000", \
+                        "0.0445058000, 0.0515927000, 0.0721753000, 0.1426915000, 0.4104395000, 1.4051363000, 4.9912128000", \
+                        "0.0671412000, 0.0734449000, 0.0917887000, 0.1579638000, 0.4167080000, 1.4074730000, 4.9990501000", \
+                        "0.1164091000, 0.1253754000, 0.1482988000, 0.2064442000, 0.4333116000, 1.4162268000, 4.9911479000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ai_0 */
+
+/* removed sky130_fd_sc_hd__o21ai_1 */
+
+/* removed sky130_fd_sc_hd__o21ai_2 */
+
+    cell ("sky130_fd_sc_hd__o21ai_4") {
+        leakage_power () {
+            value : 5.9745742000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.4994206000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 9.0722692000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 3.6458336000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 9.0575805000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 3.6458498000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 5.9503327000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 3.6458785000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__o21ai";
+        cell_leakage_power : 5.1864670000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0091820000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087870000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0131817000, 0.0131641000, 0.0131468000, 0.0131306000, 0.0131174000, 0.0131132000, 0.0131338000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013163200, -0.013178500, -0.013188900, -0.013205800, -0.013220700, -0.013229800, -0.013222800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0095760000;
+        }
+        pin ("A2") {
+            capacitance : 0.0085220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0125164000, 0.0124942000, 0.0124642000, 0.0124470000, 0.0124310000, 0.0124189000, 0.0124171000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012503400, -0.012513700, -0.012509900, -0.012526000, -0.012538800, -0.012541900, -0.012518000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090450000;
+        }
+        pin ("B1") {
+            capacitance : 0.0087330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0150099000, 0.0149867000, 0.0149655000, 0.0149427000, 0.0149227000, 0.0149107000, 0.0149213000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.008922700, -0.008942700, -0.008995100, -0.008947500, -0.008809500, -0.008416900, -0.007307600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089910000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0168252000, 0.0154854000, 0.0112194000, -0.002204300, -0.044626400, -0.178608400, -0.600553100", \
+                        "0.0164449000, 0.0150876000, 0.0108457000, -0.002563800, -0.044974400, -0.178987800, -0.600987300", \
+                        "0.0158186000, 0.0144970000, 0.0102958000, -0.003015900, -0.045345200, -0.179285700, -0.601295700", \
+                        "0.0149868000, 0.0136854000, 0.0095622000, -0.003653600, -0.045764700, -0.179542200, -0.601447400", \
+                        "0.0148958000, 0.0135487000, 0.0091814000, -0.004151000, -0.046105900, -0.179655100, -0.601446100", \
+                        "0.0148424000, 0.0135117000, 0.0092316000, -0.004204300, -0.046740600, -0.180335700, -0.601885900", \
+                        "0.0169071000, 0.0153951000, 0.0109908000, -0.002749200, -0.045641300, -0.179998800, -0.602074300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0298029000, 0.0312293000, 0.0355950000, 0.0491805000, 0.0916213000, 0.2245490000, 0.6421853000", \
+                        "0.0294291000, 0.0308134000, 0.0351869000, 0.0489224000, 0.0913650000, 0.2242605000, 0.6421028000", \
+                        "0.0288130000, 0.0301889000, 0.0346581000, 0.0484463000, 0.0910897000, 0.2242573000, 0.6417957000", \
+                        "0.0282226000, 0.0296096000, 0.0340166000, 0.0477929000, 0.0906446000, 0.2238088000, 0.6421800000", \
+                        "0.0277642000, 0.0291249000, 0.0336192000, 0.0473441000, 0.0902428000, 0.2232567000, 0.6416829000", \
+                        "0.0267739000, 0.0281439000, 0.0328238000, 0.0470243000, 0.0899214000, 0.2234504000, 0.6411461000", \
+                        "0.0286705000, 0.0300333000, 0.0341515000, 0.0474250000, 0.0890458000, 0.2236068000, 0.6409443000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0111730000, 0.0098542000, 0.0056662000, -0.007837700, -0.050473600, -0.184727100, -0.607020500", \
+                        "0.0108420000, 0.0095748000, 0.0055182000, -0.007736000, -0.050258600, -0.184461500, -0.606690900", \
+                        "0.0099373000, 0.0087564000, 0.0048786000, -0.008047700, -0.050229200, -0.184268500, -0.606486000", \
+                        "0.0088790000, 0.0076598000, 0.0037598000, -0.008981700, -0.050658800, -0.184349400, -0.606440200", \
+                        "0.0087478000, 0.0074613000, 0.0033582000, -0.010019700, -0.051610100, -0.184739500, -0.606591400", \
+                        "0.0085420000, 0.0071693000, 0.0029464000, -0.010398200, -0.052481700, -0.185885900, -0.607082900", \
+                        "0.0103780000, 0.0092377000, 0.0046333000, -0.009232700, -0.052187700, -0.186149100, -0.607801900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0226896000, 0.0240733000, 0.0284272000, 0.0420932000, 0.0845099000, 0.2173753000, 0.6351127000", \
+                        "0.0221393000, 0.0235657000, 0.0279569000, 0.0416893000, 0.0842273000, 0.2170584000, 0.6349879000", \
+                        "0.0214493000, 0.0228353000, 0.0273260000, 0.0411114000, 0.0839046000, 0.2168190000, 0.6348760000", \
+                        "0.0206123000, 0.0220420000, 0.0265127000, 0.0405019000, 0.0833215000, 0.2168441000, 0.6348015000", \
+                        "0.0204641000, 0.0218883000, 0.0262334000, 0.0399883000, 0.0827336000, 0.2159070000, 0.6343014000", \
+                        "0.0216642000, 0.0228896000, 0.0271442000, 0.0404191000, 0.0827927000, 0.2156304000, 0.6334527000", \
+                        "0.0255303000, 0.0267805000, 0.0312351000, 0.0432120000, 0.0853516000, 0.2171923000, 0.6353245000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0125175000, 0.0112381000, 0.0071610000, -0.006046200, -0.048374300, -0.182291800, -0.604285700", \
+                        "0.0119509000, 0.0107498000, 0.0066520000, -0.006382100, -0.048510300, -0.182345600, -0.604242200", \
+                        "0.0113340000, 0.0100851000, 0.0060205000, -0.006926200, -0.048774200, -0.182378300, -0.604253500", \
+                        "0.0104085000, 0.0091295000, 0.0049864000, -0.007887200, -0.049490400, -0.182753000, -0.604395900", \
+                        "0.0098663000, 0.0085251000, 0.0042915000, -0.008853000, -0.050697600, -0.183672400, -0.604961800", \
+                        "0.0109098000, 0.0094890000, 0.0051122000, -0.008546500, -0.051504200, -0.184614400, -0.605791000", \
+                        "0.0150300000, 0.0133839000, 0.0086702000, -0.005768500, -0.049391300, -0.184499500, -0.607180500");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
+                    values("0.0157987000, 0.0174287000, 0.0221432000, 0.0360643000, 0.0786323000, 0.2110964000, 0.6280216000", \
+                        "0.0149147000, 0.0164824000, 0.0214902000, 0.0357363000, 0.0786466000, 0.2112604000, 0.6279215000", \
+                        "0.0141114000, 0.0156615000, 0.0204807000, 0.0348606000, 0.0781057000, 0.2114681000, 0.6282751000", \
+                        "0.0136668000, 0.0151375000, 0.0196462000, 0.0338095000, 0.0771047000, 0.2098129000, 0.6277845000", \
+                        "0.0138227000, 0.0152186000, 0.0194650000, 0.0330893000, 0.0760809000, 0.2096557000, 0.6266266000", \
+                        "0.0145752000, 0.0159164000, 0.0203526000, 0.0333334000, 0.0762419000, 0.2084700000, 0.6250283000", \
+                        "0.0202160000, 0.0214175000, 0.0252255000, 0.0390490000, 0.0787219000, 0.2107719000, 0.6245004000");
+                }
+            }
+            max_capacitance : 0.1373390000;
+            max_transition : 1.4979410000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0696459000, 0.0734543000, 0.0851995000, 0.1197707000, 0.2226478000, 0.5408138000, 1.5369847000", \
+                        "0.0772438000, 0.0811605000, 0.0927735000, 0.1273114000, 0.2303973000, 0.5483711000, 1.5424596000", \
+                        "0.0980781000, 0.1019643000, 0.1137170000, 0.1483841000, 0.2517035000, 0.5698711000, 1.5660221000", \
+                        "0.1476375000, 0.1519651000, 0.1652629000, 0.2014632000, 0.3052577000, 0.6238647000, 1.6196251000", \
+                        "0.2445751000, 0.2511598000, 0.2706287000, 0.3220015000, 0.4483265000, 0.7725070000, 1.7691901000", \
+                        "0.4031984000, 0.4152853000, 0.4521427000, 0.5433333000, 0.7450040000, 1.1672422000, 2.1860613000", \
+                        "0.5897597000, 0.6130496000, 0.6845782000, 0.8693081000, 1.2596716000, 1.9690196000, 3.3018390000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.1636640000, 0.1722358000, 0.1993694000, 0.2800703000, 0.5292488000, 1.3055038000, 3.7421359000", \
+                        "0.1707837000, 0.1796027000, 0.2062591000, 0.2873221000, 0.5368433000, 1.3133964000, 3.7515151000", \
+                        "0.1928333000, 0.2016882000, 0.2282568000, 0.3100504000, 0.5608234000, 1.3379772000, 3.7744203000", \
+                        "0.2530229000, 0.2614878000, 0.2887598000, 0.3702792000, 0.6216388000, 1.4002474000, 3.8355621000", \
+                        "0.3931636000, 0.4039185000, 0.4372390000, 0.5280900000, 0.7796889000, 1.5596222000, 3.9977142000", \
+                        "0.6644972000, 0.6822595000, 0.7342819000, 0.8693907000, 1.1991930000, 2.0046573000, 4.4450283000", \
+                        "1.1263784000, 1.1590376000, 1.2598689000, 1.5067093000, 2.0531066000, 3.1638800000, 5.6964544000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0468517000, 0.0511910000, 0.0647107000, 0.1074712000, 0.2427348000, 0.6698418000, 2.0147031000", \
+                        "0.0468328000, 0.0510734000, 0.0646390000, 0.1075148000, 0.2430066000, 0.6692172000, 2.0130517000", \
+                        "0.0464535000, 0.0508277000, 0.0644873000, 0.1073609000, 0.2427121000, 0.6696983000, 2.0149808000", \
+                        "0.0546060000, 0.0589542000, 0.0713909000, 0.1105813000, 0.2427608000, 0.6698428000, 2.0159805000", \
+                        "0.0871349000, 0.0923440000, 0.1075107000, 0.1518251000, 0.2722608000, 0.6730357000, 2.0169246000", \
+                        "0.1764860000, 0.1847616000, 0.2078488000, 0.2686687000, 0.4118643000, 0.7775853000, 2.0253179000", \
+                        "0.3935098000, 0.4092535000, 0.4538359000, 0.5586535000, 0.7668345000, 1.2047023000, 2.3288953000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.1101305000, 0.1212388000, 0.1557176000, 0.2628477000, 0.5983157000, 1.6462863000, 4.9413131000", \
+                        "0.1102166000, 0.1212913000, 0.1558877000, 0.2633438000, 0.5981115000, 1.6468324000, 4.9417119000", \
+                        "0.1101677000, 0.1213719000, 0.1557632000, 0.2634607000, 0.5981214000, 1.6492097000, 4.9422506000", \
+                        "0.1102222000, 0.1213640000, 0.1557365000, 0.2629464000, 0.5977199000, 1.6483718000, 4.9424798000", \
+                        "0.1467473000, 0.1574720000, 0.1903630000, 0.2844565000, 0.6019023000, 1.6480746000, 4.9435767000", \
+                        "0.2520957000, 0.2660190000, 0.3050376000, 0.4156938000, 0.7133305000, 1.6672337000, 4.9463011000", \
+                        "0.5301243000, 0.5505007000, 0.6101116000, 0.7666169000, 1.1204727000, 2.0292359000, 4.9955218000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0534883000, 0.0569598000, 0.0678799000, 0.1010389000, 0.2038014000, 0.5297572000, 1.5428602000", \
+                        "0.0610401000, 0.0647869000, 0.0758645000, 0.1096990000, 0.2127732000, 0.5358389000, 1.5537063000", \
+                        "0.0809157000, 0.0846774000, 0.0962459000, 0.1304955000, 0.2345150000, 0.5581864000, 1.5851243000", \
+                        "0.1220502000, 0.1272956000, 0.1417161000, 0.1809630000, 0.2869986000, 0.6110215000, 1.6281622000", \
+                        "0.1945384000, 0.2032104000, 0.2268429000, 0.2867421000, 0.4219575000, 0.7553950000, 1.7767913000", \
+                        "0.2868764000, 0.3047001000, 0.3540677000, 0.4673637000, 0.6942373000, 1.1379686000, 2.1798079000", \
+                        "0.3177200000, 0.3537287000, 0.4577056000, 0.6971609000, 1.1387324000, 1.8943211000, 3.2751455000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.1332000000, 0.1417012000, 0.1680877000, 0.2490547000, 0.4984491000, 1.2753738000, 3.7113426000", \
+                        "0.1374971000, 0.1460558000, 0.1724147000, 0.2540930000, 0.5037135000, 1.2805648000, 3.7165493000", \
+                        "0.1549044000, 0.1639592000, 0.1905739000, 0.2720220000, 0.5229684000, 1.3007549000, 3.7360484000", \
+                        "0.2159949000, 0.2246894000, 0.2516101000, 0.3322088000, 0.5820976000, 1.3637831000, 3.8019317000", \
+                        "0.3727157000, 0.3853831000, 0.4216957000, 0.5146149000, 0.7642408000, 1.5442178000, 3.9809338000", \
+                        "0.6524354000, 0.6741014000, 0.7404192000, 0.9035189000, 1.2651498000, 2.0539022000, 4.4882551000", \
+                        "1.1540421000, 1.1927906000, 1.3053801000, 1.5912622000, 2.2326434000, 3.4550345000, 5.9368914000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0293697000, 0.0337886000, 0.0480424000, 0.0923985000, 0.2317835000, 0.6716684000, 2.0447422000", \
+                        "0.0294032000, 0.0338802000, 0.0479982000, 0.0927499000, 0.2318431000, 0.6703367000, 2.0472011000", \
+                        "0.0297437000, 0.0341226000, 0.0480069000, 0.0922447000, 0.2320900000, 0.6697382000, 2.0675639000", \
+                        "0.0409758000, 0.0453231000, 0.0587642000, 0.0984429000, 0.2331775000, 0.6685270000, 2.0496413000", \
+                        "0.0761823000, 0.0817352000, 0.0981891000, 0.1435424000, 0.2659731000, 0.6764960000, 2.0501834000", \
+                        "0.1699189000, 0.1791402000, 0.2049787000, 0.2668552000, 0.4091802000, 0.7854829000, 2.0594195000", \
+                        "0.4104018000, 0.4249447000, 0.4659735000, 0.5691491000, 0.7782563000, 1.2137782000, 2.3734792000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.1098737000, 0.1208850000, 0.1556482000, 0.2634130000, 0.5977898000, 1.6478854000, 4.9440820000", \
+                        "0.1099998000, 0.1210809000, 0.1556446000, 0.2628523000, 0.5986606000, 1.6467910000, 4.9434372000", \
+                        "0.1101047000, 0.1210660000, 0.1556216000, 0.2632496000, 0.5976198000, 1.6486580000, 4.9430615000", \
+                        "0.1131986000, 0.1236281000, 0.1565076000, 0.2628621000, 0.5988937000, 1.6475300000, 4.9458428000", \
+                        "0.1730016000, 0.1841976000, 0.2158459000, 0.3005110000, 0.6017148000, 1.6498675000, 4.9435540000", \
+                        "0.3149880000, 0.3351705000, 0.3830975000, 0.5038281000, 0.7745002000, 1.6676494000, 4.9425362000", \
+                        "0.5884648000, 0.6216235000, 0.7131594000, 0.9317844000, 1.3545882000, 2.1923847000, 4.9891962000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0559064000, 0.0599123000, 0.0718942000, 0.1067201000, 0.2101430000, 0.5284425000, 1.5232209000", \
+                        "0.0620340000, 0.0661233000, 0.0781155000, 0.1134947000, 0.2171982000, 0.5354208000, 1.5304903000", \
+                        "0.0822488000, 0.0862393000, 0.0981728000, 0.1331861000, 0.2381718000, 0.5563819000, 1.5513595000", \
+                        "0.1363889000, 0.1414651000, 0.1558333000, 0.1935565000, 0.2971217000, 0.6163760000, 1.6123431000", \
+                        "0.2271298000, 0.2361036000, 0.2616105000, 0.3258499000, 0.4661053000, 0.7864260000, 1.7824511000", \
+                        "0.3565860000, 0.3727000000, 0.4191255000, 0.5347392000, 0.7844996000, 1.2590161000, 2.2654660000", \
+                        "0.5009342000, 0.5276058000, 0.6084239000, 0.8213093000, 1.2764670000, 2.1303526000, 3.6106860000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0420967000, 0.0464137000, 0.0590317000, 0.0958262000, 0.2075154000, 0.5570399000, 1.6531642000", \
+                        "0.0487949000, 0.0530244000, 0.0663170000, 0.1037252000, 0.2161357000, 0.5654296000, 1.6619892000", \
+                        "0.0725507000, 0.0765838000, 0.0891112000, 0.1262630000, 0.2400449000, 0.5901379000, 1.6903919000", \
+                        "0.1257753000, 0.1331048000, 0.1524836000, 0.1955163000, 0.3089171000, 0.6562380000, 1.7566474000", \
+                        "0.2183998000, 0.2323474000, 0.2689511000, 0.3516258000, 0.5063412000, 0.8579232000, 1.9518729000", \
+                        "0.3756332000, 0.4013822000, 0.4726582000, 0.6303014000, 0.9241033000, 1.4208712000, 2.5135662000", \
+                        "0.6547961000, 0.6966580000, 0.8193348000, 1.1082781000, 1.6697058000, 2.6142559000, 4.1143466000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0469706000, 0.0511985000, 0.0647760000, 0.1074022000, 0.2429701000, 0.6709102000, 2.0146689000", \
+                        "0.0468076000, 0.0512113000, 0.0646442000, 0.1073412000, 0.2432759000, 0.6696709000, 2.0150162000", \
+                        "0.0453712000, 0.0497481000, 0.0635458000, 0.1072726000, 0.2431638000, 0.6708989000, 2.0138720000", \
+                        "0.0628924000, 0.0673218000, 0.0797948000, 0.1147095000, 0.2425836000, 0.6701188000, 2.0152482000", \
+                        "0.1121702000, 0.1192368000, 0.1376919000, 0.1841718000, 0.2938130000, 0.6736793000, 2.0137580000", \
+                        "0.2186253000, 0.2318740000, 0.2649851000, 0.3454158000, 0.5121052000, 0.8422441000, 2.0271771000", \
+                        "0.4365874000, 0.4618092000, 0.5294031000, 0.6794759000, 0.9680207000, 1.4814481000, 2.4917943000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
+                    values("0.0401005000, 0.0454342000, 0.0624274000, 0.1162971000, 0.2814034000, 0.7734261000, 2.3027513000", \
+                        "0.0400758000, 0.0454562000, 0.0626591000, 0.1163696000, 0.2814090000, 0.7731232000, 2.3036515000", \
+                        "0.0427457000, 0.0471543000, 0.0629476000, 0.1170632000, 0.2814783000, 0.7738277000, 2.3093509000", \
+                        "0.0788975000, 0.0819862000, 0.0901579000, 0.1283889000, 0.2816049000, 0.7734277000, 2.3062747000", \
+                        "0.1706830000, 0.1736962000, 0.1861513000, 0.2226349000, 0.3307649000, 0.7736652000, 2.3087026000", \
+                        "0.3713855000, 0.3762280000, 0.3945833000, 0.4515533000, 0.5890775000, 0.9143155000, 2.3052376000", \
+                        "0.7364016000, 0.7478864000, 0.7862027000, 0.8960775000, 1.1461734000, 1.6132931000, 2.6551683000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ba_1 */
+
+/* removed sky130_fd_sc_hd__o21ba_2 */
+
+/* removed sky130_fd_sc_hd__o21ba_4 */
+
+/* removed sky130_fd_sc_hd__o21bai_1 */
+
+/* removed sky130_fd_sc_hd__o21bai_2 */
+
+/* removed sky130_fd_sc_hd__o21bai_4 */
+
+/* removed sky130_fd_sc_hd__o221a_1 */
+
+/* removed sky130_fd_sc_hd__o221a_2 */
+
+/* removed sky130_fd_sc_hd__o221a_4 */
+
+/* removed sky130_fd_sc_hd__o221ai_1 */
+
+/* removed sky130_fd_sc_hd__o221ai_2 */
+
+/* removed sky130_fd_sc_hd__o221ai_4 */
+
+/* removed sky130_fd_sc_hd__o22a_1 */
+
+/* removed sky130_fd_sc_hd__o22a_2 */
+
+    cell ("sky130_fd_sc_hd__o22a_4") {
+        leakage_power () {
+            value : 8.1072109000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 5.6741869000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 8.1685760000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 8.0945872000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.7380577000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.9162384000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 5.1858762000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 6.1365140000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.5729302000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.9162633000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 5.0207380000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 5.9713763000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 4.7715667000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.9162988000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 3.2193979000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 4.1700225000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__o22a";
+        cell_leakage_power : 6.5362400000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0048330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066235000, 0.0065960000, 0.0065631000, 0.0065379000, 0.0065116000, 0.0064821000, 0.0064435000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006546300, -0.006570200, -0.006589200, -0.006617800, -0.006649700, -0.006691600, -0.006760900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050290000;
+        }
+        pin ("A2") {
+            capacitance : 0.0043750000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0062704000, 0.0062399000, 0.0061983000, 0.0061743000, 0.0061513000, 0.0061310000, 0.0061181000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006235100, -0.006258200, -0.006275300, -0.006301700, -0.006328200, -0.006355000, -0.006382800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046390000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048700000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0047150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0077700000, 0.0077390000, 0.0076953000, 0.0076727000, 0.0076527000, 0.0076406000, 0.0076506000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003249200, -0.003323100, -0.003484000, -0.003470300, -0.003383900, -0.003093400, -0.002227800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050260000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041720000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0072058000, 0.0071772000, 0.0071403000, 0.0071157000, 0.0070905000, 0.0070636000, 0.0070321000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002600900, -0.002665200, -0.002802100, -0.002788300, -0.002705100, -0.002426100, -0.001595300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045820000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0303543000, 0.0291171000, 0.0252229000, 0.0076092000, -0.068040700, -0.355489300, -1.401285100", \
+                        "0.0302086000, 0.0289809000, 0.0250936000, 0.0074634000, -0.068170000, -0.355641700, -1.401388100", \
+                        "0.0300455000, 0.0287965000, 0.0248898000, 0.0072479000, -0.068401400, -0.355844100, -1.401564400", \
+                        "0.0297955000, 0.0284932000, 0.0245381000, 0.0069002000, -0.068698000, -0.356144700, -1.401880800", \
+                        "0.0295333000, 0.0282809000, 0.0242673000, 0.0064682000, -0.069221300, -0.356523600, -1.402195300", \
+                        "0.0340129000, 0.0324067000, 0.0265381000, 0.0049107000, -0.069889400, -0.356896700, -1.402325400", \
+                        "0.0391801000, 0.0374431000, 0.0313016000, 0.0094938000, -0.069645100, -0.357320600, -1.402097800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0313395000, 0.0331771000, 0.0398758000, 0.0628738000, 0.1427675000, 0.4311318000, 1.4670157000", \
+                        "0.0311647000, 0.0330129000, 0.0396876000, 0.0626948000, 0.1426532000, 0.4293269000, 1.4611925000", \
+                        "0.0309710000, 0.0327887000, 0.0394448000, 0.0625333000, 0.1424677000, 0.4290448000, 1.4682250000", \
+                        "0.0307134000, 0.0325628000, 0.0392147000, 0.0621687000, 0.1422623000, 0.4288632000, 1.4693700000", \
+                        "0.0305492000, 0.0323434000, 0.0389893000, 0.0617164000, 0.1416760000, 0.4282832000, 1.4659040000", \
+                        "0.0312425000, 0.0329929000, 0.0392762000, 0.0617289000, 0.1414627000, 0.4274226000, 1.4672589000", \
+                        "0.0322538000, 0.0339035000, 0.0400167000, 0.0621925000, 0.1423226000, 0.4288627000, 1.4616833000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0267703000, 0.0255293000, 0.0216201000, 0.0038781000, -0.071716400, -0.359130400, -1.404863700", \
+                        "0.0265157000, 0.0255177000, 0.0214461000, 0.0037951000, -0.071831100, -0.359288800, -1.405012600", \
+                        "0.0262675000, 0.0251008000, 0.0211896000, 0.0035126000, -0.072142400, -0.359543600, -1.405179200", \
+                        "0.0261043000, 0.0248289000, 0.0208768000, 0.0032186000, -0.072475200, -0.359853700, -1.405538700", \
+                        "0.0259584000, 0.0247302000, 0.0206457000, 0.0027897000, -0.073019800, -0.360272600, -1.405870800", \
+                        "0.0303707000, 0.0287375000, 0.0227634000, 0.0019687000, -0.073231700, -0.360207700, -1.405538700", \
+                        "0.0375962000, 0.0357945000, 0.0295830000, 0.0074429000, -0.071819300, -0.359505000, -1.404392300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0286186000, 0.0304531000, 0.0370959000, 0.0601211000, 0.1400776000, 0.4264114000, 1.4670970000", \
+                        "0.0286825000, 0.0305516000, 0.0371434000, 0.0602038000, 0.1400308000, 0.4264998000, 1.4665882000", \
+                        "0.0286103000, 0.0305206000, 0.0371263000, 0.0601182000, 0.1401429000, 0.4265195000, 1.4670618000", \
+                        "0.0282596000, 0.0301564000, 0.0367937000, 0.0597576000, 0.1397619000, 0.4282160000, 1.4669142000", \
+                        "0.0277931000, 0.0295360000, 0.0361562000, 0.0589955000, 0.1388121000, 0.4258385000, 1.4658616000", \
+                        "0.0283034000, 0.0300612000, 0.0362840000, 0.0589535000, 0.1379058000, 0.4235431000, 1.4654162000", \
+                        "0.0291064000, 0.0307919000, 0.0368870000, 0.0591250000, 0.1391266000, 0.4256981000, 1.4577886000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0224472000, 0.0212805000, 0.0172175000, -0.000471800, -0.076523700, -0.364605800, -1.410920000", \
+                        "0.0223071000, 0.0211909000, 0.0171802000, -0.000544400, -0.076570400, -0.364665900, -1.410897900", \
+                        "0.0222186000, 0.0209833000, 0.0169182000, -0.000755300, -0.076800300, -0.364911500, -1.411126900", \
+                        "0.0216641000, 0.0204334000, 0.0164683000, -0.001322400, -0.077352600, -0.365393300, -1.411654700", \
+                        "0.0211644000, 0.0198913000, 0.0158914000, -0.001995500, -0.077975700, -0.365840600, -1.411979100", \
+                        "0.0257701000, 0.0241521000, 0.0183428000, -0.003322600, -0.078515900, -0.366103200, -1.412098500", \
+                        "0.0296275000, 0.0279258000, 0.0218077000, -2.65000e-05, -0.078961100, -0.366630900, -1.411940400");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0281821000, 0.0300818000, 0.0367381000, 0.0595824000, 0.1395972000, 0.4261255000, 1.4674362000", \
+                        "0.0280791000, 0.0299374000, 0.0366360000, 0.0594814000, 0.1394906000, 0.4260114000, 1.4664619000", \
+                        "0.0279222000, 0.0298037000, 0.0364925000, 0.0594980000, 0.1395468000, 0.4262728000, 1.4580739000", \
+                        "0.0275550000, 0.0294296000, 0.0360928000, 0.0591182000, 0.1390143000, 0.4259762000, 1.4663512000", \
+                        "0.0270004000, 0.0288545000, 0.0354384000, 0.0582490000, 0.1380202000, 0.4251786000, 1.4656255000", \
+                        "0.0276766000, 0.0294109000, 0.0356513000, 0.0578486000, 0.1373975000, 0.4226239000, 1.4645964000", \
+                        "0.0287721000, 0.0304934000, 0.0364673000, 0.0587165000, 0.1369236000, 0.4245921000, 1.4616071000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0190313000, 0.0178906000, 0.0138345000, -0.003851400, -0.079794700, -0.367750500, -1.413804200", \
+                        "0.0191015000, 0.0179241000, 0.0138216000, -0.003900500, -0.079841700, -0.367831300, -1.413996600", \
+                        "0.0185859000, 0.0174146000, 0.0134248000, -0.004278200, -0.080184500, -0.368148900, -1.414306600", \
+                        "0.0181296000, 0.0169504000, 0.0129574000, -0.004789400, -0.080743100, -0.368636500, -1.414798000", \
+                        "0.0177345000, 0.0165025000, 0.0123953000, -0.005501000, -0.081541800, -0.369205800, -1.415192000", \
+                        "0.0227705000, 0.0211243000, 0.0151609000, -0.006479000, -0.081897900, -0.369187200, -1.415043600", \
+                        "0.0284572000, 0.0266617000, 0.0204221000, -0.001140700, -0.080330200, -0.368060300, -1.413347000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
+                    values("0.0250409000, 0.0268893000, 0.0335004000, 0.0566057000, 0.1364358000, 0.4228417000, 1.4626004000", \
+                        "0.0252037000, 0.0270826000, 0.0337387000, 0.0566076000, 0.1364875000, 0.4228037000, 1.4627096000", \
+                        "0.0252217000, 0.0271123000, 0.0337535000, 0.0566577000, 0.1365923000, 0.4232835000, 1.4636629000", \
+                        "0.0248008000, 0.0266797000, 0.0333454000, 0.0562417000, 0.1360745000, 0.4243829000, 1.4587157000", \
+                        "0.0240219000, 0.0258762000, 0.0324665000, 0.0550865000, 0.1347898000, 0.4217158000, 1.4619877000", \
+                        "0.0246200000, 0.0263585000, 0.0325189000, 0.0549452000, 0.1340935000, 0.4191145000, 1.4585919000", \
+                        "0.0255104000, 0.0272675000, 0.0332309000, 0.0554846000, 0.1346287000, 0.4199158000, 1.4583409000");
+                }
+            }
+            max_capacitance : 0.3332620000;
+            max_transition : 1.5023530000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.3754722000, 0.3847780000, 0.4112773000, 0.4794726000, 0.6326516000, 1.0187751000, 2.3114408000", \
+                        "0.3830247000, 0.3923306000, 0.4188105000, 0.4870487000, 0.6402563000, 1.0263480000, 2.3157962000", \
+                        "0.4064180000, 0.4157404000, 0.4422965000, 0.5103404000, 0.6634367000, 1.0496539000, 2.3425395000", \
+                        "0.4668954000, 0.4761872000, 0.5030835000, 0.5712665000, 0.7244170000, 1.1105671000, 2.3992177000", \
+                        "0.6295823000, 0.6389848000, 0.6657329000, 0.7335928000, 0.8868378000, 1.2730478000, 2.5627283000", \
+                        "1.0079654000, 1.0189741000, 1.0502119000, 1.1266210000, 1.2923294000, 1.6870048000, 2.9777203000", \
+                        "1.7202593000, 1.7355867000, 1.7775877000, 1.8768185000, 2.0819411000, 2.5105382000, 3.8066408000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.2208397000, 0.2291497000, 0.2536856000, 0.3203600000, 0.5240405000, 1.2383533000, 3.8094443000", \
+                        "0.2287080000, 0.2370053000, 0.2614969000, 0.3283049000, 0.5320193000, 1.2452080000, 3.8184806000", \
+                        "0.2512495000, 0.2594918000, 0.2840211000, 0.3508858000, 0.5542253000, 1.2669108000, 3.8365102000", \
+                        "0.3080942000, 0.3164219000, 0.3408526000, 0.4075517000, 0.6114016000, 1.3247607000, 3.8991206000", \
+                        "0.4526751000, 0.4613945000, 0.4870678000, 0.5545412000, 0.7583010000, 1.4723357000, 4.0420849000", \
+                        "0.7403696000, 0.7511595000, 0.7815902000, 0.8567228000, 1.0649986000, 1.7789168000, 4.3478137000", \
+                        "1.2148810000, 1.2311057000, 1.2746278000, 1.3726148000, 1.5945886000, 2.3113931000, 4.8783129000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0523411000, 0.0591327000, 0.0783251000, 0.1329846000, 0.2657493000, 0.7168069000, 2.4638502000", \
+                        "0.0523394000, 0.0590731000, 0.0784517000, 0.1330050000, 0.2656751000, 0.7167931000, 2.4635247000", \
+                        "0.0529182000, 0.0590524000, 0.0779524000, 0.1329181000, 0.2655417000, 0.7168177000, 2.4643929000", \
+                        "0.0529907000, 0.0590340000, 0.0781605000, 0.1328805000, 0.2656171000, 0.7160055000, 2.4584110000", \
+                        "0.0532297000, 0.0588900000, 0.0788050000, 0.1326847000, 0.2655077000, 0.7167063000, 2.4624718000", \
+                        "0.0680526000, 0.0745791000, 0.0950970000, 0.1516716000, 0.2830965000, 0.7224225000, 2.4578874000", \
+                        "0.1082806000, 0.1163614000, 0.1394266000, 0.2057220000, 0.3420487000, 0.7603834000, 2.4576947000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0405643000, 0.0469818000, 0.0687943000, 0.1401326000, 0.4076117000, 1.4071109000, 4.9995283000", \
+                        "0.0407835000, 0.0475214000, 0.0687779000, 0.1400664000, 0.4083372000, 1.4016173000, 4.9913704000", \
+                        "0.0405022000, 0.0475950000, 0.0687018000, 0.1404693000, 0.4087094000, 1.4035968000, 5.0013615000", \
+                        "0.0403100000, 0.0473493000, 0.0686585000, 0.1401898000, 0.4081423000, 1.4042155000, 5.0008597000", \
+                        "0.0436223000, 0.0507564000, 0.0718564000, 0.1424143000, 0.4083857000, 1.4072847000, 5.0018576000", \
+                        "0.0572656000, 0.0643931000, 0.0865064000, 0.1551645000, 0.4136598000, 1.4038458000, 5.0001900000", \
+                        "0.0954427000, 0.1033749000, 0.1284977000, 0.1935715000, 0.4304760000, 1.4100776000, 4.9906514000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.3473413000, 0.3566585000, 0.3834242000, 0.4511189000, 0.6046606000, 0.9906986000, 2.2801079000", \
+                        "0.3524439000, 0.3613876000, 0.3884694000, 0.4562919000, 0.6096019000, 0.9958228000, 2.2829232000", \
+                        "0.3710725000, 0.3801947000, 0.4071060000, 0.4751777000, 0.6285440000, 1.0147052000, 2.3066202000", \
+                        "0.4325284000, 0.4418323000, 0.4687626000, 0.5366150000, 0.6899273000, 1.0763059000, 2.3657867000", \
+                        "0.6141648000, 0.6234320000, 0.6502064000, 0.7180684000, 0.8713166000, 1.2578710000, 2.5466660000", \
+                        "1.0428669000, 1.0545294000, 1.0870747000, 1.1644410000, 1.3299345000, 1.7242529000, 3.0134342000", \
+                        "1.7944084000, 1.8122297000, 1.8601279000, 1.9696385000, 2.1862232000, 2.6121125000, 3.9107668000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.2009044000, 0.2092066000, 0.2337362000, 0.3004408000, 0.5036067000, 1.2156213000, 3.7900647000", \
+                        "0.2096660000, 0.2180523000, 0.2423177000, 0.3092104000, 0.5122830000, 1.2244589000, 3.7970911000", \
+                        "0.2323761000, 0.2406756000, 0.2652077000, 0.3319205000, 0.5352278000, 1.2472313000, 3.8214449000", \
+                        "0.2871170000, 0.2955045000, 0.3199321000, 0.3865340000, 0.5898628000, 1.3017581000, 3.8765640000", \
+                        "0.4210307000, 0.4296973000, 0.4556043000, 0.5239962000, 0.7273263000, 1.4390213000, 4.0059351000", \
+                        "0.6772035000, 0.6883261000, 0.7198216000, 0.7962284000, 1.0052826000, 1.7179488000, 4.2842148000", \
+                        "1.0776779000, 1.0946543000, 1.1407525000, 1.2436481000, 1.4697910000, 2.1842519000, 4.7502966000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0526312000, 0.0587543000, 0.0780945000, 0.1329212000, 0.2655045000, 0.7164601000, 2.4613356000", \
+                        "0.0525682000, 0.0595489000, 0.0780883000, 0.1330955000, 0.2655569000, 0.7153568000, 2.4634337000", \
+                        "0.0525688000, 0.0587258000, 0.0780528000, 0.1326248000, 0.2649361000, 0.7166692000, 2.4588531000", \
+                        "0.0526395000, 0.0587073000, 0.0785238000, 0.1327994000, 0.2652399000, 0.7155029000, 2.4529669000", \
+                        "0.0534557000, 0.0597153000, 0.0791775000, 0.1331260000, 0.2658633000, 0.7153968000, 2.4579299000", \
+                        "0.0761146000, 0.0820474000, 0.1018624000, 0.1549813000, 0.2841882000, 0.7228914000, 2.4599951000", \
+                        "0.1334990000, 0.1426974000, 0.1667380000, 0.2327093000, 0.3566792000, 0.7594396000, 2.4586835000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0400006000, 0.0463368000, 0.0684196000, 0.1397528000, 0.4073772000, 1.4043422000, 5.0037943000", \
+                        "0.0400750000, 0.0465978000, 0.0683437000, 0.1397150000, 0.4078225000, 1.4022321000, 4.9969371000", \
+                        "0.0399603000, 0.0468387000, 0.0684741000, 0.1398386000, 0.4079186000, 1.4043549000, 5.0037099000", \
+                        "0.0399776000, 0.0468806000, 0.0682963000, 0.1397844000, 0.4079740000, 1.4060350000, 5.0040549000", \
+                        "0.0443662000, 0.0513114000, 0.0730895000, 0.1424293000, 0.4081879000, 1.4047268000, 5.0012141000", \
+                        "0.0618567000, 0.0687353000, 0.0900901000, 0.1574306000, 0.4142156000, 1.4017068000, 5.0020637000", \
+                        "0.1010619000, 0.1107641000, 0.1361166000, 0.2017879000, 0.4335269000, 1.4088997000, 4.9908429000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.3336726000, 0.3432553000, 0.3705945000, 0.4392199000, 0.5932126000, 0.9791334000, 2.2682047000", \
+                        "0.3420414000, 0.3515604000, 0.3789465000, 0.4474106000, 0.6015353000, 0.9873934000, 2.2719078000", \
+                        "0.3650021000, 0.3743826000, 0.4017881000, 0.4705841000, 0.6244615000, 1.0105577000, 2.2999218000", \
+                        "0.4264749000, 0.4358858000, 0.4631114000, 0.5319672000, 0.6859997000, 1.0721005000, 2.3591307000", \
+                        "0.5940183000, 0.6034024000, 0.6304765000, 0.6995161000, 0.8536667000, 1.2399849000, 2.5300428000", \
+                        "0.9730244000, 0.9845681000, 1.0173838000, 1.0976819000, 1.2669829000, 1.6641361000, 2.9537419000", \
+                        "1.6949820000, 1.7116413000, 1.7577059000, 1.8658708000, 2.0836550000, 2.5207851000, 3.8164707000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.2034992000, 0.2117366000, 0.2362480000, 0.3028803000, 0.5066791000, 1.2185971000, 3.7867980000", \
+                        "0.2101035000, 0.2183504000, 0.2428757000, 0.3095067000, 0.5133078000, 1.2260625000, 3.7988586000", \
+                        "0.2310406000, 0.2393941000, 0.2640221000, 0.3307056000, 0.5345275000, 1.2479003000, 3.8217625000", \
+                        "0.2917724000, 0.3001139000, 0.3246816000, 0.3914053000, 0.5946639000, 1.3073307000, 3.8753689000", \
+                        "0.4516610000, 0.4604866000, 0.4856905000, 0.5532397000, 0.7566939000, 1.4698337000, 4.0385695000", \
+                        "0.7354840000, 0.7466582000, 0.7774528000, 0.8509738000, 1.0579495000, 1.7727745000, 4.3433217000", \
+                        "1.1574954000, 1.1745591000, 1.2206749000, 1.3204932000, 1.5341439000, 2.2504678000, 4.8174904000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0526655000, 0.0591570000, 0.0788674000, 0.1338998000, 0.2665648000, 0.7158786000, 2.4562579000", \
+                        "0.0526870000, 0.0592809000, 0.0784872000, 0.1338979000, 0.2665234000, 0.7154514000, 2.4609463000", \
+                        "0.0525414000, 0.0593013000, 0.0792244000, 0.1341345000, 0.2672461000, 0.7163256000, 2.4550164000", \
+                        "0.0525301000, 0.0589929000, 0.0785132000, 0.1338411000, 0.2661722000, 0.7143509000, 2.4521627000", \
+                        "0.0534611000, 0.0595376000, 0.0799442000, 0.1341436000, 0.2673830000, 0.7163320000, 2.4540596000", \
+                        "0.0720373000, 0.0790482000, 0.1004004000, 0.1584164000, 0.2903355000, 0.7256439000, 2.4562963000", \
+                        "0.1196814000, 0.1270181000, 0.1525049000, 0.2233163000, 0.3559246000, 0.7677564000, 2.4564568000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0407517000, 0.0471792000, 0.0682646000, 0.1401683000, 0.4075145000, 1.4026693000, 5.0003179000", \
+                        "0.0407115000, 0.0473302000, 0.0683885000, 0.1402070000, 0.4077228000, 1.4022695000, 4.9964264000", \
+                        "0.0405998000, 0.0474485000, 0.0687327000, 0.1400587000, 0.4082690000, 1.4024213000, 4.9894879000", \
+                        "0.0405444000, 0.0472622000, 0.0685226000, 0.1404505000, 0.4086246000, 1.4051990000, 5.0017701000", \
+                        "0.0447351000, 0.0513089000, 0.0719405000, 0.1425234000, 0.4087814000, 1.4059907000, 5.0020099000", \
+                        "0.0644396000, 0.0703718000, 0.0902225000, 0.1550975000, 0.4140072000, 1.4016125000, 5.0010787000", \
+                        "0.1097635000, 0.1180773000, 0.1408711000, 0.1999555000, 0.4304334000, 1.4135996000, 4.9947237000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.3020118000, 0.3115194000, 0.3388859000, 0.4074094000, 0.5613927000, 0.9472695000, 2.2370086000", \
+                        "0.3075271000, 0.3169003000, 0.3443389000, 0.4132050000, 0.5668611000, 0.9532598000, 2.2430207000", \
+                        "0.3256884000, 0.3350689000, 0.3624873000, 0.4315417000, 0.5849563000, 0.9709978000, 2.2580906000", \
+                        "0.3861851000, 0.3955274000, 0.4229498000, 0.4920219000, 0.6449343000, 1.0323068000, 2.3194291000", \
+                        "0.5676703000, 0.5770425000, 0.6042515000, 0.6731536000, 0.8263883000, 1.2132271000, 2.4990447000", \
+                        "0.9658544000, 0.9787560000, 1.0138461000, 1.0970748000, 1.2690808000, 1.6627406000, 2.9515168000", \
+                        "1.6615229000, 1.6812409000, 1.7337185000, 1.8561126000, 2.0908915000, 2.5272875000, 3.8240531000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.1847166000, 0.1930880000, 0.2176016000, 0.2847376000, 0.4875594000, 1.1996926000, 3.7696783000", \
+                        "0.1923890000, 0.2005467000, 0.2251685000, 0.2920202000, 0.4953655000, 1.2064061000, 3.7759342000", \
+                        "0.2137319000, 0.2221224000, 0.2466785000, 0.3135940000, 0.5167630000, 1.2273396000, 3.7927372000", \
+                        "0.2728567000, 0.2811407000, 0.3057929000, 0.3724510000, 0.5757845000, 1.2901338000, 3.8611772000", \
+                        "0.4231776000, 0.4321118000, 0.4577737000, 0.5260024000, 0.7294941000, 1.4423649000, 4.0088164000", \
+                        "0.6753513000, 0.6875638000, 0.7197232000, 0.7952274000, 1.0026433000, 1.7149280000, 4.2881516000", \
+                        "1.0268993000, 1.0454164000, 1.0951033000, 1.2004331000, 1.4188327000, 2.1270194000, 4.6948490000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0525422000, 0.0592752000, 0.0785482000, 0.1338619000, 0.2672405000, 0.7163645000, 2.4537614000", \
+                        "0.0528877000, 0.0591542000, 0.0792825000, 0.1340426000, 0.2674297000, 0.7159241000, 2.4550950000", \
+                        "0.0523545000, 0.0591260000, 0.0785135000, 0.1339940000, 0.2672893000, 0.7161977000, 2.4605651000", \
+                        "0.0524002000, 0.0591677000, 0.0785043000, 0.1340135000, 0.2665582000, 0.7141243000, 2.4520708000", \
+                        "0.0534638000, 0.0601818000, 0.0791415000, 0.1344636000, 0.2676311000, 0.7153809000, 2.4595586000", \
+                        "0.0844545000, 0.0915295000, 0.1112015000, 0.1665972000, 0.2928242000, 0.7274953000, 2.4519839000", \
+                        "0.1464940000, 0.1575342000, 0.1861909000, 0.2576115000, 0.3843632000, 0.7706559000, 2.4618303000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
+                    values("0.0404833000, 0.0466969000, 0.0687178000, 0.1403662000, 0.4078215000, 1.3997915000, 4.9953162000", \
+                        "0.0406096000, 0.0471981000, 0.0684972000, 0.1400011000, 0.4067173000, 1.3992697000, 4.9960551000", \
+                        "0.0406031000, 0.0470960000, 0.0685529000, 0.1401717000, 0.4072339000, 1.4030733000, 4.9986193000", \
+                        "0.0405894000, 0.0472178000, 0.0681646000, 0.1400785000, 0.4079717000, 1.4049574000, 4.9969016000", \
+                        "0.0465254000, 0.0530068000, 0.0736836000, 0.1432891000, 0.4079441000, 1.4020468000, 4.9981983000", \
+                        "0.0698042000, 0.0754374000, 0.0940063000, 0.1586096000, 0.4145403000, 1.3989933000, 4.9945572000", \
+                        "0.1210700000, 0.1272296000, 0.1514057000, 0.2117824000, 0.4336948000, 1.4051824000, 4.9935411000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o22ai_1 */
+
+/* removed sky130_fd_sc_hd__o22ai_2 */
+
+/* removed sky130_fd_sc_hd__o22ai_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_4 */
+
+/* removed sky130_fd_sc_hd__o311a_1 */
+
+/* removed sky130_fd_sc_hd__o311a_2 */
+
+/* removed sky130_fd_sc_hd__o311a_4 */
+
+/* removed sky130_fd_sc_hd__o311ai_0 */
+
+/* removed sky130_fd_sc_hd__o311ai_1 */
+
+/* removed sky130_fd_sc_hd__o311ai_2 */
+
+/* removed sky130_fd_sc_hd__o311ai_4 */
+
+/* removed sky130_fd_sc_hd__o31a_1 */
+
+/* removed sky130_fd_sc_hd__o31a_2 */
+
+/* removed sky130_fd_sc_hd__o31a_4 */
+
+/* removed sky130_fd_sc_hd__o31ai_1 */
+
+/* removed sky130_fd_sc_hd__o31ai_2 */
+
+/* removed sky130_fd_sc_hd__o31ai_4 */
+
+/* removed sky130_fd_sc_hd__o32a_1 */
+
+/* removed sky130_fd_sc_hd__o32a_2 */
+
+    cell ("sky130_fd_sc_hd__o32a_4") {
+        leakage_power () {
+            value : 12.993511200;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 9.6530686000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 13.087349900;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 12.992915200;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 15.530353900;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218469800;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 11.257869300;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 13.946782400;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 10.646345100;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218468900;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 6.3738095000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.0627186000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 7.8487554000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218512400;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 3.5762853000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.2651297000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 10.416069800;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218464500;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 6.1435279000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 8.8324406000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 7.8538829000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218517800;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 3.5814121000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.2702559000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 7.8240054000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218512400;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 3.5515255000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.2403740000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 7.6700157000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 12.218530200;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 3.3975573000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 6.0863883000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 22.521600000;
+        cell_footprint : "sky130_fd_sc_hd__o32a";
+        cell_leakage_power : 9.2697450000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043950000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042200000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066051000, 0.0065686000, 0.0065234000, 0.0064928000, 0.0064646000, 0.0064426000, 0.0064387000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006397800, -0.006434800, -0.006464300, -0.006510300, -0.006565300, -0.006645700, -0.006797200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045700000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042930000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040450000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065586000, 0.0065179000, 0.0064607000, 0.0064296000, 0.0063997000, 0.0063732000, 0.0063565000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006459700, -0.006493500, -0.006514600, -0.006557600, -0.006604700, -0.006663000, -0.006752900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045410000;
+        }
+        pin ("A3") {
+            capacitance : 0.0043600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0068161000, 0.0067714000, 0.0067037000, 0.0066726000, 0.0066433000, 0.0066183000, 0.0066058000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006774000, -0.006804100, -0.006822600, -0.006858000, -0.006891800, -0.006921200, -0.006938100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046660000;
+        }
+        pin ("B1") {
+            capacitance : 0.0043190000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0074915000, 0.0074605000, 0.0074258000, 0.0073948000, 0.0073602000, 0.0073153000, 0.0072418000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002082800, -0.002209900, -0.002493200, -0.002475700, -0.002351800, -0.001928500, -0.000661200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044480000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041020000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0075550000, 0.0075202000, 0.0074748000, 0.0074462000, 0.0074184000, 0.0073925000, 0.0073724000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002068600, -0.002175200, -0.002410300, -0.002391000, -0.002271000, -0.001867500, -0.000665400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044980000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0337963000, 0.0324611000, 0.0281697000, 0.0101731000, -0.067524200, -0.370450600, -1.487499300", \
+                        "0.0336736000, 0.0323379000, 0.0281203000, 0.0101110000, -0.067647600, -0.370578400, -1.487619100", \
+                        "0.0336233000, 0.0322798000, 0.0280179000, 0.0099018000, -0.067807400, -0.370761100, -1.487774100", \
+                        "0.0334313000, 0.0319294000, 0.0279562000, 0.0096648000, -0.068059500, -0.371001600, -1.488018300", \
+                        "0.0329303000, 0.0316150000, 0.0274157000, 0.0092060000, -0.068471800, -0.371324100, -1.488335200", \
+                        "0.0331756000, 0.0314518000, 0.0266088000, 0.0090381000, -0.068848300, -0.371598900, -1.488471300", \
+                        "0.0447823000, 0.0430188000, 0.0366896000, 0.0142602000, -0.068408600, -0.372151700, -1.488601000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0353870000, 0.0372405000, 0.0440878000, 0.0682724000, 0.1522995000, 0.4574542000, 1.5646838000", \
+                        "0.0352683000, 0.0371456000, 0.0440124000, 0.0680015000, 0.1521719000, 0.4554400000, 1.5624514000", \
+                        "0.0350390000, 0.0368786000, 0.0436794000, 0.0677722000, 0.1519129000, 0.4571891000, 1.5641271000", \
+                        "0.0347602000, 0.0366389000, 0.0435472000, 0.0676159000, 0.1517101000, 0.4548710000, 1.5674238000", \
+                        "0.0347723000, 0.0366282000, 0.0433662000, 0.0671257000, 0.1512731000, 0.4547182000, 1.5622269000", \
+                        "0.0361418000, 0.0378907000, 0.0442814000, 0.0672109000, 0.1510250000, 0.4559697000, 1.5665391000", \
+                        "0.0377333000, 0.0394248000, 0.0456671000, 0.0685677000, 0.1522223000, 0.4564940000, 1.5608571000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0298868000, 0.0288202000, 0.0244723000, 0.0064215000, -0.071326000, -0.374252900, -1.491319100", \
+                        "0.0299630000, 0.0287800000, 0.0245803000, 0.0062056000, -0.071455300, -0.374418000, -1.491399200", \
+                        "0.0297373000, 0.0285024000, 0.0242076000, 0.0061588000, -0.071635200, -0.374541200, -1.491577500", \
+                        "0.0293697000, 0.0280812000, 0.0238275000, 0.0057388000, -0.071897300, -0.374805900, -1.491826400", \
+                        "0.0290782000, 0.0277783000, 0.0236087000, 0.0053991000, -0.072281100, -0.375188600, -1.492101400", \
+                        "0.0291725000, 0.0274116000, 0.0227954000, 0.0050678000, -0.072815800, -0.375480200, -1.492288200", \
+                        "0.0417145000, 0.0399428000, 0.0335297000, 0.0107490000, -0.071952300, -0.375669900, -1.492047500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0327612000, 0.0346804000, 0.0414933000, 0.0654036000, 0.1490144000, 0.4520116000, 1.5641394000", \
+                        "0.0328927000, 0.0347467000, 0.0415625000, 0.0653393000, 0.1490662000, 0.4540002000, 1.5589450000", \
+                        "0.0328058000, 0.0346614000, 0.0414392000, 0.0652602000, 0.1490008000, 0.4538936000, 1.5596283000", \
+                        "0.0326041000, 0.0344619000, 0.0411793000, 0.0650840000, 0.1488879000, 0.4520694000, 1.5593541000", \
+                        "0.0323673000, 0.0341721000, 0.0408333000, 0.0643939000, 0.1482971000, 0.4515780000, 1.5590241000", \
+                        "0.0331811000, 0.0349326000, 0.0412365000, 0.0644202000, 0.1481585000, 0.4520520000, 1.5577801000", \
+                        "0.0344631000, 0.0361550000, 0.0423579000, 0.0651782000, 0.1489255000, 0.4515813000, 1.5597474000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0259014000, 0.0245751000, 0.0204495000, 0.0023712000, -0.075252300, -0.378186300, -1.495206900", \
+                        "0.0258031000, 0.0245428000, 0.0202590000, 0.0022603000, -0.075411700, -0.378320800, -1.495380300", \
+                        "0.0255642000, 0.0242277000, 0.0200682000, 0.0020110000, -0.075603500, -0.378559400, -1.495608100", \
+                        "0.0252642000, 0.0239633000, 0.0197602000, 0.0016324000, -0.076020200, -0.378931000, -1.495954600", \
+                        "0.0249973000, 0.0236529000, 0.0194986000, 0.0014728000, -0.076421400, -0.379267500, -1.496199200", \
+                        "0.0274460000, 0.0257172000, 0.0194194000, 0.0012474000, -0.076696400, -0.379321600, -1.496018400", \
+                        "0.0404109000, 0.0385632000, 0.0320398000, 0.0091128000, -0.073787500, -0.377637500, -1.493879800");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0297701000, 0.0316554000, 0.0384542000, 0.0621128000, 0.1457610000, 0.4505070000, 1.5565715000", \
+                        "0.0298548000, 0.0317325000, 0.0385762000, 0.0623574000, 0.1459559000, 0.4507030000, 1.5568988000", \
+                        "0.0298814000, 0.0317570000, 0.0385939000, 0.0623843000, 0.1460158000, 0.4507836000, 1.5570936000", \
+                        "0.0295895000, 0.0314456000, 0.0382508000, 0.0621473000, 0.1457148000, 0.4484871000, 1.5593754000", \
+                        "0.0291141000, 0.0309367000, 0.0375341000, 0.0613390000, 0.1447993000, 0.4478557000, 1.5593363000", \
+                        "0.0296678000, 0.0314119000, 0.0377441000, 0.0609687000, 0.1442864000, 0.4459616000, 1.5592398000", \
+                        "0.0310659000, 0.0327746000, 0.0389373000, 0.0616053000, 0.1454708000, 0.4486170000, 1.5585710000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0238059000, 0.0226877000, 0.0185106000, 0.0009902000, -0.076175200, -0.380085200, -1.497720500", \
+                        "0.0236034000, 0.0225251000, 0.0184509000, 0.0009255000, -0.076184100, -0.380083000, -1.497746300", \
+                        "0.0233640000, 0.0222982000, 0.0181480000, 0.0006509000, -0.076392400, -0.380288800, -1.497961000", \
+                        "0.0229088000, 0.0218702000, 0.0177013000, 0.0002233000, -0.076891700, -0.380718600, -1.498418300", \
+                        "0.0225661000, 0.0215097000, 0.0171884000, -0.000477600, -0.077513000, -0.381178400, -1.498787200", \
+                        "0.0288041000, 0.0271048000, 0.0213729000, -0.001217900, -0.078190000, -0.381474300, -1.498932800", \
+                        "0.0364796000, 0.0346834000, 0.0283181000, 0.0055352000, -0.077292300, -0.381464700, -1.498399500");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0312458000, 0.0332027000, 0.0398717000, 0.0640445000, 0.1481501000, 0.4532163000, 1.5604368000", \
+                        "0.0311152000, 0.0330336000, 0.0398992000, 0.0640036000, 0.1481424000, 0.4513003000, 1.5637261000", \
+                        "0.0311872000, 0.0330264000, 0.0398231000, 0.0641049000, 0.1481137000, 0.4532744000, 1.5605597000", \
+                        "0.0307781000, 0.0326286000, 0.0395137000, 0.0636610000, 0.1477110000, 0.4512975000, 1.5628117000", \
+                        "0.0303813000, 0.0322382000, 0.0389588000, 0.0628337000, 0.1468396000, 0.4501328000, 1.5620842000", \
+                        "0.0315708000, 0.0332857000, 0.0396798000, 0.0625451000, 0.1461813000, 0.4510389000, 1.5585356000", \
+                        "0.0332788000, 0.0351609000, 0.0411171000, 0.0638407000, 0.1472055000, 0.4509770000, 1.5606345000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0197891000, 0.0186827000, 0.0145951000, -0.002859000, -0.079896100, -0.383711500, -1.501363900", \
+                        "0.0197130000, 0.0187433000, 0.0146024000, -0.002951100, -0.079944100, -0.383758700, -1.501386600", \
+                        "0.0194639000, 0.0183877000, 0.0143013000, -0.003152000, -0.080234300, -0.384052200, -1.501746800", \
+                        "0.0189923000, 0.0178439000, 0.0137912000, -0.003796300, -0.080784100, -0.384529200, -1.502201600", \
+                        "0.0191541000, 0.0179886000, 0.0136568000, -0.004261900, -0.081483400, -0.385085100, -1.502583900", \
+                        "0.0253698000, 0.0236579000, 0.0175210000, -0.004891700, -0.081999800, -0.385099900, -1.502390600", \
+                        "0.0348956000, 0.0330311000, 0.0265486000, 0.0037139000, -0.079262700, -0.383354000, -1.500109200");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
+                    values("0.0278819000, 0.0297099000, 0.0366067000, 0.0606254000, 0.1445982000, 0.4471310000, 1.5560238000", \
+                        "0.0280003000, 0.0298818000, 0.0368042000, 0.0607488000, 0.1448409000, 0.4477260000, 1.5598171000", \
+                        "0.0281572000, 0.0299558000, 0.0368420000, 0.0609357000, 0.1450175000, 0.4498779000, 1.5556943000", \
+                        "0.0278312000, 0.0296732000, 0.0365138000, 0.0605900000, 0.1444943000, 0.4474527000, 1.5595109000", \
+                        "0.0272261000, 0.0291093000, 0.0357987000, 0.0593575000, 0.1434058000, 0.4462399000, 1.5549271000", \
+                        "0.0286047000, 0.0302710000, 0.0365005000, 0.0593015000, 0.1423837000, 0.4448205000, 1.5580293000", \
+                        "0.0300961000, 0.0317414000, 0.0375079000, 0.0605215000, 0.1435046000, 0.4474615000, 1.5505375000");
+                }
+            }
+            max_capacitance : 0.3534030000;
+            max_transition : 1.4996830000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.5916118000, 0.6039590000, 0.6399663000, 0.7303453000, 0.9275932000, 1.3778816000, 2.7757543000", \
+                        "0.5981713000, 0.6105169000, 0.6465253000, 0.7369287000, 0.9341845000, 1.3844695000, 2.7822651000", \
+                        "0.6199007000, 0.6321930000, 0.6681436000, 0.7594806000, 0.9563955000, 1.4066639000, 2.8045164000", \
+                        "0.6793313000, 0.6919876000, 0.7275954000, 0.8188832000, 1.0151848000, 1.4659645000, 2.8640192000", \
+                        "0.8236336000, 0.8359946000, 0.8719886000, 0.9630652000, 1.1598937000, 1.6102243000, 3.0081819000", \
+                        "1.1657245000, 1.1790020000, 1.2174060000, 1.3124943000, 1.5162460000, 1.9702984000, 3.3689533000", \
+                        "1.8237573000, 1.8403552000, 1.8873307000, 2.0030841000, 2.2419144000, 2.7338438000, 4.1433532000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2727301000, 0.2818714000, 0.3087291000, 0.3792272000, 0.5845201000, 1.2962540000, 3.8679215000", \
+                        "0.2805573000, 0.2896533000, 0.3164544000, 0.3869184000, 0.5926671000, 1.3020905000, 3.8796966000", \
+                        "0.3030749000, 0.3121587000, 0.3389535000, 0.4095193000, 0.6148874000, 1.3267442000, 3.9006571000", \
+                        "0.3596911000, 0.3688046000, 0.3956208000, 0.4660487000, 0.6717009000, 1.3798642000, 3.9580561000", \
+                        "0.5065267000, 0.5158698000, 0.5425571000, 0.6130034000, 0.8181631000, 1.5280751000, 4.1053783000", \
+                        "0.8205550000, 0.8314797000, 0.8626607000, 0.9396758000, 1.1493472000, 1.8596337000, 4.4293778000", \
+                        "1.3754626000, 1.3911322000, 1.4337622000, 1.5304965000, 1.7544216000, 2.4669149000, 5.0368785000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0743283000, 0.0824794000, 0.1085855000, 0.1781641000, 0.3285770000, 0.7995294000, 2.6433824000", \
+                        "0.0743365000, 0.0824774000, 0.1086324000, 0.1782164000, 0.3285902000, 0.7990354000, 2.6428371000", \
+                        "0.0748114000, 0.0830405000, 0.1090613000, 0.1785054000, 0.3286543000, 0.7993780000, 2.6425204000", \
+                        "0.0741110000, 0.0822063000, 0.1082654000, 0.1786724000, 0.3299413000, 0.8003185000, 2.6443652000", \
+                        "0.0744350000, 0.0826041000, 0.1084614000, 0.1778903000, 0.3288085000, 0.7995986000, 2.6439955000", \
+                        "0.0822356000, 0.0906016000, 0.1183013000, 0.1898013000, 0.3369579000, 0.8024896000, 2.6443270000", \
+                        "0.1117327000, 0.1218195000, 0.1514694000, 0.2334617000, 0.3892329000, 0.8457320000, 2.6461962000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0462963000, 0.0526928000, 0.0752377000, 0.1453662000, 0.4060215000, 1.3923246000, 5.0021436000", \
+                        "0.0459351000, 0.0527267000, 0.0749052000, 0.1455889000, 0.4054031000, 1.3931445000, 4.9984954000", \
+                        "0.0459705000, 0.0526977000, 0.0750029000, 0.1455433000, 0.4064676000, 1.3940500000, 4.9978075000", \
+                        "0.0458534000, 0.0526721000, 0.0747991000, 0.1453487000, 0.4054062000, 1.3895131000, 5.0047815000", \
+                        "0.0472301000, 0.0538455000, 0.0755252000, 0.1459124000, 0.4063591000, 1.3941192000, 4.9954032000", \
+                        "0.0585502000, 0.0657275000, 0.0882226000, 0.1574345000, 0.4099210000, 1.3935093000, 4.9990359000", \
+                        "0.0909380000, 0.0993643000, 0.1248594000, 0.1918830000, 0.4260271000, 1.3976288000, 4.9905675000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.5612957000, 0.5732910000, 0.6090524000, 0.7005214000, 0.8973869000, 1.3475822000, 2.7455973000", \
+                        "0.5655152000, 0.5778180000, 0.6137446000, 0.7046126000, 0.9016310000, 1.3520233000, 2.7501561000", \
+                        "0.5847405000, 0.5971932000, 0.6331811000, 0.7239235000, 0.9204569000, 1.3715102000, 2.7673257000", \
+                        "0.6409643000, 0.6532905000, 0.6893786000, 0.7798711000, 0.9769565000, 1.4273174000, 2.8253850000", \
+                        "0.7913139000, 0.8036331000, 0.8395846000, 0.9305945000, 1.1272696000, 1.5779767000, 2.9739924000", \
+                        "1.1758132000, 1.1894283000, 1.2283374000, 1.3252731000, 1.5289061000, 1.9843576000, 3.3829421000", \
+                        "1.9049857000, 1.9229609000, 1.9741395000, 2.0961217000, 2.3393929000, 2.8306439000, 4.2418380000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2478555000, 0.2566183000, 0.2819313000, 0.3500297000, 0.5519659000, 1.2574558000, 3.8253325000", \
+                        "0.2562265000, 0.2648298000, 0.2903071000, 0.3582883000, 0.5600502000, 1.2695749000, 3.8422221000", \
+                        "0.2792250000, 0.2878364000, 0.3132914000, 0.3813668000, 0.5831479000, 1.2922224000, 3.8645092000", \
+                        "0.3347474000, 0.3433510000, 0.3686662000, 0.4367341000, 0.6388277000, 1.3450406000, 3.9139949000", \
+                        "0.4745319000, 0.4833094000, 0.5094395000, 0.5781018000, 0.7803329000, 1.4868607000, 4.0554160000", \
+                        "0.7589522000, 0.7697518000, 0.8003069000, 0.8766509000, 1.0837378000, 1.7911752000, 4.3673509000", \
+                        "1.2350092000, 1.2505053000, 1.2943046000, 1.3927011000, 1.6166980000, 2.3272158000, 4.8938085000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0737253000, 0.0832578000, 0.1091490000, 0.1781392000, 0.3288457000, 0.8001480000, 2.6444897000", \
+                        "0.0747471000, 0.0830312000, 0.1085331000, 0.1783713000, 0.3291824000, 0.7998920000, 2.6442655000", \
+                        "0.0736568000, 0.0827149000, 0.1078533000, 0.1785650000, 0.3297062000, 0.8008969000, 2.6366487000", \
+                        "0.0744616000, 0.0826963000, 0.1085680000, 0.1777674000, 0.3290027000, 0.8002231000, 2.6444935000", \
+                        "0.0737469000, 0.0818651000, 0.1085383000, 0.1775505000, 0.3290225000, 0.7991409000, 2.6423690000", \
+                        "0.0851447000, 0.0930863000, 0.1192433000, 0.1911982000, 0.3385534000, 0.8025534000, 2.6428908000", \
+                        "0.1263134000, 0.1379958000, 0.1678679000, 0.2474468000, 0.4020768000, 0.8467319000, 2.6430078000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0430382000, 0.0500547000, 0.0708312000, 0.1409122000, 0.4021399000, 1.3889572000, 4.9967110000", \
+                        "0.0428996000, 0.0494820000, 0.0710601000, 0.1410209000, 0.4021142000, 1.3922440000, 4.9955027000", \
+                        "0.0428628000, 0.0494106000, 0.0710337000, 0.1409959000, 0.4018157000, 1.3887260000, 4.9929199000", \
+                        "0.0430989000, 0.0497501000, 0.0706722000, 0.1409236000, 0.4019577000, 1.3917199000, 5.0001319000", \
+                        "0.0458468000, 0.0525764000, 0.0730069000, 0.1423752000, 0.4022176000, 1.3917973000, 4.9997610000", \
+                        "0.0578447000, 0.0650326000, 0.0876635000, 0.1555258000, 0.4067706000, 1.3872127000, 4.9922284000", \
+                        "0.0933578000, 0.1018444000, 0.1275613000, 0.1938955000, 0.4252716000, 1.3951552000, 4.9898627000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.4898703000, 0.5022395000, 0.5382820000, 0.6295552000, 0.8271499000, 1.2779164000, 2.6739337000", \
+                        "0.4932131000, 0.5056315000, 0.5417110000, 0.6326064000, 0.8307436000, 1.2813650000, 2.6794005000", \
+                        "0.5076713000, 0.5200346000, 0.5562191000, 0.6474858000, 0.8451013000, 1.2962651000, 2.6935378000", \
+                        "0.5555700000, 0.5679866000, 0.6041252000, 0.6956110000, 0.8932510000, 1.3438562000, 2.7418838000", \
+                        "0.7112969000, 0.7236317000, 0.7598121000, 0.8513035000, 1.0489594000, 1.4996413000, 2.8978062000", \
+                        "1.1073943000, 1.1210165000, 1.1601468000, 1.2561761000, 1.4609515000, 1.9170199000, 3.3159979000", \
+                        "1.7593793000, 1.7789767000, 1.8339618000, 1.9629367000, 2.2133697000, 2.6983327000, 4.1101909000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2229861000, 0.2315587000, 0.2567652000, 0.3241813000, 0.5253081000, 1.2314111000, 3.8000638000", \
+                        "0.2319852000, 0.2405290000, 0.2657525000, 0.3331780000, 0.5343515000, 1.2401347000, 3.8097077000", \
+                        "0.2558857000, 0.2644306000, 0.2896256000, 0.3570677000, 0.5582931000, 1.2639148000, 3.8333146000", \
+                        "0.3128198000, 0.3213454000, 0.3466457000, 0.4141164000, 0.6147143000, 1.3205549000, 3.8920227000", \
+                        "0.4524515000, 0.4614749000, 0.4875286000, 0.5561493000, 0.7574020000, 1.4637882000, 4.0369614000", \
+                        "0.7303210000, 0.7415436000, 0.7729978000, 0.8508648000, 1.0579267000, 1.7633606000, 4.3328510000", \
+                        "1.1910531000, 1.2076220000, 1.2539980000, 1.3578695000, 1.5859032000, 2.2950319000, 4.8607935000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0736399000, 0.0819270000, 0.1082503000, 0.1786799000, 0.3291911000, 0.7996687000, 2.6421885000", \
+                        "0.0745333000, 0.0825798000, 0.1087731000, 0.1788471000, 0.3300864000, 0.7999679000, 2.6439275000", \
+                        "0.0739093000, 0.0821137000, 0.1081031000, 0.1786532000, 0.3292142000, 0.8007695000, 2.6395421000", \
+                        "0.0745815000, 0.0828406000, 0.1087672000, 0.1792966000, 0.3295198000, 0.8003267000, 2.6439311000", \
+                        "0.0744233000, 0.0827837000, 0.1081310000, 0.1785667000, 0.3296123000, 0.7998662000, 2.6440141000", \
+                        "0.0901751000, 0.0991045000, 0.1226834000, 0.1926208000, 0.3410912000, 0.8043633000, 2.6436895000", \
+                        "0.1462363000, 0.1568494000, 0.1890760000, 0.2710847000, 0.4097961000, 0.8443309000, 2.6507083000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0422433000, 0.0487150000, 0.0699822000, 0.1396286000, 0.4006916000, 1.3912999000, 4.9992597000", \
+                        "0.0418147000, 0.0483492000, 0.0696471000, 0.1397180000, 0.3996277000, 1.3916560000, 4.9989732000", \
+                        "0.0418713000, 0.0484059000, 0.0698359000, 0.1397574000, 0.3998616000, 1.3916478000, 4.9992144000", \
+                        "0.0419064000, 0.0483233000, 0.0700131000, 0.1399012000, 0.4007786000, 1.3868728000, 4.9944031000", \
+                        "0.0453297000, 0.0519019000, 0.0737506000, 0.1419252000, 0.4004248000, 1.3894000000, 4.9980882000", \
+                        "0.0606380000, 0.0679893000, 0.0901898000, 0.1574674000, 0.4078116000, 1.3870741000, 4.9932756000", \
+                        "0.1000452000, 0.1094550000, 0.1363156000, 0.2039581000, 0.4299419000, 1.3940727000, 4.9954507000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.3326168000, 0.3424807000, 0.3717318000, 0.4480762000, 0.6336349000, 1.0814795000, 2.4687203000", \
+                        "0.3405936000, 0.3504936000, 0.3800115000, 0.4559474000, 0.6415833000, 1.0894904000, 2.4768925000", \
+                        "0.3631336000, 0.3730140000, 0.4023757000, 0.4782748000, 0.6640117000, 1.1119525000, 2.5004145000", \
+                        "0.4220702000, 0.4320194000, 0.4613656000, 0.5375118000, 0.7231928000, 1.1707104000, 2.5604328000", \
+                        "0.5774403000, 0.5874434000, 0.6165394000, 0.6926499000, 0.8786696000, 1.3270637000, 2.7146478000", \
+                        "0.9101155000, 0.9223933000, 0.9585468000, 1.0476767000, 1.2518110000, 1.7136020000, 3.1031240000", \
+                        "1.4917654000, 1.5094689000, 1.5601558000, 1.6825012000, 1.9441200000, 2.4621747000, 3.8718708000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2502234000, 0.2593796000, 0.2858553000, 0.3564733000, 0.5617789000, 1.2735903000, 3.8455887000", \
+                        "0.2564473000, 0.2655542000, 0.2922984000, 0.3628322000, 0.5685047000, 1.2768684000, 3.8545949000", \
+                        "0.2773838000, 0.2864844000, 0.3130688000, 0.3837196000, 0.5889718000, 1.3008447000, 3.8724943000", \
+                        "0.3375157000, 0.3465113000, 0.3734545000, 0.4437907000, 0.6493982000, 1.3585143000, 3.9332710000", \
+                        "0.5110142000, 0.5201068000, 0.5464680000, 0.6165127000, 0.8214375000, 1.5302756000, 4.1054165000", \
+                        "0.8479322000, 0.8591764000, 0.8903831000, 0.9658213000, 1.1725115000, 1.8837332000, 4.4593245000", \
+                        "1.3859663000, 1.4034411000, 1.4484354000, 1.5471913000, 1.7648241000, 2.4758695000, 5.0482232000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0545485000, 0.0614402000, 0.0838327000, 0.1475081000, 0.3169572000, 0.7897050000, 2.6270417000", \
+                        "0.0543557000, 0.0613001000, 0.0835050000, 0.1472843000, 0.3170295000, 0.7894948000, 2.6264906000", \
+                        "0.0543545000, 0.0614234000, 0.0834178000, 0.1479429000, 0.3170258000, 0.7888796000, 2.6296130000", \
+                        "0.0543977000, 0.0612110000, 0.0832495000, 0.1473303000, 0.3164615000, 0.7892711000, 2.6264544000", \
+                        "0.0557384000, 0.0622591000, 0.0851628000, 0.1482945000, 0.3173480000, 0.7895283000, 2.6282869000", \
+                        "0.0749536000, 0.0829788000, 0.1079500000, 0.1750322000, 0.3442244000, 0.8002917000, 2.6268523000", \
+                        "0.1231981000, 0.1334971000, 0.1658811000, 0.2495463000, 0.4285735000, 0.8642105000, 2.6368694000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0460239000, 0.0529205000, 0.0750913000, 0.1456330000, 0.4064491000, 1.3910045000, 5.0018474000", \
+                        "0.0459940000, 0.0526575000, 0.0748800000, 0.1454380000, 0.4059436000, 1.3895088000, 5.0038804000", \
+                        "0.0458738000, 0.0526422000, 0.0751756000, 0.1455817000, 0.4061967000, 1.3925222000, 5.0021307000", \
+                        "0.0457631000, 0.0526591000, 0.0748108000, 0.1453034000, 0.4059745000, 1.3915822000, 4.9991278000", \
+                        "0.0473954000, 0.0536802000, 0.0751173000, 0.1455324000, 0.4052555000, 1.3913474000, 4.9986264000", \
+                        "0.0657343000, 0.0719216000, 0.0909368000, 0.1567872000, 0.4099231000, 1.3932030000, 5.0016129000", \
+                        "0.1078523000, 0.1161624000, 0.1385183000, 0.1973139000, 0.4242247000, 1.3990191000, 4.9990434000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2965564000, 0.3064758000, 0.3360731000, 0.4120831000, 0.5982183000, 1.0465705000, 2.4346949000", \
+                        "0.3020253000, 0.3120612000, 0.3414154000, 0.4178072000, 0.6041088000, 1.0523274000, 2.4425788000", \
+                        "0.3192208000, 0.3291248000, 0.3586806000, 0.4351221000, 0.6212827000, 1.0695859000, 2.4591222000", \
+                        "0.3762765000, 0.3863280000, 0.4157274000, 0.4921562000, 0.6782799000, 1.1267119000, 2.5164693000", \
+                        "0.5471339000, 0.5568728000, 0.5860258000, 0.6621316000, 0.8485847000, 1.2977071000, 2.6867346000", \
+                        "0.8957203000, 0.9093660000, 0.9476981000, 1.0407046000, 1.2494410000, 1.7137342000, 3.1053160000", \
+                        "1.4592735000, 1.4787404000, 1.5362026000, 1.6733533000, 1.9582704000, 2.4855495000, 3.8961505000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.2218973000, 0.2309685000, 0.2576354000, 0.3280631000, 0.5329064000, 1.2430821000, 3.8154200000", \
+                        "0.2293266000, 0.2384239000, 0.2651578000, 0.3355078000, 0.5406804000, 1.2476710000, 3.8248809000", \
+                        "0.2512399000, 0.2601315000, 0.2868162000, 0.3572652000, 0.5620573000, 1.2723819000, 3.8414543000", \
+                        "0.3120487000, 0.3210745000, 0.3480026000, 0.4181901000, 0.6227703000, 1.3307455000, 3.9054555000", \
+                        "0.4805666000, 0.4897435000, 0.5164852000, 0.5845000000, 0.7883434000, 1.4992666000, 4.0726253000", \
+                        "0.7914370000, 0.8027147000, 0.8353032000, 0.9116118000, 1.1192268000, 1.8276043000, 4.3967638000", \
+                        "1.2723738000, 1.2903701000, 1.3413183000, 1.4428573000, 1.6640406000, 2.3738198000, 4.9415427000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0541446000, 0.0609767000, 0.0836005000, 0.1479835000, 0.3176893000, 0.7892161000, 2.6289095000", \
+                        "0.0540505000, 0.0617752000, 0.0835240000, 0.1481213000, 0.3177906000, 0.7883901000, 2.6234894000", \
+                        "0.0541197000, 0.0617277000, 0.0841333000, 0.1477503000, 0.3173931000, 0.7887023000, 2.6227098000", \
+                        "0.0542224000, 0.0613484000, 0.0843763000, 0.1482588000, 0.3174253000, 0.7886828000, 2.6228839000", \
+                        "0.0561933000, 0.0630234000, 0.0857530000, 0.1501907000, 0.3192120000, 0.7897885000, 2.6300104000", \
+                        "0.0875334000, 0.0956171000, 0.1195116000, 0.1846891000, 0.3500838000, 0.8022906000, 2.6240987000", \
+                        "0.1494984000, 0.1605710000, 0.1953360000, 0.2868116000, 0.4639454000, 0.8723720000, 2.6373601000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
+                    values("0.0457909000, 0.0526007000, 0.0744122000, 0.1449504000, 0.4053030000, 1.3922278000, 4.9988915000", \
+                        "0.0455226000, 0.0523252000, 0.0742180000, 0.1447965000, 0.4046508000, 1.3887026000, 5.0034088000", \
+                        "0.0455639000, 0.0528274000, 0.0743725000, 0.1446490000, 0.4046175000, 1.3917740000, 5.0004760000", \
+                        "0.0452200000, 0.0524267000, 0.0741290000, 0.1446899000, 0.4047017000, 1.3889231000, 4.9998770000", \
+                        "0.0480114000, 0.0544579000, 0.0753240000, 0.1460087000, 0.4049080000, 1.3929008000, 4.9944968000", \
+                        "0.0696091000, 0.0756876000, 0.0947076000, 0.1593328000, 0.4103745000, 1.3908406000, 4.9989115000", \
+                        "0.1145485000, 0.1233382000, 0.1467086000, 0.2063999000, 0.4280380000, 1.3983816000, 4.9965814000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o32ai_1 */
+
+/* removed sky130_fd_sc_hd__o32ai_2 */
+
+    cell ("sky130_fd_sc_hd__o32ai_4") {
+        leakage_power () {
+            value : 9.4812833000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.8609023000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 9.7590389000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.5500718000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 12.104966800;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0444833000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 6.4728392000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.9540109000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 9.9523616000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0443563000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 4.3201771000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 7.8013773000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.4681029000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0446405000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.8358803000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 4.3170898000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 11.518145500;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0443048000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 5.8859788000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 9.3671764000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.6817751000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0446583000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 1.0495804000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 4.5307891000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.6533650000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0445490000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 1.0211385000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 4.5023474000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 6.3100769000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 8.0447053000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.6778423000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 4.1590522000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o32ai";
+        cell_leakage_power : 6.5795960000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0087420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084010000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0131268000, 0.0131072000, 0.0130906000, 0.0130715000, 0.0130563000, 0.0130522000, 0.0130792000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012964300, -0.012980500, -0.012976100, -0.013009100, -0.013052100, -0.013123800, -0.013275800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090840000;
+        }
+        pin ("A2") {
+            capacitance : 0.0083570000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0129013000, 0.0128755000, 0.0128415000, 0.0128180000, 0.0127901000, 0.0127499000, 0.0126750000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012843800, -0.012865800, -0.012875200, -0.012904900, -0.012935800, -0.012969900, -0.013013300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088480000;
+        }
+        pin ("A3") {
+            capacitance : 0.0085720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130370000, 0.0130126000, 0.0129822000, 0.0129610000, 0.0129396000, 0.0129178000, 0.0128947000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013010500, -0.013035500, -0.013056700, -0.013083300, -0.013109000, -0.013132300, -0.013149000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091580000;
+        }
+        pin ("B1") {
+            capacitance : 0.0085100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0148966000, 0.0148790000, 0.0148619000, 0.0148461000, 0.0148344000, 0.0148338000, 0.0148648000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002967400, -0.003144600, -0.003585900, -0.003505600, -0.003221500, -0.002363500, 0.0001117000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087580000;
+        }
+        pin ("B2") {
+            capacitance : 0.0084140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080360000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0149354000, 0.0149164000, 0.0148955000, 0.0148797000, 0.0148679000, 0.0148673000, 0.0148981000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002999700, -0.003140600, -0.003492600, -0.003414200, -0.003148000, -0.002352300, -6.5720171e-05");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087920000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3) | (!B1&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0289132000, 0.0277063000, 0.0239672000, 0.0130894000, -0.019177100, -0.114787900, -0.399717800", \
+                        "0.0285276000, 0.0272912000, 0.0235878000, 0.0127178000, -0.019460300, -0.115177000, -0.400122000", \
+                        "0.0280547000, 0.0268089000, 0.0231584000, 0.0122220000, -0.019925500, -0.115746700, -0.400510000", \
+                        "0.0274809000, 0.0262299000, 0.0225610000, 0.0117121000, -0.020411600, -0.116138200, -0.400746200", \
+                        "0.0271126000, 0.0258873000, 0.0222583000, 0.0115508000, -0.020512200, -0.116085600, -0.401036000", \
+                        "0.0274775000, 0.0262236000, 0.0225241000, 0.0116475000, -0.021144000, -0.116527200, -0.401065600", \
+                        "0.0300780000, 0.0288127000, 0.0249880000, 0.0138661000, -0.018801300, -0.115543600, -0.401089600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0530669000, 0.0543539000, 0.0581015000, 0.0692761000, 0.1019560000, 0.1975022000, 0.4809082000", \
+                        "0.0528926000, 0.0540679000, 0.0578155000, 0.0688979000, 0.1015178000, 0.1975738000, 0.4806301000", \
+                        "0.0523024000, 0.0535722000, 0.0573718000, 0.0685275000, 0.1012869000, 0.1974284000, 0.4806909000", \
+                        "0.0516226000, 0.0529474000, 0.0567111000, 0.0679058000, 0.1009274000, 0.1971474000, 0.4803376000", \
+                        "0.0511822000, 0.0525007000, 0.0562461000, 0.0674923000, 0.1002717000, 0.1964803000, 0.4802872000", \
+                        "0.0508364000, 0.0522564000, 0.0559561000, 0.0670948000, 0.0998185000, 0.1959109000, 0.4798584000", \
+                        "0.0512072000, 0.0523939000, 0.0560630000, 0.0669583000, 0.0993784000, 0.1966054000, 0.4793964000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0240538000, 0.0228288000, 0.0191115000, 0.0083999000, -0.023866800, -0.120005900, -0.405191800", \
+                        "0.0240087000, 0.0228385000, 0.0191470000, 0.0083057000, -0.023862400, -0.119907800, -0.405132000", \
+                        "0.0237773000, 0.0225748000, 0.0189080000, 0.0081144000, -0.023967600, -0.120008200, -0.405334500", \
+                        "0.0231039000, 0.0218862000, 0.0182854000, 0.0076113000, -0.024334500, -0.120147100, -0.405350600", \
+                        "0.0222309000, 0.0210261000, 0.0174491000, 0.0068068000, -0.024828600, -0.120368000, -0.405373000", \
+                        "0.0223934000, 0.0211513000, 0.0174898000, 0.0065778000, -0.025822000, -0.121357700, -0.405929200", \
+                        "0.0240979000, 0.0228293000, 0.0191123000, 0.0081411000, -0.024682800, -0.121026100, -0.406330600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0458429000, 0.0471080000, 0.0508678000, 0.0619574000, 0.0945723000, 0.1906357000, 0.4735980000", \
+                        "0.0455206000, 0.0467934000, 0.0506582000, 0.0616844000, 0.0944196000, 0.1899429000, 0.4737495000", \
+                        "0.0449611000, 0.0462385000, 0.0500259000, 0.0612144000, 0.0939743000, 0.1898409000, 0.4731565000", \
+                        "0.0442638000, 0.0455426000, 0.0494252000, 0.0605955000, 0.0935905000, 0.1897665000, 0.4733605000", \
+                        "0.0437306000, 0.0449647000, 0.0487627000, 0.0599054000, 0.0927614000, 0.1891733000, 0.4730758000", \
+                        "0.0435634000, 0.0448418000, 0.0486740000, 0.0597843000, 0.0928367000, 0.1890604000, 0.4723359000", \
+                        "0.0443960000, 0.0456673000, 0.0493482000, 0.0600364000, 0.0929415000, 0.1884717000, 0.4727443000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0177838000, 0.0165430000, 0.0129123000, 0.0021155000, -0.030197900, -0.126442700, -0.411979300", \
+                        "0.0177768000, 0.0165897000, 0.0129964000, 0.0022892000, -0.029918200, -0.126075800, -0.411612700", \
+                        "0.0176390000, 0.0164444000, 0.0129160000, 0.0023194000, -0.029713000, -0.125689700, -0.411275900", \
+                        "0.0169186000, 0.0157486000, 0.0122639000, 0.0017834000, -0.029935000, -0.125643600, -0.410920900", \
+                        "0.0160045000, 0.0148203000, 0.0112474000, 0.0007223000, -0.030768100, -0.126039100, -0.411071900", \
+                        "0.0159117000, 0.0146645000, 0.0110402000, 0.0001828000, -0.031902100, -0.127328300, -0.411661000", \
+                        "0.0176985000, 0.0164391000, 0.0125517000, 0.0015061000, -0.031264400, -0.127466600, -0.412511300");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0389054000, 0.0402278000, 0.0439600000, 0.0550601000, 0.0877665000, 0.1836891000, 0.4666754000", \
+                        "0.0385099000, 0.0397723000, 0.0435690000, 0.0546589000, 0.0874033000, 0.1834460000, 0.4665203000", \
+                        "0.0375255000, 0.0388796000, 0.0426893000, 0.0539346000, 0.0869163000, 0.1831123000, 0.4663533000", \
+                        "0.0366879000, 0.0379607000, 0.0416892000, 0.0530063000, 0.0860834000, 0.1826427000, 0.4661748000", \
+                        "0.0361912000, 0.0374257000, 0.0412202000, 0.0524924000, 0.0853780000, 0.1819680000, 0.4656421000", \
+                        "0.0370182000, 0.0382352000, 0.0419319000, 0.0539545000, 0.0860967000, 0.1811527000, 0.4648865000", \
+                        "0.0419397000, 0.0432396000, 0.0473884000, 0.0559995000, 0.0901032000, 0.1838651000, 0.4657563000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0194611000, 0.0182032000, 0.0144688000, 0.0036159000, -0.028542100, -0.124335600, -0.409126400", \
+                        "0.0192622000, 0.0180362000, 0.0142503000, 0.0034168000, -0.028748100, -0.124495200, -0.409292100", \
+                        "0.0190939000, 0.0178499000, 0.0142319000, 0.0033830000, -0.028627400, -0.124274900, -0.409274000", \
+                        "0.0182491000, 0.0170289000, 0.0134608000, 0.0025012000, -0.029345100, -0.124689600, -0.409500100", \
+                        "0.0174323000, 0.0161624000, 0.0125203000, 0.0017501000, -0.029858400, -0.125300900, -0.409867200", \
+                        "0.0175823000, 0.0163334000, 0.0126244000, 0.0015950000, -0.031076100, -0.126618500, -0.411040900", \
+                        "0.0195256000, 0.0182178000, 0.0143802000, 0.0031056000, -0.030105400, -0.127116500, -0.413151800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0353931000, 0.0367024000, 0.0405538000, 0.0516705000, 0.0841632000, 0.1796024000, 0.4613633000", \
+                        "0.0349211000, 0.0362966000, 0.0402172000, 0.0515791000, 0.0842319000, 0.1796954000, 0.4615925000", \
+                        "0.0341032000, 0.0354722000, 0.0394447000, 0.0509457000, 0.0839792000, 0.1796866000, 0.4623724000", \
+                        "0.0334497000, 0.0347601000, 0.0386210000, 0.0499942000, 0.0831250000, 0.1793764000, 0.4622516000", \
+                        "0.0329592000, 0.0342446000, 0.0380726000, 0.0492291000, 0.0820629000, 0.1782305000, 0.4616685000", \
+                        "0.0329597000, 0.0341816000, 0.0379577000, 0.0489490000, 0.0815779000, 0.1778590000, 0.4609947000", \
+                        "0.0342449000, 0.0354525000, 0.0389887000, 0.0496808000, 0.0814155000, 0.1773907000, 0.4605918000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0130814000, 0.0119082000, 0.0083515000, -0.002434900, -0.034515300, -0.130303700, -0.415445800", \
+                        "0.0131294000, 0.0119496000, 0.0084256000, -0.002220000, -0.034090200, -0.129814000, -0.415046400", \
+                        "0.0132229000, 0.0120021000, 0.0084614000, -0.002072400, -0.033804900, -0.129297900, -0.414438100", \
+                        "0.0124400000, 0.0112740000, 0.0076853000, -0.002875600, -0.034375900, -0.129588600, -0.414424500", \
+                        "0.0112684000, 0.0100509000, 0.0064666000, -0.003903600, -0.035420600, -0.130497400, -0.414941800", \
+                        "0.0113291000, 0.0101066000, 0.0063865000, -0.004632100, -0.037041100, -0.132287200, -0.416526300", \
+                        "0.0134003000, 0.0120494000, 0.0081756000, -0.003238100, -0.036473300, -0.133508500, -0.418857200");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
+                    values("0.0280693000, 0.0294191000, 0.0333289000, 0.0445309000, 0.0770982000, 0.1724009000, 0.4541562000", \
+                        "0.0273623000, 0.0288422000, 0.0329024000, 0.0443092000, 0.0770273000, 0.1726469000, 0.4551328000", \
+                        "0.0264983000, 0.0278810000, 0.0318080000, 0.0433813000, 0.0766627000, 0.1725364000, 0.4552904000", \
+                        "0.0257528000, 0.0270809000, 0.0310086000, 0.0425628000, 0.0756459000, 0.1720488000, 0.4551417000", \
+                        "0.0256304000, 0.0268992000, 0.0306196000, 0.0416482000, 0.0747295000, 0.1710666000, 0.4539421000", \
+                        "0.0280471000, 0.0293586000, 0.0322393000, 0.0425739000, 0.0748178000, 0.1701914000, 0.4529355000", \
+                        "0.0312643000, 0.0329185000, 0.0359261000, 0.0469159000, 0.0776148000, 0.1720493000, 0.4520481000");
+                }
+            }
+            max_capacitance : 0.0906470000;
+            max_transition : 1.5213620000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1259854000, 0.1302222000, 0.1421642000, 0.1756658000, 0.2679108000, 0.5235703000, 1.2640917000", \
+                        "0.1332659000, 0.1374955000, 0.1496671000, 0.1829786000, 0.2752065000, 0.5310393000, 1.2717942000", \
+                        "0.1553648000, 0.1596607000, 0.1718598000, 0.2052310000, 0.2970608000, 0.5532406000, 1.2936942000", \
+                        "0.2103185000, 0.2145909000, 0.2264011000, 0.2598877000, 0.3513884000, 0.6080286000, 1.3486595000", \
+                        "0.3357825000, 0.3408187000, 0.3554491000, 0.3945877000, 0.4935074000, 0.7510494000, 1.4930376000", \
+                        "0.5749280000, 0.5829224000, 0.6053745000, 0.6645859000, 0.8065700000, 1.1288549000, 1.8965822000", \
+                        "0.9403389000, 0.9552921000, 0.9976111000, 1.1081847000, 1.3686391000, 1.8958697000, 2.9208753000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.3666586000, 0.3777661000, 0.4082638000, 0.5030549000, 0.7743955000, 1.5687888000, 3.9092352000", \
+                        "0.3726336000, 0.3840995000, 0.4147779000, 0.5095440000, 0.7808620000, 1.5750904000, 3.9167936000", \
+                        "0.3939511000, 0.4049649000, 0.4377885000, 0.5304016000, 0.8032088000, 1.5982420000, 3.9393537000", \
+                        "0.4481542000, 0.4590748000, 0.4918868000, 0.5859670000, 0.8599697000, 1.6562954000, 3.9995758000", \
+                        "0.5862075000, 0.5973194000, 0.6296391000, 0.7232211000, 0.9954110000, 1.7938114000, 4.1369348000", \
+                        "0.8692023000, 0.8840512000, 0.9261465000, 1.0373934000, 1.3418940000, 2.1444040000, 4.4884843000", \
+                        "1.3858475000, 1.4072623000, 1.4707167000, 1.6402163000, 2.0548634000, 3.0465159000, 5.4604534000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0933032000, 0.0980804000, 0.1120772000, 0.1522501000, 0.2684724000, 0.6073928000, 1.6099166000", \
+                        "0.0930429000, 0.0978158000, 0.1117840000, 0.1520725000, 0.2677884000, 0.6074267000, 1.6112636000", \
+                        "0.0926603000, 0.0974676000, 0.1115367000, 0.1517459000, 0.2680802000, 0.6081577000, 1.6106420000", \
+                        "0.0930445000, 0.0976701000, 0.1114163000, 0.1512922000, 0.2671407000, 0.6069073000, 1.6110816000", \
+                        "0.1207612000, 0.1255978000, 0.1394131000, 0.1781893000, 0.2839198000, 0.6089421000, 1.6124742000", \
+                        "0.2102238000, 0.2163422000, 0.2334739000, 0.2829765000, 0.4008876000, 0.7062745000, 1.6283954000", \
+                        "0.4404167000, 0.4510198000, 0.4789951000, 0.5553710000, 0.7263515000, 1.0872453000, 1.9697217000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.2419180000, 0.2557391000, 0.2973092000, 0.4220654000, 0.7813518000, 1.8355724000, 4.9488342000", \
+                        "0.2427148000, 0.2555884000, 0.2977177000, 0.4208019000, 0.7800167000, 1.8375036000, 4.9510014000", \
+                        "0.2411086000, 0.2555467000, 0.2975003000, 0.4205253000, 0.7804231000, 1.8366212000, 4.9467181000", \
+                        "0.2409633000, 0.2551862000, 0.2974778000, 0.4202831000, 0.7802674000, 1.8360008000, 4.9490634000", \
+                        "0.2502593000, 0.2641027000, 0.3041774000, 0.4240376000, 0.7808151000, 1.8375570000, 4.9443107000", \
+                        "0.3298401000, 0.3471914000, 0.3886318000, 0.5088920000, 0.8407193000, 1.8483620000, 4.9526991000", \
+                        "0.5683790000, 0.5872055000, 0.6379931000, 0.7772498000, 1.1446109000, 2.1188837000, 5.0104352000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1160268000, 0.1197231000, 0.1305045000, 0.1612141000, 0.2470742000, 0.4941924000, 1.2169106000", \
+                        "0.1240827000, 0.1279381000, 0.1383947000, 0.1694957000, 0.2553098000, 0.5022122000, 1.2247729000", \
+                        "0.1466904000, 0.1502991000, 0.1611182000, 0.1917566000, 0.2778271000, 0.5250342000, 1.2474087000", \
+                        "0.2003830000, 0.2040768000, 0.2151680000, 0.2461360000, 0.3324988000, 0.5800491000, 1.3034589000", \
+                        "0.3176048000, 0.3223919000, 0.3365171000, 0.3750685000, 0.4737549000, 0.7242265000, 1.4484017000", \
+                        "0.5244221000, 0.5329854000, 0.5570529000, 0.6196502000, 0.7688095000, 1.0955328000, 1.8542288000", \
+                        "0.8050961000, 0.8218624000, 0.8688216000, 0.9915940000, 1.2713396000, 1.8276942000, 2.8688365000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.3432145000, 0.3546311000, 0.3846396000, 0.4790481000, 0.7508461000, 1.5449731000, 3.8864894000", \
+                        "0.3467707000, 0.3575209000, 0.3909855000, 0.4834049000, 0.7554465000, 1.5519726000, 3.8909498000", \
+                        "0.3654303000, 0.3766375000, 0.4073476000, 0.5015361000, 0.7754331000, 1.5716396000, 3.9119779000", \
+                        "0.4210740000, 0.4314559000, 0.4648046000, 0.5584133000, 0.8323555000, 1.6296202000, 3.9717580000", \
+                        "0.5753358000, 0.5858263000, 0.6186527000, 0.7131100000, 0.9859813000, 1.7832575000, 4.1277506000", \
+                        "0.9167255000, 0.9331426000, 0.9794896000, 1.1015221000, 1.4162883000, 2.2184834000, 4.5643640000", \
+                        "1.5412616000, 1.5713889000, 1.6481042000, 1.8515480000, 2.3389719000, 3.3807936000, 5.7962950000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0748444000, 0.0793397000, 0.0924976000, 0.1312531000, 0.2439151000, 0.5765800000, 1.5604084000", \
+                        "0.0746537000, 0.0794599000, 0.0926201000, 0.1310345000, 0.2441464000, 0.5767248000, 1.5591411000", \
+                        "0.0747893000, 0.0792654000, 0.0926266000, 0.1309530000, 0.2440878000, 0.5774763000, 1.5600526000", \
+                        "0.0768341000, 0.0811060000, 0.0937407000, 0.1313255000, 0.2434445000, 0.5763261000, 1.5604511000", \
+                        "0.1065995000, 0.1115147000, 0.1249460000, 0.1627729000, 0.2651138000, 0.5795844000, 1.5586731000", \
+                        "0.1998624000, 0.2064365000, 0.2252228000, 0.2732017000, 0.3945342000, 0.6883822000, 1.5843237000", \
+                        "0.4317687000, 0.4431045000, 0.4752492000, 0.5557695000, 0.7322831000, 1.0891166000, 1.9467938000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.2409883000, 0.2556713000, 0.2979162000, 0.4206508000, 0.7806902000, 1.8367935000, 4.9518687000", \
+                        "0.2406612000, 0.2550316000, 0.2984306000, 0.4207341000, 0.7807678000, 1.8384901000, 4.9460036000", \
+                        "0.2412208000, 0.2555592000, 0.2976994000, 0.4206496000, 0.7810189000, 1.8375810000, 4.9492312000", \
+                        "0.2410396000, 0.2548424000, 0.2982698000, 0.4207999000, 0.7809189000, 1.8363788000, 4.9530549000", \
+                        "0.2564889000, 0.2699878000, 0.3099518000, 0.4266254000, 0.7810939000, 1.8355347000, 4.9442117000", \
+                        "0.3741832000, 0.3885092000, 0.4332055000, 0.5451379000, 0.8582302000, 1.8492015000, 4.9521596000", \
+                        "0.6975286000, 0.7174649000, 0.7736810000, 0.9282259000, 1.2859003000, 2.1758297000, 4.9889475000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0892784000, 0.0929142000, 0.1030884000, 0.1314954000, 0.2119789000, 0.4452264000, 1.1306067000", \
+                        "0.0976696000, 0.1012144000, 0.1114679000, 0.1402762000, 0.2209111000, 0.4542873000, 1.1397714000", \
+                        "0.1204986000, 0.1239276000, 0.1343812000, 0.1633153000, 0.2445279000, 0.4782845000, 1.1639686000", \
+                        "0.1748535000, 0.1785723000, 0.1894942000, 0.2188448000, 0.3006675000, 0.5353509000, 1.2219690000", \
+                        "0.2804362000, 0.2862221000, 0.3011618000, 0.3422338000, 0.4419734000, 0.6835348000, 1.3715340000", \
+                        "0.4527589000, 0.4631060000, 0.4904793000, 0.5629117000, 0.7253806000, 1.0576926000, 1.7877994000", \
+                        "0.6507458000, 0.6749847000, 0.7285936000, 0.8714092000, 1.1835639000, 1.7708578000, 2.8212446000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.2714829000, 0.2825086000, 0.3155600000, 0.4082359000, 0.6801443000, 1.4747938000, 3.8157926000", \
+                        "0.2761320000, 0.2872657000, 0.3186838000, 0.4118546000, 0.6843532000, 1.4790678000, 3.8212091000", \
+                        "0.2870219000, 0.2982600000, 0.3313569000, 0.4257617000, 0.7005812000, 1.4965384000, 3.8384091000", \
+                        "0.3389757000, 0.3489896000, 0.3812398000, 0.4754346000, 0.7518282000, 1.5500896000, 3.8943275000", \
+                        "0.5038954000, 0.5156292000, 0.5477929000, 0.6410851000, 0.9104041000, 1.7093512000, 4.0552677000", \
+                        "0.8618538000, 0.8801126000, 0.9310528000, 1.0647045000, 1.3796050000, 2.1744200000, 4.5171999000", \
+                        "1.4727273000, 1.5028099000, 1.5885948000, 1.8133234000, 2.3541749000, 3.4678118000, 5.8388485000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0553032000, 0.0594127000, 0.0716843000, 0.1077142000, 0.2141269000, 0.5296976000, 1.4614329000", \
+                        "0.0552313000, 0.0593570000, 0.0716547000, 0.1078778000, 0.2144733000, 0.5302329000, 1.4620455000", \
+                        "0.0551814000, 0.0594044000, 0.0716508000, 0.1076312000, 0.2141312000, 0.5295621000, 1.4634549000", \
+                        "0.0600703000, 0.0637485000, 0.0751105000, 0.1094333000, 0.2142270000, 0.5293828000, 1.4604945000", \
+                        "0.0937043000, 0.0983472000, 0.1114709000, 0.1475645000, 0.2427168000, 0.5344026000, 1.4627393000", \
+                        "0.1888242000, 0.1957668000, 0.2148778000, 0.2638638000, 0.3801862000, 0.6596137000, 1.4943120000", \
+                        "0.4231787000, 0.4362027000, 0.4679322000, 0.5511939000, 0.7310522000, 1.0851812000, 1.8921260000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.2410140000, 0.2552234000, 0.2973370000, 0.4206237000, 0.7807966000, 1.8376661000, 4.9506581000", \
+                        "0.2409958000, 0.2554680000, 0.2973305000, 0.4202440000, 0.7794611000, 1.8361703000, 4.9506945000", \
+                        "0.2405678000, 0.2549298000, 0.2973982000, 0.4203046000, 0.7802612000, 1.8352968000, 4.9563220000", \
+                        "0.2395949000, 0.2540970000, 0.2967127000, 0.4204872000, 0.7801840000, 1.8349468000, 4.9453970000", \
+                        "0.2658574000, 0.2782897000, 0.3154697000, 0.4271182000, 0.7798486000, 1.8376369000, 4.9502344000", \
+                        "0.4197439000, 0.4366031000, 0.4832568000, 0.6095178000, 0.9014949000, 1.8474104000, 4.9504614000", \
+                        "0.7477753000, 0.7772116000, 0.8531750000, 1.0453374000, 1.4650310000, 2.3309354000, 5.0145492000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1015019000, 0.1057028000, 0.1177991000, 0.1510565000, 0.2427597000, 0.4990633000, 1.2397595000", \
+                        "0.1079039000, 0.1119713000, 0.1237029000, 0.1573005000, 0.2493740000, 0.5053081000, 1.2459961000", \
+                        "0.1274183000, 0.1316583000, 0.1438821000, 0.1773659000, 0.2696303000, 0.5256021000, 1.2666357000", \
+                        "0.1878653000, 0.1915709000, 0.2028126000, 0.2349745000, 0.3265861000, 0.5830910000, 1.3248472000", \
+                        "0.3271082000, 0.3332137000, 0.3501906000, 0.3946427000, 0.4985802000, 0.7532318000, 1.4944159000", \
+                        "0.5455799000, 0.5560675000, 0.5849164000, 0.6611256000, 0.8419089000, 1.2110773000, 1.9745032000", \
+                        "0.8357293000, 0.8541534000, 0.9056504000, 1.0424499000, 1.3651023000, 2.0227406000, 3.2247229000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1314787000, 0.1390809000, 0.1617741000, 0.2262992000, 0.4150020000, 0.9683474000, 2.5959042000", \
+                        "0.1375613000, 0.1455329000, 0.1683835000, 0.2339678000, 0.4233523000, 0.9798019000, 2.6059230000", \
+                        "0.1585113000, 0.1663943000, 0.1895878000, 0.2559767000, 0.4458692000, 0.9994400000, 2.6313391000", \
+                        "0.2186587000, 0.2262083000, 0.2485411000, 0.3144187000, 0.5074398000, 1.0610471000, 2.6953313000", \
+                        "0.3450367000, 0.3561375000, 0.3863206000, 0.4665767000, 0.6623038000, 1.2216593000, 2.8549723000", \
+                        "0.5704716000, 0.5906174000, 0.6468184000, 0.7756801000, 1.0568915000, 1.6655278000, 3.3040277000", \
+                        "0.9255095000, 0.9642768000, 1.0711098000, 1.3210152000, 1.8212886000, 2.7396778000, 4.5648045000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0919481000, 0.0967782000, 0.1105188000, 0.1513690000, 0.2676865000, 0.6081378000, 1.6111686000", \
+                        "0.0923460000, 0.0971608000, 0.1110580000, 0.1515781000, 0.2673257000, 0.6072715000, 1.6108935000", \
+                        "0.0922863000, 0.0970383000, 0.1110282000, 0.1516806000, 0.2677605000, 0.6069507000, 1.6110238000", \
+                        "0.0940042000, 0.0984985000, 0.1117006000, 0.1504026000, 0.2662253000, 0.6061884000, 1.6121432000", \
+                        "0.1454354000, 0.1510308000, 0.1664156000, 0.2058287000, 0.3022807000, 0.6097028000, 1.6119925000", \
+                        "0.2671126000, 0.2762696000, 0.3011897000, 0.3639674000, 0.5013097000, 0.7839147000, 1.6395793000", \
+                        "0.5262891000, 0.5432970000, 0.5902625000, 0.7037778000, 0.9383332000, 1.3749869000, 2.2024553000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1586081000, 0.1692269000, 0.2006253000, 0.2929352000, 0.5643534000, 1.3316492000, 3.5178369000", \
+                        "0.1586097000, 0.1692552000, 0.2006592000, 0.2929737000, 0.5643440000, 1.3320363000, 3.5177521000", \
+                        "0.1586952000, 0.1692775000, 0.2006138000, 0.2930795000, 0.5637374000, 1.3307945000, 3.5142804000", \
+                        "0.1624542000, 0.1722962000, 0.2019550000, 0.2933265000, 0.5642022000, 1.3309743000, 3.5152048000", \
+                        "0.2247831000, 0.2324199000, 0.2528789000, 0.3266926000, 0.5727457000, 1.3317247000, 3.5152090000", \
+                        "0.4128799000, 0.4198968000, 0.4420007000, 0.5116673000, 0.7226152000, 1.3749831000, 3.5173544000", \
+                        "0.8980323000, 0.9035697000, 0.9217652000, 0.9920387000, 1.2126660000, 1.8213163000, 3.6605283000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0786380000, 0.0833237000, 0.0964109000, 0.1325157000, 0.2296484000, 0.4999628000, 1.2824987000", \
+                        "0.0854694000, 0.0901218000, 0.1034984000, 0.1398219000, 0.2371516000, 0.5076959000, 1.2911356000", \
+                        "0.1061585000, 0.1107206000, 0.1240054000, 0.1605874000, 0.2583933000, 0.5296053000, 1.3133645000", \
+                        "0.1701132000, 0.1745534000, 0.1866469000, 0.2203256000, 0.3169525000, 0.5900583000, 1.3739262000", \
+                        "0.2946518000, 0.3019968000, 0.3223206000, 0.3733041000, 0.4897273000, 0.7589911000, 1.5431056000", \
+                        "0.4861365000, 0.4987679000, 0.5337598000, 0.6236338000, 0.8239784000, 1.2220829000, 2.0219224000", \
+                        "0.7363628000, 0.7586211000, 0.8184005000, 0.9784504000, 1.3378886000, 2.0458721000, 3.3063918000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0957011000, 0.1034997000, 0.1263959000, 0.1913781000, 0.3813952000, 0.9348725000, 2.5616833000", \
+                        "0.0987445000, 0.1071069000, 0.1306474000, 0.1970835000, 0.3864764000, 0.9383935000, 2.5687463000", \
+                        "0.1151144000, 0.1229541000, 0.1457720000, 0.2130765000, 0.4067807000, 0.9580476000, 2.5904903000", \
+                        "0.1745115000, 0.1828967000, 0.2045677000, 0.2701255000, 0.4600854000, 1.0150938000, 2.6502887000", \
+                        "0.2916025000, 0.3061555000, 0.3443667000, 0.4352306000, 0.6330013000, 1.1878409000, 2.8247928000", \
+                        "0.4878529000, 0.5134999000, 0.5819795000, 0.7435361000, 1.0709887000, 1.6762648000, 3.3131797000", \
+                        "0.8265504000, 0.8698055000, 0.9842367000, 1.2641103000, 1.8410565000, 2.8885023000, 4.7178168000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.0828233000, 0.0878187000, 0.1021804000, 0.1439247000, 0.2650447000, 0.6225360000, 1.6809859000", \
+                        "0.0833924000, 0.0885019000, 0.1026663000, 0.1439905000, 0.2650928000, 0.6221036000, 1.6848261000", \
+                        "0.0808968000, 0.0860140000, 0.1014121000, 0.1439104000, 0.2650295000, 0.6222688000, 1.6848978000", \
+                        "0.0879417000, 0.0917989000, 0.1044642000, 0.1429650000, 0.2633329000, 0.6225540000, 1.6835138000", \
+                        "0.1404319000, 0.1463963000, 0.1638196000, 0.2056747000, 0.3015228000, 0.6251073000, 1.6843245000", \
+                        "0.2629718000, 0.2731747000, 0.3006981000, 0.3670367000, 0.5083753000, 0.8049812000, 1.7081194000", \
+                        "0.5184952000, 0.5366900000, 0.5908597000, 0.7076648000, 0.9507120000, 1.4092587000, 2.2620352000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
+                    values("0.1590258000, 0.1696820000, 0.2010729000, 0.2937188000, 0.5653086000, 1.3328022000, 3.5173915000", \
+                        "0.1588478000, 0.1696297000, 0.2010991000, 0.2938353000, 0.5646184000, 1.3320670000, 3.5161181000", \
+                        "0.1581087000, 0.1689898000, 0.2008517000, 0.2936746000, 0.5648968000, 1.3316233000, 3.5159833000", \
+                        "0.1726154000, 0.1811943000, 0.2078505000, 0.2935956000, 0.5644613000, 1.3318296000, 3.5171728000", \
+                        "0.2808090000, 0.2866946000, 0.3034777000, 0.3575081000, 0.5806934000, 1.3318024000, 3.5188870000", \
+                        "0.5384793000, 0.5436369000, 0.5621010000, 0.6250465000, 0.8106789000, 1.4056490000, 3.5168604000", \
+                        "1.0630828000, 1.0713527000, 1.1020775000, 1.1975870000, 1.4596694000, 2.0559152000, 3.7224626000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41a_1 */
+
+/* removed sky130_fd_sc_hd__o41a_2 */
+
+    cell ("sky130_fd_sc_hd__o41a_4") {
+        leakage_power () {
+            value : 12.443762500;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 6.9534125000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 11.654858400;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240046000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.9147037000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240010000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.3373174000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240206000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.6428794000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240046000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.3244939000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240188000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.3131292000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240152000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1766642000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240223000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 8.9614751000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1239993000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.2513275000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240197000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.2436994000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240126000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1536532000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240241000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.2417077000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240161000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1518960000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240223000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1495907000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240188000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 7.1128796000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 8.1240259000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__o41a";
+        cell_leakage_power : 8.1527400000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0045050000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066575000, 0.0066254000, 0.0065919000, 0.0065613000, 0.0065321000, 0.0065069000, 0.0064930000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006570800, -0.006600200, -0.006621700, -0.006658300, -0.006699900, -0.006755800, -0.006852000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046920000;
+        }
+        pin ("A2") {
+            capacitance : 0.0045000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0066365000, 0.0066048000, 0.0065728000, 0.0065401000, 0.0065049000, 0.0064634000, 0.0064032000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006625300, -0.006654100, -0.006673900, -0.006707900, -0.006743000, -0.006780800, -0.006826300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047670000;
+        }
+        pin ("A3") {
+            capacitance : 0.0042430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039600000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065409000, 0.0065065000, 0.0064671000, 0.0064351000, 0.0064022000, 0.0063667000, 0.0063237000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006532500, -0.006562700, -0.006587100, -0.006621500, -0.006657800, -0.006698900, -0.006754100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045270000;
+        }
+        pin ("A4") {
+            capacitance : 0.0042650000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0065217000, 0.0064876000, 0.0064486000, 0.0064174000, 0.0063870000, 0.0063582000, 0.0063346000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.006507800, -0.006538200, -0.006563500, -0.006596900, -0.006631300, -0.006668100, -0.006711900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045750000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044980000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043780000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0076037000, 0.0075549000, 0.0075098000, 0.0074572000, 0.0074017000, 0.0073374000, 0.0072485000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001652800, -0.001778700, -0.002082000, -0.002041900, -0.001877400, -0.001362600, 0.0001395000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046190000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0345597000, 0.0330195000, 0.0280380000, 0.0089780000, -0.067504200, -0.361000600, -1.437959600", \
+                        "0.0341638000, 0.0326416000, 0.0276501000, 0.0087026000, -0.067657100, -0.361127400, -1.438172500", \
+                        "0.0340431000, 0.0325180000, 0.0275101000, 0.0085700000, -0.067887400, -0.361370200, -1.438320300", \
+                        "0.0336596000, 0.0324626000, 0.0274239000, 0.0084146000, -0.068141700, -0.361653700, -1.438539000", \
+                        "0.0334138000, 0.0319114000, 0.0270056000, 0.0080663000, -0.068474900, -0.361979400, -1.438875100", \
+                        "0.0333954000, 0.0318855000, 0.0268311000, 0.0077949000, -0.068755500, -0.362198500, -1.439037900", \
+                        "0.0421051000, 0.0403260000, 0.0341853000, 0.0123993000, -0.068183600, -0.362636000, -1.439257800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0334731000, 0.0353672000, 0.0423755000, 0.0664469000, 0.1488835000, 0.4429807000, 1.5119930000", \
+                        "0.0333035000, 0.0351752000, 0.0421690000, 0.0662161000, 0.1488351000, 0.4430209000, 1.5155279000", \
+                        "0.0330656000, 0.0349677000, 0.0419380000, 0.0658548000, 0.1484038000, 0.4444623000, 1.5148443000", \
+                        "0.0328200000, 0.0347513000, 0.0416494000, 0.0656025000, 0.1481529000, 0.4417992000, 1.5114973000", \
+                        "0.0328529000, 0.0347622000, 0.0415916000, 0.0652268000, 0.1477588000, 0.4420316000, 1.5102495000", \
+                        "0.0348783000, 0.0367173000, 0.0431048000, 0.0655586000, 0.1474525000, 0.4405020000, 1.5108262000", \
+                        "0.0364790000, 0.0381238000, 0.0443049000, 0.0667151000, 0.1485957000, 0.4431952000, 1.5086067000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0304802000, 0.0289566000, 0.0239527000, 0.0050649000, -0.071344100, -0.364806700, -1.441851900", \
+                        "0.0303260000, 0.0288549000, 0.0238240000, 0.0049355000, -0.071494300, -0.365006300, -1.441941500", \
+                        "0.0303914000, 0.0288414000, 0.0237601000, 0.0047965000, -0.071705100, -0.365202000, -1.442168500", \
+                        "0.0300103000, 0.0285290000, 0.0235488000, 0.0044894000, -0.071994700, -0.365487600, -1.442421300", \
+                        "0.0296722000, 0.0281498000, 0.0231366000, 0.0041844000, -0.072363700, -0.365820000, -1.442838000", \
+                        "0.0297570000, 0.0281752000, 0.0231687000, 0.0039889000, -0.072645700, -0.366062200, -1.442876600", \
+                        "0.0386828000, 0.0369107000, 0.0306132000, 0.0085179000, -0.072170200, -0.366517000, -1.442953100");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0316491000, 0.0335037000, 0.0403320000, 0.0638797000, 0.1456101000, 0.4393795000, 1.5119780000", \
+                        "0.0316802000, 0.0335120000, 0.0403770000, 0.0639320000, 0.1457527000, 0.4410833000, 1.5080057000", \
+                        "0.0316052000, 0.0334372000, 0.0403068000, 0.0638632000, 0.1457125000, 0.4410794000, 1.5077389000", \
+                        "0.0313360000, 0.0332383000, 0.0400144000, 0.0635167000, 0.1455244000, 0.4410920000, 1.5114368000", \
+                        "0.0311080000, 0.0329769000, 0.0397422000, 0.0629161000, 0.1448036000, 0.4385077000, 1.5109007000", \
+                        "0.0321965000, 0.0340287000, 0.0404103000, 0.0627873000, 0.1443178000, 0.4396177000, 1.5087979000", \
+                        "0.0331841000, 0.0347365000, 0.0409069000, 0.0635032000, 0.1452247000, 0.4393390000, 1.5094396000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0268015000, 0.0253176000, 0.0202681000, 0.0013888000, -0.075046500, -0.368540600, -1.445464200", \
+                        "0.0269443000, 0.0253986000, 0.0203147000, 0.0013461000, -0.075174700, -0.368672800, -1.445632300", \
+                        "0.0265262000, 0.0249880000, 0.0199967000, 0.0010449000, -0.075409800, -0.368884800, -1.445823200", \
+                        "0.0262746000, 0.0246695000, 0.0196050000, 0.0008561000, -0.075650300, -0.369170000, -1.446023200", \
+                        "0.0259929000, 0.0244526000, 0.0194512000, 0.0004264000, -0.076080700, -0.369490200, -1.446377400", \
+                        "0.0258548000, 0.0243374000, 0.0193645000, 0.0002538000, -0.076441500, -0.369818300, -1.446556800", \
+                        "0.0355074000, 0.0336975000, 0.0273820000, 0.0051240000, -0.075672500, -0.370016500, -1.446357200");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0297776000, 0.0316162000, 0.0383861000, 0.0617311000, 0.1430783000, 0.4364090000, 1.5045202000", \
+                        "0.0298112000, 0.0316604000, 0.0384174000, 0.0617665000, 0.1431383000, 0.4364966000, 1.5084823000", \
+                        "0.0297872000, 0.0317123000, 0.0384296000, 0.0616753000, 0.1431831000, 0.4366339000, 1.5082664000", \
+                        "0.0295238000, 0.0313880000, 0.0381171000, 0.0614349000, 0.1428749000, 0.4364188000, 1.5047966000", \
+                        "0.0290383000, 0.0308512000, 0.0375147000, 0.0605733000, 0.1420598000, 0.4360404000, 1.5079551000", \
+                        "0.0294112000, 0.0311767000, 0.0374496000, 0.0604670000, 0.1416084000, 0.4364246000, 1.5036314000", \
+                        "0.0304821000, 0.0322392000, 0.0381428000, 0.0605437000, 0.1424339000, 0.4360688000, 1.5051882000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0232006000, 0.0214605000, 0.0165081000, -0.002396400, -0.078816700, -0.372293300, -1.449238800", \
+                        "0.0228718000, 0.0213820000, 0.0163907000, -0.002559700, -0.078997600, -0.372416800, -1.449375300", \
+                        "0.0225557000, 0.0210463000, 0.0162032000, -0.002761700, -0.079226700, -0.372753300, -1.449642500", \
+                        "0.0223387000, 0.0207642000, 0.0157490000, -0.003230500, -0.079682800, -0.373141200, -1.450057700", \
+                        "0.0219237000, 0.0203841000, 0.0154158000, -0.003526500, -0.080043900, -0.373491000, -1.450392200", \
+                        "0.0223336000, 0.0207050000, 0.0155827000, -0.003485200, -0.080221200, -0.373603700, -1.450200000", \
+                        "0.0349556000, 0.0320664000, 0.0256710000, 0.0031812000, -0.077588800, -0.372105500, -1.448372500");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0262889000, 0.0282253000, 0.0349341000, 0.0582530000, 0.1391985000, 0.4324473000, 1.5019717000", \
+                        "0.0263771000, 0.0282950000, 0.0350315000, 0.0582263000, 0.1395795000, 0.4325222000, 1.4980086000", \
+                        "0.0263291000, 0.0282309000, 0.0349950000, 0.0583059000, 0.1395841000, 0.4325957000, 1.5021970000", \
+                        "0.0259336000, 0.0278167000, 0.0345625000, 0.0578749000, 0.1390485000, 0.4298723000, 1.5035774000", \
+                        "0.0253782000, 0.0272109000, 0.0339135000, 0.0567583000, 0.1381558000, 0.4307813000, 1.5016428000", \
+                        "0.0255558000, 0.0272874000, 0.0336120000, 0.0565733000, 0.1376099000, 0.4320988000, 1.4950819000", \
+                        "0.0266413000, 0.0283125000, 0.0345355000, 0.0571733000, 0.1386151000, 0.4317977000, 1.5009477000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0215271000, 0.0205547000, 0.0166875000, -0.000811700, -0.076329000, -0.371661100, -1.449483800", \
+                        "0.0215483000, 0.0204540000, 0.0165969000, -0.000811100, -0.076325800, -0.371679200, -1.449559400", \
+                        "0.0212479000, 0.0201386000, 0.0161061000, -0.001185300, -0.076641300, -0.371965900, -1.449821000", \
+                        "0.0206090000, 0.0194926000, 0.0154639000, -0.002059300, -0.077238700, -0.372419800, -1.450255800", \
+                        "0.0193601000, 0.0182738000, 0.0143565000, -0.003261900, -0.078072900, -0.372892200, -1.450589300", \
+                        "0.0294905000, 0.0278750000, 0.0219693000, 0.0004442000, -0.078461200, -0.372606200, -1.450078300", \
+                        "0.0349230000, 0.0331724000, 0.0269838000, 0.0050728000, -0.075725700, -0.370868400, -1.447585500");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
+                    values("0.0286247000, 0.0305205000, 0.0375133000, 0.0616425000, 0.1441519000, 0.4381885000, 1.5063227000", \
+                        "0.0286054000, 0.0305553000, 0.0374422000, 0.0615026000, 0.1440031000, 0.4398803000, 1.5096762000", \
+                        "0.0286378000, 0.0305903000, 0.0374737000, 0.0615156000, 0.1440288000, 0.4400075000, 1.5100894000", \
+                        "0.0282497000, 0.0301879000, 0.0371107000, 0.0611086000, 0.1435538000, 0.4371409000, 1.5071045000", \
+                        "0.0280206000, 0.0299004000, 0.0365286000, 0.0603311000, 0.1424967000, 0.4372981000, 1.5101608000", \
+                        "0.0297217000, 0.0314390000, 0.0377405000, 0.0603754000, 0.1416557000, 0.4353809000, 1.5096554000", \
+                        "0.0314240000, 0.0333717000, 0.0391686000, 0.0616276000, 0.1423986000, 0.4372554000, 1.5030663000");
+                }
+            }
+            max_capacitance : 0.3415020000;
+            max_transition : 1.5023210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.8258755000, 0.8388835000, 0.8742447000, 0.9570219000, 1.1359492000, 1.5674961000, 2.8949665000", \
+                        "0.8316548000, 0.8443792000, 0.8797009000, 0.9622629000, 1.1412093000, 1.5721613000, 2.9018334000", \
+                        "0.8526722000, 0.8655369000, 0.9009661000, 0.9833381000, 1.1625475000, 1.5936469000, 2.9215985000", \
+                        "0.9118253000, 0.9241203000, 0.9593858000, 1.0417960000, 1.2215688000, 1.6528804000, 2.9808067000", \
+                        "1.0581739000, 1.0709515000, 1.1058152000, 1.1880580000, 1.3677303000, 1.7991608000, 3.1271901000", \
+                        "1.4065209000, 1.4196724000, 1.4561019000, 1.5394066000, 1.7200219000, 2.1524222000, 3.4795535000", \
+                        "2.0971433000, 2.1124547000, 2.1546809000, 2.2523858000, 2.4551199000, 2.9163518000, 4.2562096000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.2373902000, 0.2466650000, 0.2741797000, 0.3466717000, 0.5582815000, 1.2750599000, 3.8563970000", \
+                        "0.2452729000, 0.2545699000, 0.2817700000, 0.3543011000, 0.5657661000, 1.2842044000, 3.8641152000", \
+                        "0.2677866000, 0.2770702000, 0.3043813000, 0.3768164000, 0.5879370000, 1.3072582000, 3.8818748000", \
+                        "0.3246332000, 0.3337998000, 0.3610043000, 0.4332105000, 0.6446222000, 1.3614847000, 3.9418806000", \
+                        "0.4694838000, 0.4789167000, 0.5066204000, 0.5782399000, 0.7886661000, 1.5056006000, 4.0873958000", \
+                        "0.7657117000, 0.7768844000, 0.8083252000, 0.8871390000, 1.0999124000, 1.8160254000, 4.3969752000", \
+                        "1.2679147000, 1.2839504000, 1.3279240000, 1.4264501000, 1.6526850000, 2.3725604000, 4.9441127000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0871630000, 0.0935991000, 0.1153554000, 0.1693383000, 0.3171230000, 0.7756323000, 2.5081242000", \
+                        "0.0864070000, 0.0932586000, 0.1138207000, 0.1702611000, 0.3175313000, 0.7746483000, 2.5195359000", \
+                        "0.0863023000, 0.0935804000, 0.1141185000, 0.1683216000, 0.3168719000, 0.7748890000, 2.5189297000", \
+                        "0.0872872000, 0.0942130000, 0.1150695000, 0.1711705000, 0.3172401000, 0.7760146000, 2.5119151000", \
+                        "0.0873076000, 0.0933941000, 0.1157872000, 0.1683637000, 0.3171285000, 0.7760667000, 2.5115003000", \
+                        "0.0907074000, 0.0981616000, 0.1177616000, 0.1712903000, 0.3191656000, 0.7753367000, 2.5169327000", \
+                        "0.1135489000, 0.1216159000, 0.1446386000, 0.2017244000, 0.3610418000, 0.8123489000, 2.5179470000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0468140000, 0.0542139000, 0.0766867000, 0.1501111000, 0.4164552000, 1.4013881000, 4.9952269000", \
+                        "0.0467049000, 0.0536908000, 0.0765113000, 0.1499099000, 0.4155754000, 1.4035852000, 5.0025060000", \
+                        "0.0466016000, 0.0540423000, 0.0766686000, 0.1501078000, 0.4163543000, 1.4046326000, 4.9953988000", \
+                        "0.0466715000, 0.0536199000, 0.0764747000, 0.1493331000, 0.4155811000, 1.4036063000, 4.9985545000", \
+                        "0.0484634000, 0.0552035000, 0.0772466000, 0.1498438000, 0.4152036000, 1.3992798000, 4.9941487000", \
+                        "0.0612170000, 0.0686937000, 0.0919800000, 0.1615095000, 0.4181099000, 1.4030898000, 4.9987019000", \
+                        "0.0952570000, 0.1040017000, 0.1292596000, 0.1965351000, 0.4322728000, 1.4103183000, 4.9892197000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.7948381000, 0.8075475000, 0.8428663000, 0.9250656000, 1.1043394000, 1.5352353000, 2.8649907000", \
+                        "0.7982769000, 0.8110564000, 0.8463796000, 0.9273894000, 1.1082448000, 1.5387607000, 2.8682323000", \
+                        "0.8150183000, 0.8276761000, 0.8630874000, 0.9458647000, 1.1248856000, 1.5565051000, 2.8841514000", \
+                        "0.8675409000, 0.8803206000, 0.9156845000, 0.9984167000, 1.1775982000, 1.6088920000, 2.9359744000", \
+                        "1.0049053000, 1.0176103000, 1.0529456000, 1.1356748000, 1.3153011000, 1.7462922000, 3.0743690000", \
+                        "1.3536393000, 1.3667915000, 1.4035559000, 1.4885397000, 1.6709663000, 2.1038606000, 3.4331438000", \
+                        "2.0615512000, 2.0777029000, 2.1223661000, 2.2232765000, 2.4296669000, 2.8918594000, 4.2346522000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.2183864000, 0.2269436000, 0.2520794000, 0.3202017000, 0.5247814000, 1.2355603000, 3.8144061000", \
+                        "0.2266189000, 0.2351417000, 0.2605828000, 0.3285239000, 0.5331655000, 1.2468772000, 3.8184043000", \
+                        "0.2499687000, 0.2584915000, 0.2839399000, 0.3518887000, 0.5566034000, 1.2702069000, 3.8411074000", \
+                        "0.3058704000, 0.3143842000, 0.3395504000, 0.4075781000, 0.6124562000, 1.3254611000, 3.8937857000", \
+                        "0.4436243000, 0.4525397000, 0.4785430000, 0.5470556000, 0.7518470000, 1.4649204000, 4.0332258000", \
+                        "0.7136665000, 0.7244801000, 0.7549814000, 0.8310990000, 1.0396864000, 1.7520695000, 4.3228812000", \
+                        "1.1481914000, 1.1642554000, 1.2073543000, 1.3068717000, 1.5310599000, 2.2468638000, 4.8160791000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0864047000, 0.0932587000, 0.1138263000, 0.1706355000, 0.3175756000, 0.7747370000, 2.5197049000", \
+                        "0.0872494000, 0.0934244000, 0.1138181000, 0.1704859000, 0.3177115000, 0.7755411000, 2.5166003000", \
+                        "0.0864395000, 0.0936635000, 0.1138222000, 0.1693760000, 0.3170764000, 0.7760220000, 2.5077340000", \
+                        "0.0870821000, 0.0935898000, 0.1154639000, 0.1692358000, 0.3169915000, 0.7749116000, 2.5092444000", \
+                        "0.0874098000, 0.0946535000, 0.1139121000, 0.1690840000, 0.3161978000, 0.7742628000, 2.5133258000", \
+                        "0.0930135000, 0.1000894000, 0.1213738000, 0.1756841000, 0.3219479000, 0.7764810000, 2.5121933000", \
+                        "0.1217757000, 0.1295679000, 0.1545872000, 0.2155903000, 0.3623890000, 0.8119698000, 2.5210043000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0423487000, 0.0489731000, 0.0707327000, 0.1421944000, 0.4067664000, 1.3947785000, 5.0007665000", \
+                        "0.0422535000, 0.0487291000, 0.0706777000, 0.1420457000, 0.4073836000, 1.3977673000, 4.9975051000", \
+                        "0.0422072000, 0.0486970000, 0.0706565000, 0.1420297000, 0.4072337000, 1.3984077000, 4.9973936000", \
+                        "0.0425672000, 0.0492239000, 0.0705172000, 0.1420334000, 0.4081351000, 1.3999892000, 4.9963544000", \
+                        "0.0455236000, 0.0522304000, 0.0737127000, 0.1436723000, 0.4080102000, 1.4000050000, 4.9962137000", \
+                        "0.0593042000, 0.0665595000, 0.0891547000, 0.1569191000, 0.4115175000, 1.3979199000, 4.9955871000", \
+                        "0.0954342000, 0.1050480000, 0.1302284000, 0.1959113000, 0.4282992000, 1.4054529000, 4.9928017000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.7304734000, 0.7431991000, 0.7784653000, 0.8606766000, 1.0400221000, 1.4714483000, 2.7993210000", \
+                        "0.7331524000, 0.7458344000, 0.7812534000, 0.8639816000, 1.0430293000, 1.4746557000, 2.8025797000", \
+                        "0.7492357000, 0.7620453000, 0.7974871000, 0.8798857000, 1.0589837000, 1.4901457000, 2.8180245000", \
+                        "0.8009592000, 0.8134852000, 0.8489721000, 0.9310285000, 1.1106113000, 1.5418368000, 2.8692588000", \
+                        "0.9448793000, 0.9576171000, 0.9929815000, 1.0750528000, 1.2553076000, 1.6861150000, 3.0157976000", \
+                        "1.3364903000, 1.3499551000, 1.3871406000, 1.4715965000, 1.6548957000, 2.0884872000, 3.4183045000", \
+                        "2.1235675000, 2.1410957000, 2.1879660000, 2.2908908000, 2.4990814000, 2.9550407000, 4.2981414000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.2096679000, 0.2178882000, 0.2422992000, 0.3086262000, 0.5105050000, 1.2201388000, 3.7904910000", \
+                        "0.2178832000, 0.2261913000, 0.2505270000, 0.3168562000, 0.5187654000, 1.2282280000, 3.7977133000", \
+                        "0.2410091000, 0.2492981000, 0.2736333000, 0.3400393000, 0.5417183000, 1.2501076000, 3.8196006000", \
+                        "0.2974007000, 0.3055346000, 0.3299673000, 0.3963653000, 0.5981866000, 1.3080298000, 3.8784385000", \
+                        "0.4312070000, 0.4399700000, 0.4656195000, 0.5333488000, 0.7356416000, 1.4460865000, 4.0223451000", \
+                        "0.6864719000, 0.6974665000, 0.7283129000, 0.8045205000, 1.0119623000, 1.7225651000, 4.2952979000", \
+                        "1.0767399000, 1.0933588000, 1.1394626000, 1.2413479000, 1.4679079000, 2.1784368000, 4.7493307000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0864525000, 0.0933971000, 0.1138968000, 0.1708111000, 0.3172968000, 0.7761020000, 2.5130318000", \
+                        "0.0869705000, 0.0937135000, 0.1139155000, 0.1694665000, 0.3171144000, 0.7764660000, 2.5153604000", \
+                        "0.0863088000, 0.0935353000, 0.1141091000, 0.1682475000, 0.3167208000, 0.7747942000, 2.5188116000", \
+                        "0.0863386000, 0.0944451000, 0.1136669000, 0.1701394000, 0.3162881000, 0.7750241000, 2.5151892000", \
+                        "0.0863261000, 0.0933726000, 0.1155726000, 0.1722918000, 0.3169132000, 0.7746592000, 2.5193254000", \
+                        "0.0941412000, 0.1010390000, 0.1233858000, 0.1771239000, 0.3215405000, 0.7783493000, 2.5162195000", \
+                        "0.1364747000, 0.1434013000, 0.1659623000, 0.2211473000, 0.3628021000, 0.8090866000, 2.5236688000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0403936000, 0.0467812000, 0.0684448000, 0.1391353000, 0.4041859000, 1.3991277000, 4.9967763000", \
+                        "0.0404149000, 0.0469125000, 0.0684554000, 0.1391555000, 0.4042476000, 1.3988337000, 4.9966822000", \
+                        "0.0405663000, 0.0470223000, 0.0681333000, 0.1392196000, 0.4041995000, 1.3956597000, 4.9952220000", \
+                        "0.0406403000, 0.0471934000, 0.0679781000, 0.1391751000, 0.4037863000, 1.3991021000, 4.9967595000", \
+                        "0.0441120000, 0.0505722000, 0.0726266000, 0.1416166000, 0.4050663000, 1.3954022000, 4.9974922000", \
+                        "0.0595461000, 0.0667168000, 0.0887612000, 0.1564744000, 0.4106831000, 1.3960223000, 4.9956457000", \
+                        "0.0997093000, 0.1098023000, 0.1358091000, 0.2019299000, 0.4312388000, 1.4003502000, 4.9869509000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.6052238000, 0.6181814000, 0.6536162000, 0.7361194000, 0.9152864000, 1.3461140000, 2.6760218000", \
+                        "0.6079851000, 0.6207089000, 0.6560769000, 0.7386829000, 0.9181263000, 1.3489497000, 2.6787657000", \
+                        "0.6202169000, 0.6329244000, 0.6679847000, 0.7504473000, 0.9303139000, 1.3613940000, 2.6889460000", \
+                        "0.6661121000, 0.6786581000, 0.7161345000, 0.7988638000, 0.9785028000, 1.4072590000, 2.7366966000", \
+                        "0.8169718000, 0.8288632000, 0.8644764000, 0.9472029000, 1.1271776000, 1.5579689000, 2.8870675000", \
+                        "1.2460623000, 1.2584352000, 1.2939585000, 1.3748633000, 1.5539204000, 1.9866622000, 3.3146801000", \
+                        "2.0388251000, 2.0547024000, 2.1040145000, 2.2069544000, 2.3971729000, 2.8363574000, 4.1786405000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.1799384000, 0.1880003000, 0.2117736000, 0.2769089000, 0.4766121000, 1.1859403000, 3.7575472000", \
+                        "0.1884568000, 0.1964330000, 0.2203449000, 0.2854266000, 0.4853936000, 1.1918456000, 3.7588976000", \
+                        "0.2117611000, 0.2197480000, 0.2435277000, 0.3086731000, 0.5087434000, 1.2151949000, 3.8038204000", \
+                        "0.2682437000, 0.2760671000, 0.2998709000, 0.3649398000, 0.5648674000, 1.2754829000, 3.8662580000", \
+                        "0.3955735000, 0.4043694000, 0.4300871000, 0.4977161000, 0.6997861000, 1.4071104000, 3.9982053000", \
+                        "0.6271202000, 0.6387370000, 0.6710446000, 0.7494352000, 0.9551376000, 1.6638246000, 4.2331072000", \
+                        "0.9547049000, 0.9732081000, 1.0234668000, 1.1332958000, 1.3657550000, 2.0765778000, 4.6435780000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0872095000, 0.0942929000, 0.1137741000, 0.1701582000, 0.3173573000, 0.7747340000, 2.5194815000", \
+                        "0.0866175000, 0.0934919000, 0.1139755000, 0.1681580000, 0.3173466000, 0.7750695000, 2.5181099000", \
+                        "0.0873974000, 0.0944419000, 0.1153421000, 0.1708938000, 0.3163489000, 0.7751751000, 2.5143874000", \
+                        "0.0865001000, 0.0933216000, 0.1155772000, 0.1692659000, 0.3167703000, 0.7748040000, 2.5183184000", \
+                        "0.0875246000, 0.0939943000, 0.1142715000, 0.1705206000, 0.3165746000, 0.7749889000, 2.5120777000", \
+                        "0.0950884000, 0.1006494000, 0.1194350000, 0.1730229000, 0.3176286000, 0.7755241000, 2.5102358000", \
+                        "0.1541193000, 0.1618717000, 0.1805622000, 0.2244224000, 0.3518979000, 0.7975710000, 2.5293900000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0384264000, 0.0448685000, 0.0658665000, 0.1366733000, 0.4014572000, 1.3939879000, 4.9989195000", \
+                        "0.0382358000, 0.0448869000, 0.0659582000, 0.1367691000, 0.4026740000, 1.3910360000, 4.9927471000", \
+                        "0.0385060000, 0.0449020000, 0.0655952000, 0.1365408000, 0.4027052000, 1.3918423000, 4.9978826000", \
+                        "0.0385311000, 0.0450273000, 0.0658055000, 0.1366510000, 0.4026377000, 1.3945440000, 4.9994568000", \
+                        "0.0443653000, 0.0513412000, 0.0720880000, 0.1408930000, 0.4030367000, 1.3988746000, 4.9962123000", \
+                        "0.0639552000, 0.0707088000, 0.0918216000, 0.1587261000, 0.4091549000, 1.3928926000, 4.9822018000", \
+                        "0.1107149000, 0.1210140000, 0.1488130000, 0.2172294000, 0.4369057000, 1.4033548000, 4.9885580000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.1448131000, 0.1510198000, 0.1693572000, 0.2186206000, 0.3531574000, 0.7530440000, 2.0648312000", \
+                        "0.1529616000, 0.1589472000, 0.1774877000, 0.2267705000, 0.3612769000, 0.7611194000, 2.0729054000", \
+                        "0.1765496000, 0.1826802000, 0.2008970000, 0.2501727000, 0.3847750000, 0.7847130000, 2.0963133000", \
+                        "0.2457046000, 0.2517574000, 0.2699648000, 0.3192318000, 0.4541771000, 0.8544609000, 2.1640945000", \
+                        "0.4155488000, 0.4228085000, 0.4438705000, 0.4981266000, 0.6367697000, 1.0385504000, 2.3479192000", \
+                        "0.7147039000, 0.7256820000, 0.7567319000, 0.8304523000, 0.9997306000, 1.4255470000, 2.7374807000", \
+                        "1.2056841000, 1.2227839000, 1.2709438000, 1.3856173000, 1.6315119000, 2.1380429000, 3.4681777000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.2124520000, 0.2217040000, 0.2491804000, 0.3215227000, 0.5330810000, 1.2503193000, 3.8316204000", \
+                        "0.2191710000, 0.2283137000, 0.2556378000, 0.3280807000, 0.5393338000, 1.2584579000, 3.8304284000", \
+                        "0.2400899000, 0.2494432000, 0.2766098000, 0.3490313000, 0.5602627000, 1.2795421000, 3.8526302000", \
+                        "0.3013097000, 0.3105089000, 0.3375389000, 0.4096279000, 0.6205611000, 1.3380469000, 3.9170747000", \
+                        "0.4723212000, 0.4814886000, 0.5080789000, 0.5789029000, 0.7875848000, 1.5050668000, 4.0859649000", \
+                        "0.7857389000, 0.7969693000, 0.8261694000, 0.9032056000, 1.1125845000, 1.8297237000, 4.4079607000", \
+                        "1.2795777000, 1.2962625000, 1.3418709000, 1.4378865000, 1.6540497000, 2.3683977000, 4.9452935000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0294952000, 0.0338733000, 0.0490596000, 0.0964876000, 0.2481838000, 0.7248624000, 2.4756417000", \
+                        "0.0294334000, 0.0340146000, 0.0491232000, 0.0965044000, 0.2480403000, 0.7242497000, 2.4792843000", \
+                        "0.0293747000, 0.0341086000, 0.0493184000, 0.0965259000, 0.2481987000, 0.7253121000, 2.4800635000", \
+                        "0.0292127000, 0.0341434000, 0.0493285000, 0.0965846000, 0.2479958000, 0.7245654000, 2.4829498000", \
+                        "0.0398880000, 0.0445408000, 0.0601214000, 0.1056479000, 0.2513266000, 0.7257858000, 2.4777265000", \
+                        "0.0705278000, 0.0769552000, 0.0951836000, 0.1492266000, 0.2946615000, 0.7461982000, 2.4878068000", \
+                        "0.1264704000, 0.1363563000, 0.1643011000, 0.2422802000, 0.4145183000, 0.8347489000, 2.4924309000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
+                    values("0.0465213000, 0.0539148000, 0.0764215000, 0.1497791000, 0.4163828000, 1.3997288000, 4.9925597000", \
+                        "0.0467616000, 0.0537081000, 0.0764350000, 0.1500417000, 0.4159321000, 1.4025673000, 4.9971152000", \
+                        "0.0468161000, 0.0538569000, 0.0765082000, 0.1500815000, 0.4160638000, 1.4036502000, 4.9965876000", \
+                        "0.0462023000, 0.0532976000, 0.0758423000, 0.1493164000, 0.4148225000, 1.4039905000, 4.9999866000", \
+                        "0.0475980000, 0.0544080000, 0.0765620000, 0.1481798000, 0.4131021000, 1.4024913000, 5.0031335000", \
+                        "0.0670519000, 0.0729380000, 0.0943283000, 0.1587678000, 0.4172901000, 1.3998462000, 5.0023869000", \
+                        "0.1099552000, 0.1176690000, 0.1396357000, 0.1978528000, 0.4303658000, 1.4098158000, 5.0009397000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41ai_1 */
+
+/* removed sky130_fd_sc_hd__o41ai_2 */
+
+    cell ("sky130_fd_sc_hd__o41ai_4") {
+        leakage_power () {
+            value : 10.361531200;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.7333960000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 15.379138000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775904000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 13.226968900;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775728000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7430082000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776028000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 13.012506600;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775589000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7449355000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776104000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7303712000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775948000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.5403045000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776166000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 12.788220300;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775542000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7355448000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776110000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7214805000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775877000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.5377528000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776184000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.7197042000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8775842000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.5396349000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776141000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 9.5354347000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776028000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 9.4702983000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 2.8776199000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o41ai";
+        cell_leakage_power : 6.7088800000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0087090000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130678000, 0.0130450000, 0.0130255000, 0.0130028000, 0.0129834000, 0.0129734000, 0.0129901000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.012948300, -0.012968100, -0.012973900, -0.013005600, -0.013044600, -0.013104500, -0.013223200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090680000;
+        }
+        pin ("A2") {
+            capacitance : 0.0085050000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080120000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130792000, 0.0130517000, 0.0130189000, 0.0129951000, 0.0129728000, 0.0129544000, 0.0129473000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013036900, -0.013062300, -0.013083900, -0.013112500, -0.013142800, -0.013178300, -0.013228200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089990000;
+        }
+        pin ("A3") {
+            capacitance : 0.0084630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0130699000, 0.0130392000, 0.0129976000, 0.0129732000, 0.0129493000, 0.0129263000, 0.0129061000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013020300, -0.013045700, -0.013067500, -0.013094700, -0.013121500, -0.013147200, -0.013169400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090000000;
+        }
+        pin ("A4") {
+            capacitance : 0.0085080000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078970000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0131189000, 0.0130910000, 0.0130573000, 0.0130296000, 0.0129962000, 0.0129467000, 0.0128520000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.013093500, -0.013122000, -0.013153500, -0.013180600, -0.013208200, -0.013237200, -0.013270000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091190000;
+        }
+        pin ("B1") {
+            capacitance : 0.0087380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0085640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0151522000, 0.0151099000, 0.0150679000, 0.0150269000, 0.0149883000, 0.0149563000, 0.0149432000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.003678300, -0.003842600, -0.004299800, -0.004187100, -0.003864100, -0.002948900, -0.000365000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089120000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3&!A4) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0279178000, 0.0267794000, 0.0235580000, 0.0145807000, -0.010561200, -0.080543600, -0.277805500", \
+                        "0.0275252000, 0.0263984000, 0.0232101000, 0.0142046000, -0.010937000, -0.080929900, -0.278154800", \
+                        "0.0270199000, 0.0259504000, 0.0226640000, 0.0136915000, -0.011461600, -0.081490400, -0.278677400", \
+                        "0.0264657000, 0.0253082000, 0.0221156000, 0.0131537000, -0.011968300, -0.081966400, -0.279165500", \
+                        "0.0259828000, 0.0248678000, 0.0216782000, 0.0128473000, -0.012171500, -0.082134500, -0.279305000", \
+                        "0.0259408000, 0.0247506000, 0.0215733000, 0.0125214000, -0.012868700, -0.082484900, -0.279614700", \
+                        "0.0283906000, 0.0272598000, 0.0240057000, 0.0149186000, -0.010347000, -0.081331400, -0.279662800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0515118000, 0.0526683000, 0.0559226000, 0.0650578000, 0.0902698000, 0.1606140000, 0.3576622000", \
+                        "0.0510868000, 0.0523031000, 0.0555612000, 0.0647189000, 0.0899026000, 0.1603203000, 0.3571676000", \
+                        "0.0505639000, 0.0517942000, 0.0550370000, 0.0642696000, 0.0896016000, 0.1602327000, 0.3569546000", \
+                        "0.0499998000, 0.0511820000, 0.0544413000, 0.0635918000, 0.0892384000, 0.1597531000, 0.3566137000", \
+                        "0.0494588000, 0.0506015000, 0.0538776000, 0.0630108000, 0.0886564000, 0.1594385000, 0.3566067000", \
+                        "0.0493797000, 0.0505716000, 0.0538845000, 0.0629548000, 0.0882310000, 0.1589937000, 0.3560722000", \
+                        "0.0486855000, 0.0499035000, 0.0530518000, 0.0619372000, 0.0881866000, 0.1586753000, 0.3554674000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0226242000, 0.0214839000, 0.0182617000, 0.0093044000, -0.015672700, -0.085905900, -0.283766200", \
+                        "0.0226018000, 0.0215203000, 0.0182731000, 0.0092946000, -0.015617100, -0.085981200, -0.283627100", \
+                        "0.0223977000, 0.0212810000, 0.0180733000, 0.0091213000, -0.015868600, -0.086115800, -0.283800700", \
+                        "0.0217587000, 0.0206265000, 0.0174671000, 0.0086352000, -0.016269300, -0.086373100, -0.284037100", \
+                        "0.0209031000, 0.0197978000, 0.0166795000, 0.0079339000, -0.016780600, -0.086719500, -0.284211500", \
+                        "0.0210244000, 0.0199054000, 0.0166773000, 0.0077272000, -0.017482200, -0.087318500, -0.284681200", \
+                        "0.0226295000, 0.0214537000, 0.0182338000, 0.0092704000, -0.016157800, -0.086957600, -0.284998700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0440721000, 0.0452580000, 0.0485133000, 0.0575983000, 0.0829538000, 0.1535991000, 0.3500534000", \
+                        "0.0436883000, 0.0449445000, 0.0482057000, 0.0573039000, 0.0825055000, 0.1533220000, 0.3496164000", \
+                        "0.0431070000, 0.0442952000, 0.0476349000, 0.0567620000, 0.0821409000, 0.1530284000, 0.3494548000", \
+                        "0.0424727000, 0.0436845000, 0.0469838000, 0.0562356000, 0.0817217000, 0.1525878000, 0.3491912000", \
+                        "0.0419292000, 0.0431151000, 0.0464307000, 0.0555168000, 0.0810671000, 0.1518649000, 0.3487988000", \
+                        "0.0418187000, 0.0429942000, 0.0463876000, 0.0554010000, 0.0807543000, 0.1513988000, 0.3484177000", \
+                        "0.0413352000, 0.0424883000, 0.0456410000, 0.0545440000, 0.0806889000, 0.1516325000, 0.3488390000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0179575000, 0.0168123000, 0.0136192000, 0.0047165000, -0.020379600, -0.090966100, -0.289144200", \
+                        "0.0179444000, 0.0168322000, 0.0136404000, 0.0047477000, -0.020336200, -0.090926400, -0.289022100", \
+                        "0.0177431000, 0.0166346000, 0.0135143000, 0.0047181000, -0.020252300, -0.090755100, -0.288828100", \
+                        "0.0169565000, 0.0158665000, 0.0128223000, 0.0041823000, -0.020510000, -0.090706700, -0.288656900", \
+                        "0.0158049000, 0.0147832000, 0.0117121000, 0.0031652000, -0.021269500, -0.091092500, -0.288766400", \
+                        "0.0156757000, 0.0145406000, 0.0113607000, 0.0025242000, -0.022300500, -0.092306000, -0.289316400", \
+                        "0.0169742000, 0.0157970000, 0.0125395000, 0.0034413000, -0.021836300, -0.092329900, -0.290179500");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0372421000, 0.0383503000, 0.0416747000, 0.0506907000, 0.0759349000, 0.1464741000, 0.3432349000", \
+                        "0.0369019000, 0.0380078000, 0.0412604000, 0.0503953000, 0.0757422000, 0.1462960000, 0.3427210000", \
+                        "0.0361462000, 0.0373551000, 0.0407086000, 0.0499081000, 0.0752709000, 0.1458565000, 0.3426583000", \
+                        "0.0354996000, 0.0366698000, 0.0400552000, 0.0492118000, 0.0748775000, 0.1454322000, 0.3424741000", \
+                        "0.0349693000, 0.0361411000, 0.0394262000, 0.0486020000, 0.0740232000, 0.1449288000, 0.3421788000", \
+                        "0.0348532000, 0.0360066000, 0.0393049000, 0.0483819000, 0.0740434000, 0.1447554000, 0.3414675000", \
+                        "0.0355999000, 0.0367752000, 0.0400406000, 0.0491438000, 0.0740389000, 0.1443423000, 0.3417985000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0120162000, 0.0108742000, 0.0076740000, -0.001357300, -0.026619500, -0.097386100, -0.295787400", \
+                        "0.0116275000, 0.0105341000, 0.0074845000, -0.001302300, -0.026361100, -0.097041200, -0.295413900", \
+                        "0.0107684000, 0.0097566000, 0.0068599000, -0.001594500, -0.026269800, -0.096694400, -0.294937600", \
+                        "0.0096786000, 0.0086863000, 0.0058643000, -0.002446300, -0.026623500, -0.096612200, -0.294639400", \
+                        "0.0092238000, 0.0081951000, 0.0052441000, -0.003576900, -0.027658600, -0.097139900, -0.294656200", \
+                        "0.0088532000, 0.0077272000, 0.0045962000, -0.004230800, -0.028727400, -0.098583900, -0.295443700", \
+                        "0.0105404000, 0.0093747000, 0.0060629000, -0.003180700, -0.028559400, -0.098985800, -0.296577300");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0297630000, 0.0309478000, 0.0342193000, 0.0432868000, 0.0685617000, 0.1389837000, 0.3355725000", \
+                        "0.0292570000, 0.0304565000, 0.0337719000, 0.0428370000, 0.0683993000, 0.1388149000, 0.3355625000", \
+                        "0.0283280000, 0.0295036000, 0.0328584000, 0.0421440000, 0.0677935000, 0.1384637000, 0.3352897000", \
+                        "0.0274898000, 0.0286779000, 0.0320162000, 0.0411827000, 0.0670543000, 0.1380218000, 0.3348473000", \
+                        "0.0270026000, 0.0281736000, 0.0314393000, 0.0406807000, 0.0662972000, 0.1371826000, 0.3345901000", \
+                        "0.0278043000, 0.0289239000, 0.0321615000, 0.0420358000, 0.0668816000, 0.1366882000, 0.3339025000", \
+                        "0.0326592000, 0.0338218000, 0.0371585000, 0.0452574000, 0.0704914000, 0.1392708000, 0.3346721000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0182230000, 0.0171487000, 0.0140188000, 0.0052472000, -0.019671900, -0.089547700, -0.286734500", \
+                        "0.0178837000, 0.0167905000, 0.0137366000, 0.0050669000, -0.019710400, -0.089522100, -0.286615700", \
+                        "0.0177628000, 0.0166858000, 0.0135997000, 0.0048581000, -0.019755800, -0.089261000, -0.286261500", \
+                        "0.0169104000, 0.0159054000, 0.0127924000, 0.0039249000, -0.020634100, -0.089924700, -0.286606100", \
+                        "0.0162799000, 0.0151370000, 0.0120086000, 0.0031880000, -0.021466000, -0.090928900, -0.287347100", \
+                        "0.0164815000, 0.0153265000, 0.0120647000, 0.0029737000, -0.022333700, -0.092687400, -0.289217500", \
+                        "0.0194217000, 0.0183277000, 0.0149241000, 0.0054871000, -0.020187000, -0.092350600, -0.289911900");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
+                    values("0.0304113000, 0.0317915000, 0.0353414000, 0.0447410000, 0.0701255000, 0.1404748000, 0.3372641000", \
+                        "0.0296258000, 0.0310434000, 0.0347463000, 0.0444468000, 0.0700828000, 0.1407385000, 0.3375354000", \
+                        "0.0289569000, 0.0302750000, 0.0337708000, 0.0436439000, 0.0696343000, 0.1404206000, 0.3379462000", \
+                        "0.0285508000, 0.0297509000, 0.0331400000, 0.0426622000, 0.0683960000, 0.1393015000, 0.3363914000", \
+                        "0.0286810000, 0.0298180000, 0.0330131000, 0.0421002000, 0.0677116000, 0.1388870000, 0.3362514000", \
+                        "0.0307284000, 0.0323290000, 0.0346893000, 0.0435604000, 0.0684138000, 0.1381982000, 0.3347519000", \
+                        "0.0362110000, 0.0372299000, 0.0404995000, 0.0491823000, 0.0723414000, 0.1402741000, 0.3372326000");
+                }
+            }
+            max_capacitance : 0.0613500000;
+            max_transition : 1.4828360000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0983567000, 0.1032655000, 0.1164767000, 0.1503569000, 0.2359275000, 0.4531774000, 1.0298321000", \
+                        "0.1063043000, 0.1110963000, 0.1242970000, 0.1580512000, 0.2435575000, 0.4605891000, 1.0374414000", \
+                        "0.1286133000, 0.1334716000, 0.1464740000, 0.1802518000, 0.2655053000, 0.4825711000, 1.0587425000", \
+                        "0.1844554000, 0.1892228000, 0.2018187000, 0.2345795000, 0.3191403000, 0.5360993000, 1.1124671000", \
+                        "0.3034342000, 0.3092972000, 0.3249233000, 0.3636798000, 0.4562909000, 0.6739894000, 1.2507725000", \
+                        "0.5310413000, 0.5401041000, 0.5637798000, 0.6213983000, 0.7498069000, 1.0274614000, 1.6365430000", \
+                        "0.8756654000, 0.8917083000, 0.9348932000, 1.0413549000, 1.2714620000, 1.7262948000, 2.5664733000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.4841813000, 0.4993236000, 0.5376950000, 0.6473354000, 0.9417096000, 1.7586692000, 4.0294794000", \
+                        "0.4879893000, 0.5029570000, 0.5439698000, 0.6521109000, 0.9474502000, 1.7642801000, 4.0350665000", \
+                        "0.5086649000, 0.5236492000, 0.5615599000, 0.6729430000, 0.9683661000, 1.7863301000, 4.0577648000", \
+                        "0.5664793000, 0.5811075000, 0.6187197000, 0.7301167000, 1.0284492000, 1.8477606000, 4.1203982000", \
+                        "0.7114414000, 0.7241318000, 0.7648099000, 0.8753036000, 1.1714077000, 1.9920934000, 4.2665866000", \
+                        "1.0236092000, 1.0404148000, 1.0851204000, 1.2069680000, 1.5201324000, 2.3392948000, 4.6137565000", \
+                        "1.5924483000, 1.6166151000, 1.6811386000, 1.8415012000, 2.2434810000, 3.1949463000, 5.5151195000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0859529000, 0.0911805000, 0.1058965000, 0.1455983000, 0.2486350000, 0.5224223000, 1.2840624000", \
+                        "0.0848871000, 0.0905408000, 0.1052104000, 0.1447868000, 0.2479292000, 0.5223442000, 1.2842316000", \
+                        "0.0837467000, 0.0891634000, 0.1036259000, 0.1439860000, 0.2467532000, 0.5230442000, 1.2834226000", \
+                        "0.0854873000, 0.0906955000, 0.1049558000, 0.1431044000, 0.2454871000, 0.5220350000, 1.2810280000", \
+                        "0.1172831000, 0.1221736000, 0.1355319000, 0.1726632000, 0.2645684000, 0.5255401000, 1.2816206000", \
+                        "0.2045149000, 0.2114015000, 0.2280351000, 0.2704443000, 0.3725603000, 0.6269215000, 1.3135568000", \
+                        "0.4205060000, 0.4330475000, 0.4617738000, 0.5290169000, 0.6785278000, 0.9872535000, 1.6651733000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.2861671000, 0.3043128000, 0.3535344000, 0.4934347000, 0.8789877000, 1.9484887000, 4.9209357000", \
+                        "0.2847779000, 0.3040737000, 0.3537408000, 0.4936188000, 0.8793415000, 1.9474899000, 4.9192006000", \
+                        "0.2860315000, 0.3042384000, 0.3536537000, 0.4931339000, 0.8788790000, 1.9484316000, 4.9190518000", \
+                        "0.2857810000, 0.3041310000, 0.3537442000, 0.4926798000, 0.8789621000, 1.9470709000, 4.9231588000", \
+                        "0.2873257000, 0.3048655000, 0.3541472000, 0.4930572000, 0.8786326000, 1.9492323000, 4.9351492000", \
+                        "0.3454680000, 0.3633165000, 0.4119313000, 0.5473061000, 0.9103759000, 1.9515785000, 4.9197948000", \
+                        "0.5232028000, 0.5449260000, 0.6008698000, 0.7515731000, 1.1491070000, 2.1541462000, 4.9760001000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.1007510000, 0.1052139000, 0.1171380000, 0.1482791000, 0.2274833000, 0.4352673000, 1.0015944000", \
+                        "0.1089920000, 0.1133823000, 0.1254218000, 0.1563361000, 0.2357264000, 0.4436686000, 1.0098206000", \
+                        "0.1317791000, 0.1362716000, 0.1481245000, 0.1791604000, 0.2583941000, 0.4665365000, 1.0323766000", \
+                        "0.1869020000, 0.1913197000, 0.2031635000, 0.2338338000, 0.3130192000, 0.5212298000, 1.0873830000", \
+                        "0.3025108000, 0.3081222000, 0.3234838000, 0.3608170000, 0.4506958000, 0.6623361000, 1.2294954000", \
+                        "0.5120242000, 0.5217348000, 0.5456778000, 0.6057596000, 0.7382787000, 1.0198780000, 1.6252507000", \
+                        "0.8072574000, 0.8253489000, 0.8724682000, 0.9871491000, 1.2352536000, 1.7099284000, 2.5742632000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.4583355000, 0.4730265000, 0.5108244000, 0.6203682000, 0.9152216000, 1.7320264000, 4.0029575000", \
+                        "0.4597946000, 0.4750362000, 0.5139657000, 0.6233946000, 0.9181998000, 1.7352267000, 4.0070032000", \
+                        "0.4749184000, 0.4907982000, 0.5304012000, 0.6382517000, 0.9367771000, 1.7540952000, 4.0260244000", \
+                        "0.5272419000, 0.5428132000, 0.5821895000, 0.6931542000, 0.9898345000, 1.8099952000, 4.0830193000", \
+                        "0.6685755000, 0.6842630000, 0.7234384000, 0.8332872000, 1.1306462000, 1.9519122000, 4.2264547000", \
+                        "0.9814474000, 0.9985932000, 1.0481543000, 1.1735471000, 1.4990673000, 2.3191322000, 4.5945665000", \
+                        "1.5644019000, 1.5926718000, 1.6674759000, 1.8576514000, 2.2965265000, 3.2904801000, 5.6184652000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0747617000, 0.0795854000, 0.0925981000, 0.1285087000, 0.2259923000, 0.4959852000, 1.2533936000", \
+                        "0.0745586000, 0.0795707000, 0.0926970000, 0.1284697000, 0.2261449000, 0.4971622000, 1.2514312000", \
+                        "0.0744010000, 0.0791898000, 0.0923773000, 0.1284916000, 0.2261257000, 0.4970342000, 1.2526726000", \
+                        "0.0761361000, 0.0807028000, 0.0933453000, 0.1283750000, 0.2253397000, 0.4960181000, 1.2525655000", \
+                        "0.1045388000, 0.1092045000, 0.1225862000, 0.1577557000, 0.2465465000, 0.5023895000, 1.2525316000", \
+                        "0.1911013000, 0.1975674000, 0.2146988000, 0.2584354000, 0.3615321000, 0.6125203000, 1.2896174000", \
+                        "0.4116368000, 0.4230344000, 0.4515135000, 0.5237904000, 0.6800781000, 0.9822648000, 1.6571082000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.2860067000, 0.3040949000, 0.3527222000, 0.4924430000, 0.8782143000, 1.9491242000, 4.9197766000", \
+                        "0.2861712000, 0.3042487000, 0.3534757000, 0.4935778000, 0.8780791000, 1.9490436000, 4.9232787000", \
+                        "0.2853216000, 0.3040782000, 0.3545978000, 0.4928450000, 0.8779710000, 1.9503530000, 4.9252047000", \
+                        "0.2859750000, 0.3039852000, 0.3536526000, 0.4930318000, 0.8787023000, 1.9488380000, 4.9213233000", \
+                        "0.2899289000, 0.3080328000, 0.3564017000, 0.4936619000, 0.8787672000, 1.9503128000, 4.9198947000", \
+                        "0.3722362000, 0.3899344000, 0.4417225000, 0.5759105000, 0.9283005000, 1.9544604000, 4.9246234000", \
+                        "0.6370434000, 0.6594016000, 0.7188637000, 0.8686756000, 1.2454288000, 2.2063875000, 4.9775037000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0936910000, 0.0972884000, 0.1074564000, 0.1341562000, 0.2056005000, 0.4010612000, 0.9431255000", \
+                        "0.1019087000, 0.1056851000, 0.1157172000, 0.1426697000, 0.2141405000, 0.4095363000, 0.9516797000", \
+                        "0.1246873000, 0.1283618000, 0.1386779000, 0.1658360000, 0.2374646000, 0.4330792000, 0.9751800000", \
+                        "0.1788202000, 0.1829186000, 0.1938070000, 0.2213452000, 0.2938456000, 0.4898233000, 1.0323464000", \
+                        "0.2853318000, 0.2916102000, 0.3059628000, 0.3433944000, 0.4312663000, 0.6351536000, 1.1794323000", \
+                        "0.4658769000, 0.4755483000, 0.5017161000, 0.5680982000, 0.7088596000, 0.9910601000, 1.5880258000", \
+                        "0.6910698000, 0.7116812000, 0.7672258000, 0.8932352000, 1.1669959000, 1.6690113000, 2.5430493000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.3927171000, 0.4062831000, 0.4476968000, 0.5549012000, 0.8516077000, 1.6676922000, 3.9388457000", \
+                        "0.3961801000, 0.4104696000, 0.4486327000, 0.5586371000, 0.8535165000, 1.6705709000, 3.9423389000", \
+                        "0.4073221000, 0.4220234000, 0.4618433000, 0.5724244000, 0.8704967000, 1.6877156000, 3.9594021000", \
+                        "0.4587775000, 0.4731155000, 0.5137082000, 0.6229106000, 0.9229502000, 1.7429435000, 4.0158468000", \
+                        "0.6057376000, 0.6203174000, 0.6601000000, 0.7704472000, 1.0682598000, 1.8895332000, 4.1643538000", \
+                        "0.9432881000, 0.9614882000, 1.0154108000, 1.1502211000, 1.4870859000, 2.3094475000, 4.5865289000", \
+                        "1.5371661000, 1.5709783000, 1.6630419000, 1.8887734000, 2.3912594000, 3.4332077000, 5.7725003000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0542241000, 0.0585650000, 0.0705357000, 0.1040460000, 0.1975510000, 0.4577018000, 1.1893134000", \
+                        "0.0542412000, 0.0585286000, 0.0704073000, 0.1040409000, 0.1973458000, 0.4587197000, 1.1866247000", \
+                        "0.0541349000, 0.0585179000, 0.0705226000, 0.1040479000, 0.1974630000, 0.4584464000, 1.1875251000", \
+                        "0.0580280000, 0.0619812000, 0.0735355000, 0.1054403000, 0.1972911000, 0.4574411000, 1.1871790000", \
+                        "0.0870325000, 0.0921774000, 0.1051906000, 0.1386678000, 0.2250957000, 0.4658855000, 1.1888944000", \
+                        "0.1765440000, 0.1834085000, 0.2018211000, 0.2459991000, 0.3480611000, 0.5869661000, 1.2318814000", \
+                        "0.3977228000, 0.4097941000, 0.4422369000, 0.5194664000, 0.6800465000, 0.9774553000, 1.6285803000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.2848548000, 0.3027254000, 0.3548826000, 0.4925379000, 0.8781538000, 1.9479161000, 4.9261035000", \
+                        "0.2862792000, 0.3041558000, 0.3527070000, 0.4926747000, 0.8780749000, 1.9488389000, 4.9260969000", \
+                        "0.2854652000, 0.3037240000, 0.3533543000, 0.4928983000, 0.8780105000, 1.9470241000, 4.9259015000", \
+                        "0.2854525000, 0.3028325000, 0.3538661000, 0.4922829000, 0.8790316000, 1.9470585000, 4.9231694000", \
+                        "0.2978604000, 0.3153295000, 0.3622652000, 0.4948134000, 0.8781961000, 1.9491335000, 4.9190687000", \
+                        "0.4065275000, 0.4249014000, 0.4736837000, 0.6041672000, 0.9443774000, 1.9538229000, 4.9269793000", \
+                        "0.7303598000, 0.7558960000, 0.8240369000, 0.9933376000, 1.3680436000, 2.2801423000, 4.9739999000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0682547000, 0.0715825000, 0.0803103000, 0.1042490000, 0.1706106000, 0.3529756000, 0.8657786000", \
+                        "0.0754381000, 0.0790455000, 0.0882272000, 0.1128741000, 0.1792875000, 0.3630191000, 0.8766470000", \
+                        "0.0973062000, 0.1007671000, 0.1103587000, 0.1356144000, 0.2025053000, 0.3864555000, 0.9004226000", \
+                        "0.1470776000, 0.1513033000, 0.1627457000, 0.1906098000, 0.2592332000, 0.4448379000, 0.9576570000", \
+                        "0.2350955000, 0.2420444000, 0.2596520000, 0.3019383000, 0.3938428000, 0.5921019000, 1.1088973000", \
+                        "0.3616175000, 0.3750429000, 0.4087947000, 0.4898972000, 0.6507165000, 0.9435721000, 1.5253719000", \
+                        "0.4618753000, 0.4950224000, 0.5650631000, 0.7308520000, 1.0507241000, 1.5916938000, 2.4781912000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.2674325000, 0.2815368000, 0.3223194000, 0.4301874000, 0.7274873000, 1.5433981000, 3.8144354000", \
+                        "0.2681589000, 0.2826604000, 0.3238124000, 0.4322902000, 0.7295551000, 1.5466582000, 3.8176076000", \
+                        "0.2774999000, 0.2924893000, 0.3327093000, 0.4447729000, 0.7421809000, 1.5619229000, 3.8335446000", \
+                        "0.3253021000, 0.3398166000, 0.3801129000, 0.4885481000, 0.7893290000, 1.6119859000, 3.8871755000", \
+                        "0.4874992000, 0.4999904000, 0.5371701000, 0.6427417000, 0.9382142000, 1.7604631000, 4.0372341000", \
+                        "0.8319981000, 0.8541131000, 0.9126614000, 1.0601212000, 1.3876983000, 2.1983371000, 4.4732822000", \
+                        "1.4110816000, 1.4493227000, 1.5475466000, 1.7828212000, 2.3292379000, 3.4273391000, 5.7178005000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0320416000, 0.0360995000, 0.0475101000, 0.0791009000, 0.1678678000, 0.4149439000, 1.1110105000", \
+                        "0.0320146000, 0.0361454000, 0.0473689000, 0.0793386000, 0.1676891000, 0.4158233000, 1.1126868000", \
+                        "0.0322483000, 0.0361693000, 0.0476049000, 0.0792978000, 0.1675576000, 0.4173790000, 1.1062586000", \
+                        "0.0406027000, 0.0446492000, 0.0549709000, 0.0832285000, 0.1681571000, 0.4170854000, 1.1083460000", \
+                        "0.0728872000, 0.0776624000, 0.0901868000, 0.1224031000, 0.2047476000, 0.4258820000, 1.1115554000", \
+                        "0.1624800000, 0.1703192000, 0.1902965000, 0.2360886000, 0.3363035000, 0.5613277000, 1.1589208000", \
+                        "0.3934336000, 0.4073256000, 0.4402164000, 0.5182161000, 0.6732855000, 0.9630037000, 1.5780598000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.2830801000, 0.3009974000, 0.3521498000, 0.4921430000, 0.8779588000, 1.9463902000, 4.9248445000", \
+                        "0.2815373000, 0.3006377000, 0.3516804000, 0.4919879000, 0.8790661000, 1.9496524000, 4.9200062000", \
+                        "0.2798716000, 0.2992726000, 0.3500385000, 0.4912828000, 0.8781334000, 1.9491788000, 4.9196545000", \
+                        "0.2733943000, 0.2920915000, 0.3448848000, 0.4891374000, 0.8780203000, 1.9494637000, 4.9268225000", \
+                        "0.2911318000, 0.3079067000, 0.3544424000, 0.4860429000, 0.8721848000, 1.9472399000, 4.9195408000", \
+                        "0.4259548000, 0.4474887000, 0.5040463000, 0.6501512000, 0.9676384000, 1.9518542000, 4.9255853000", \
+                        "0.7323279000, 0.7700376000, 0.8588059000, 1.0617515000, 1.5036810000, 2.4039808000, 4.9907696000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0743606000, 0.0793534000, 0.0926563000, 0.1268018000, 0.2122294000, 0.4293850000, 1.0054254000", \
+                        "0.0802050000, 0.0852279000, 0.0985030000, 0.1328394000, 0.2187777000, 0.4362774000, 1.0129428000", \
+                        "0.1009124000, 0.1057225000, 0.1187485000, 0.1528448000, 0.2393662000, 0.4566589000, 1.0336175000", \
+                        "0.1687226000, 0.1732690000, 0.1850163000, 0.2151411000, 0.2998395000, 0.5176983000, 1.0953103000", \
+                        "0.3088722000, 0.3160873000, 0.3346539000, 0.3793152000, 0.4767762000, 0.6885548000, 1.2652729000", \
+                        "0.5415908000, 0.5534875000, 0.5845003000, 0.6600824000, 0.8241648000, 1.1468836000, 1.7576649000", \
+                        "0.8933842000, 0.9134224000, 0.9663753000, 1.0950597000, 1.3811596000, 1.9540392000, 2.9648559000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0372059000, 0.0403324000, 0.0485524000, 0.0699552000, 0.1279477000, 0.2874988000, 0.7336983000", \
+                        "0.0444224000, 0.0475869000, 0.0560277000, 0.0775634000, 0.1360334000, 0.2965998000, 0.7424771000", \
+                        "0.0681505000, 0.0710971000, 0.0789697000, 0.1011451000, 0.1601276000, 0.3199976000, 0.7684259000", \
+                        "0.1164015000, 0.1222826000, 0.1364759000, 0.1677212000, 0.2273746000, 0.3867505000, 0.8327717000", \
+                        "0.1964549000, 0.2079675000, 0.2352012000, 0.2946106000, 0.4041190000, 0.5851823000, 1.0335334000", \
+                        "0.3237026000, 0.3440505000, 0.3949685000, 0.5108361000, 0.7178741000, 1.0550300000, 1.5890791000", \
+                        "0.5195590000, 0.5556320000, 0.6471177000, 0.8521877000, 1.2400838000, 1.8948527000, 2.8939102000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0822774000, 0.0875076000, 0.1022011000, 0.1418520000, 0.2450667000, 0.5214995000, 1.2822488000", \
+                        "0.0827845000, 0.0883085000, 0.1027685000, 0.1422645000, 0.2456371000, 0.5208670000, 1.2839729000", \
+                        "0.0794572000, 0.0851909000, 0.1009337000, 0.1416630000, 0.2456667000, 0.5207979000, 1.2829383000", \
+                        "0.0887004000, 0.0927536000, 0.1052928000, 0.1408194000, 0.2421955000, 0.5208293000, 1.2821880000", \
+                        "0.1409070000, 0.1482677000, 0.1632779000, 0.2007342000, 0.2824156000, 0.5239420000, 1.2824198000", \
+                        "0.2588835000, 0.2689471000, 0.2951951000, 0.3553764000, 0.4782173000, 0.7133187000, 1.3311400000", \
+                        "0.4955644000, 0.5139343000, 0.5592444000, 0.6690388000, 0.8814543000, 1.2665270000, 1.9623756000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
+                    values("0.0358351000, 0.0397851000, 0.0509867000, 0.0822096000, 0.1691097000, 0.4042733000, 1.0479837000", \
+                        "0.0358422000, 0.0399130000, 0.0510483000, 0.0828852000, 0.1689970000, 0.4045474000, 1.0474895000", \
+                        "0.0398437000, 0.0430491000, 0.0524087000, 0.0826652000, 0.1691082000, 0.4043480000, 1.0483872000", \
+                        "0.0777845000, 0.0801165000, 0.0868170000, 0.1035441000, 0.1744753000, 0.4043184000, 1.0477106000", \
+                        "0.1775263000, 0.1786696000, 0.1844571000, 0.2052387000, 0.2603187000, 0.4301826000, 1.0483681000", \
+                        "0.4058197000, 0.4067082000, 0.4138244000, 0.4375927000, 0.5152332000, 0.6884426000, 1.1377861000", \
+                        "0.9296230000, 0.9276488000, 0.9272477000, 0.9534447000, 1.0693874000, 1.3472944000, 1.8604083000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2_0 */
+
+/* removed sky130_fd_sc_hd__or2_1 */
+
+    cell ("sky130_fd_sc_hd__or2_2") {
+        leakage_power () {
+            value : 4.5346749000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 7.5366460000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 1.6048545000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 2.8866911000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__or2";
+        cell_leakage_power : 4.1407170000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013690000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0012892000, 0.0012828000, 0.0012769000, 0.0012703000, 0.0012641000, 0.0012581000, 0.0012534000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001170600, -0.001207100, -0.001276300, -0.001295700, -0.001316900, -0.001343000, -0.001382700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014880000;
+        }
+        pin ("B") {
+            capacitance : 0.0013490000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012800000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0008859000, 0.0008788000, 0.0008711000, 0.0008671000, 0.0008677000, 0.0008811000, 0.0009311000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000597300, -0.000618600, -0.000657200, -0.000668700, -0.000679700, -0.000689300, -0.000694800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014170000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498820, 0.0054442200, 0.0179646400, 0.0592790700, 0.1956069000, 0.6454567000");
+                    values("0.0093365000, 0.0079303000, 0.0037251000, -0.010881000, -0.062366800, -0.236405700, -0.812189900", \
+                        "0.0095779000, 0.0079782000, 0.0036849000, -0.010945700, -0.062455500, -0.236462500, -0.812195500", \
+                        "0.0093471000, 0.0077810000, 0.0035615000, -0.011100900, -0.062561800, -0.236573700, -0.812294700", \
+                        "0.0090520000, 0.0076420000, 0.0034305000, -0.011221600, -0.062722300, -0.236730700, -0.812462600", \
+                        "0.0089041000, 0.0075092000, 0.0033262000, -0.011361900, -0.062914800, -0.236867300, -0.812558000", \
+                        "0.0088827000, 0.0075064000, 0.0032326000, -0.011470500, -0.063112400, -0.237005800, -0.812617400", \
+                        "0.0120476000, 0.0103342000, 0.0053169000, -0.010716900, -0.063191600, -0.237130400, -0.812649400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498820, 0.0054442200, 0.0179646400, 0.0592790700, 0.1956069000, 0.6454567000");
+                    values("0.0121014000, 0.0137247000, 0.0188752000, 0.0350869000, 0.0875882000, 0.2610976000, 0.8325355000", \
+                        "0.0120678000, 0.0136809000, 0.0188934000, 0.0351413000, 0.0876495000, 0.2612789000, 0.8300060000", \
+                        "0.0121159000, 0.0137404000, 0.0189088000, 0.0351306000, 0.0876910000, 0.2612922000, 0.8312277000", \
+                        "0.0119861000, 0.0135811000, 0.0187578000, 0.0349662000, 0.0875642000, 0.2612050000, 0.8329200000", \
+                        "0.0118276000, 0.0133798000, 0.0185470000, 0.0345285000, 0.0872352000, 0.2609927000, 0.8328207000", \
+                        "0.0121000000, 0.0135952000, 0.0184524000, 0.0345669000, 0.0872392000, 0.2606113000, 0.8326020000", \
+                        "0.0126210000, 0.0140634000, 0.0189069000, 0.0349531000, 0.0874089000, 0.2612201000, 0.8309996000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498820, 0.0054442200, 0.0179646400, 0.0592790700, 0.1956069000, 0.6454567000");
+                    values("0.0089260000, 0.0075044000, 0.0033495000, -0.011363100, -0.062847500, -0.236827100, -0.812512000", \
+                        "0.0088199000, 0.0076913000, 0.0032129000, -0.011425600, -0.062881900, -0.236866600, -0.812646500", \
+                        "0.0087360000, 0.0073397000, 0.0031020000, -0.011538800, -0.063046900, -0.236982500, -0.812694600", \
+                        "0.0086984000, 0.0073222000, 0.0030399000, -0.011635600, -0.063184500, -0.237110600, -0.812815700", \
+                        "0.0085153000, 0.0071115000, 0.0029150000, -0.011820300, -0.063359700, -0.237263200, -0.812893100", \
+                        "0.0086066000, 0.0071862000, 0.0028522000, -0.011984300, -0.063492700, -0.237407800, -0.812977300", \
+                        "0.0127145000, 0.0109940000, 0.0057669000, -0.010572900, -0.063338200, -0.237326600, -0.812826500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498820, 0.0054442200, 0.0179646400, 0.0592790700, 0.1956069000, 0.6454567000");
+                    values("0.0110501000, 0.0127123000, 0.0178996000, 0.0342618000, 0.0868605000, 0.2599774000, 0.8284912000", \
+                        "0.0110934000, 0.0127526000, 0.0179922000, 0.0342712000, 0.0868471000, 0.2594285000, 0.8328203000", \
+                        "0.0111003000, 0.0127566000, 0.0179807000, 0.0342679000, 0.0869814000, 0.2598862000, 0.8286422000", \
+                        "0.0110088000, 0.0125970000, 0.0177380000, 0.0340403000, 0.0866805000, 0.2596593000, 0.8286959000", \
+                        "0.0107357000, 0.0122813000, 0.0173961000, 0.0334614000, 0.0861318000, 0.2590786000, 0.8281395000", \
+                        "0.0109494000, 0.0124345000, 0.0174255000, 0.0336599000, 0.0862486000, 0.2582494000, 0.8306716000", \
+                        "0.0114987000, 0.0130691000, 0.0177801000, 0.0337199000, 0.0861374000, 0.2588888000, 0.8270913000");
+                }
+            }
+            max_capacitance : 0.1904400000;
+            max_transition : 1.5045900000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.5962110000, 0.6160599000, 0.6628484000, 0.7566725000, 0.9444908000, 1.3908936000, 2.7482383000", \
+                        "0.6029655000, 0.6222668000, 0.6692271000, 0.7634767000, 0.9512551000, 1.3970719000, 2.7533220000", \
+                        "0.6238455000, 0.6436649000, 0.6897512000, 0.7837093000, 0.9721448000, 1.4178581000, 2.7713378000", \
+                        "0.6835764000, 0.7035471000, 0.7495733000, 0.8432863000, 1.0300344000, 1.4776222000, 2.8318472000", \
+                        "0.8527535000, 0.8723247000, 0.9186957000, 1.0122301000, 1.2004346000, 1.6468105000, 3.0033027000", \
+                        "1.3116246000, 1.3333877000, 1.3825319000, 1.4804860000, 1.6707987000, 2.1196636000, 3.4778691000", \
+                        "2.2630329000, 2.2904374000, 2.3550222000, 2.4777940000, 2.7022506000, 3.1826297000, 4.5437702000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.1269923000, 0.1372659000, 0.1644676000, 0.2378889000, 0.4653762000, 1.2125128000, 3.6713701000", \
+                        "0.1352115000, 0.1454472000, 0.1727825000, 0.2463079000, 0.4738539000, 1.2191225000, 3.6794290000", \
+                        "0.1593665000, 0.1695894000, 0.1967134000, 0.2701960000, 0.4979021000, 1.2434478000, 3.7037797000", \
+                        "0.2270596000, 0.2372473000, 0.2642118000, 0.3375931000, 0.5657382000, 1.3120384000, 3.7724903000", \
+                        "0.3777275000, 0.3895921000, 0.4201034000, 0.4950181000, 0.7228521000, 1.4707017000, 3.9307317000", \
+                        "0.6343425000, 0.6523060000, 0.6926565000, 0.7773954000, 1.0065704000, 1.7505752000, 4.2112549000", \
+                        "1.0157116000, 1.0441190000, 1.1099818000, 1.2304553000, 1.4710803000, 2.2150537000, 4.6639199000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.0938383000, 0.1046403000, 0.1316442000, 0.1934056000, 0.3559737000, 0.8744474000, 2.7054015000", \
+                        "0.0929914000, 0.1035284000, 0.1303017000, 0.1927081000, 0.3545148000, 0.8770966000, 2.7017373000", \
+                        "0.0929647000, 0.1040060000, 0.1297211000, 0.1912692000, 0.3544879000, 0.8761090000, 2.7154226000", \
+                        "0.0931163000, 0.1040033000, 0.1299663000, 0.1915068000, 0.3571028000, 0.8777176000, 2.7088169000", \
+                        "0.0934289000, 0.1045048000, 0.1295751000, 0.1948760000, 0.3551476000, 0.8774609000, 2.7078659000", \
+                        "0.1051846000, 0.1160641000, 0.1428655000, 0.2012836000, 0.3611653000, 0.8786772000, 2.7047629000", \
+                        "0.1556899000, 0.1708274000, 0.1989163000, 0.2633941000, 0.4296221000, 0.9196149000, 2.7122098000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.0327495000, 0.0416656000, 0.0695024000, 0.1625222000, 0.4806424000, 1.5345103000, 5.0097184000", \
+                        "0.0326699000, 0.0417295000, 0.0693162000, 0.1620425000, 0.4811834000, 1.5371819000, 5.0019091000", \
+                        "0.0325072000, 0.0418310000, 0.0692982000, 0.1621461000, 0.4812510000, 1.5370109000, 5.0024553000", \
+                        "0.0331249000, 0.0420852000, 0.0696427000, 0.1625128000, 0.4809816000, 1.5378485000, 5.0087889000", \
+                        "0.0432367000, 0.0518644000, 0.0779549000, 0.1659025000, 0.4809175000, 1.5374576000, 5.0111155000", \
+                        "0.0711664000, 0.0804139000, 0.1043293000, 0.1829182000, 0.4848665000, 1.5358481000, 5.0091669000", \
+                        "0.1282663000, 0.1421339000, 0.1729431000, 0.2431177000, 0.5044574000, 1.5440312000, 4.9929008000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.5618349000, 0.5820047000, 0.6283704000, 0.7221222000, 0.9103010000, 1.3563777000, 2.7129354000", \
+                        "0.5658346000, 0.5862752000, 0.6321672000, 0.7263958000, 0.9141122000, 1.3606278000, 2.7177445000", \
+                        "0.5822734000, 0.6022037000, 0.6483195000, 0.7426454000, 0.9303335000, 1.3760790000, 2.7308389000", \
+                        "0.6395679000, 0.6597153000, 0.7060753000, 0.8007381000, 0.9887672000, 1.4344743000, 2.7914553000", \
+                        "0.8183043000, 0.8379968000, 0.8835540000, 0.9786202000, 1.1667682000, 1.6138584000, 2.9721270000", \
+                        "1.3147327000, 1.3365883000, 1.3862316000, 1.4836673000, 1.6723227000, 2.1204849000, 3.4788687000", \
+                        "2.2557445000, 2.2873079000, 2.3577365000, 2.4907039000, 2.7192597000, 3.1953278000, 4.5530864000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.1235992000, 0.1340975000, 0.1615374000, 0.2353873000, 0.4633113000, 1.2119619000, 3.6592445000", \
+                        "0.1324368000, 0.1429376000, 0.1703894000, 0.2441508000, 0.4726662000, 1.2176400000, 3.6809296000", \
+                        "0.1567483000, 0.1672167000, 0.1947198000, 0.2683243000, 0.4960748000, 1.2454453000, 3.6941463000", \
+                        "0.2249402000, 0.2351177000, 0.2623622000, 0.3361452000, 0.5644450000, 1.3081253000, 3.7618912000", \
+                        "0.3757084000, 0.3879600000, 0.4186591000, 0.4937923000, 0.7227153000, 1.4664547000, 3.9171866000", \
+                        "0.6319180000, 0.6503560000, 0.6944852000, 0.7815808000, 1.0116878000, 1.7539015000, 4.2039474000", \
+                        "1.0310918000, 1.0608631000, 1.1287588000, 1.2528316000, 1.4949590000, 2.2361062000, 4.6823825000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.0937955000, 0.1035915000, 0.1294924000, 0.1916327000, 0.3540975000, 0.8771465000, 2.7016975000", \
+                        "0.0931768000, 0.1044318000, 0.1300385000, 0.1935879000, 0.3556205000, 0.8760813000, 2.7049558000", \
+                        "0.0931320000, 0.1041318000, 0.1297052000, 0.1937313000, 0.3550402000, 0.8770946000, 2.7085216000", \
+                        "0.0935212000, 0.1036339000, 0.1293456000, 0.1932269000, 0.3545819000, 0.8765156000, 2.7018390000", \
+                        "0.0938175000, 0.1047544000, 0.1302159000, 0.1942038000, 0.3550960000, 0.8772598000, 2.7018889000", \
+                        "0.1103730000, 0.1195928000, 0.1445092000, 0.2029419000, 0.3620177000, 0.8763339000, 2.7047407000", \
+                        "0.1866086000, 0.2000330000, 0.2332365000, 0.2879560000, 0.4359528000, 0.9188284000, 2.7120897000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016498800, 0.0054442200, 0.0179646000, 0.0592791000, 0.1956070000, 0.6454570000");
+                    values("0.0329059000, 0.0417750000, 0.0696019000, 0.1623317000, 0.4806688000, 1.5420535000, 5.0075966000", \
+                        "0.0328009000, 0.0417172000, 0.0694824000, 0.1622234000, 0.4810446000, 1.5365550000, 5.0214437000", \
+                        "0.0328121000, 0.0417552000, 0.0694886000, 0.1622996000, 0.4817262000, 1.5386515000, 5.0068341000", \
+                        "0.0334677000, 0.0424788000, 0.0699011000, 0.1623168000, 0.4799435000, 1.5353399000, 5.0066826000", \
+                        "0.0444012000, 0.0527573000, 0.0789841000, 0.1669798000, 0.4808554000, 1.5357422000, 5.0072750000", \
+                        "0.0750305000, 0.0839661000, 0.1076215000, 0.1851620000, 0.4847819000, 1.5312392000, 5.0188231000", \
+                        "0.1355444000, 0.1500998000, 0.1821595000, 0.2519010000, 0.5098431000, 1.5412593000, 4.9997997000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or2_4") {
+        leakage_power () {
+            value : 5.9493370000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 7.8155855000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 3.4813905000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 4.3302890000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__or2";
+        cell_leakage_power : 5.3941510000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023310000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0031495000, 0.0031333000, 0.0031139000, 0.0031005000, 0.0030886000, 0.0030811000, 0.0030855000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002778000, -0.002863100, -0.003058700, -0.003086300, -0.003120000, -0.003171000, -0.003270500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025380000;
+        }
+        pin ("B") {
+            capacitance : 0.0023950000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0020616000, 0.0020425000, 0.0020146000, 0.0020062000, 0.0020085000, 0.0020408000, 0.0021574000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001491100, -0.001505300, -0.001513500, -0.001530900, -0.001547600, -0.001562600, -0.001572700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025340000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
+                    values("0.0185649000, 0.0169850000, 0.0118554000, -0.007339000, -0.083234000, -0.366338300, -1.391537600", \
+                        "0.0185012000, 0.0172411000, 0.0117598000, -0.007394200, -0.083277100, -0.366438800, -1.391522600", \
+                        "0.0184108000, 0.0168179000, 0.0115521000, -0.007608700, -0.083413800, -0.366536600, -1.391741000", \
+                        "0.0182493000, 0.0166898000, 0.0114019000, -0.007813500, -0.083642700, -0.366735900, -1.391915700", \
+                        "0.0180665000, 0.0164852000, 0.0112069000, -0.008060300, -0.084014700, -0.366943100, -1.391935900", \
+                        "0.0192060000, 0.0175108000, 0.0115564000, -0.008863200, -0.084558800, -0.367224400, -1.392016200", \
+                        "0.0232202000, 0.0214407000, 0.0152402000, -0.006533100, -0.084602500, -0.367517400, -1.392016000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
+                    values("0.0238005000, 0.0256843000, 0.0322278000, 0.0546072000, 0.1329361000, 0.4156683000, 1.4291066000", \
+                        "0.0238169000, 0.0256539000, 0.0321534000, 0.0546099000, 0.1329615000, 0.4137436000, 1.4303176000", \
+                        "0.0239162000, 0.0257671000, 0.0322852000, 0.0546171000, 0.1330524000, 0.4156149000, 1.4292781000", \
+                        "0.0237504000, 0.0256042000, 0.0320998000, 0.0544266000, 0.1328187000, 0.4138654000, 1.4332404000", \
+                        "0.0234477000, 0.0252311000, 0.0316046000, 0.0535857000, 0.1323088000, 0.4135223000, 1.4289967000", \
+                        "0.0239889000, 0.0256869000, 0.0317703000, 0.0538090000, 0.1319404000, 0.4146975000, 1.4299435000", \
+                        "0.0254138000, 0.0270739000, 0.0330250000, 0.0546476000, 0.1327146000, 0.4143933000, 1.4283716000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
+                    values("0.0181162000, 0.0164960000, 0.0110330000, -0.008142400, -0.083896500, -0.366953300, -1.392029400", \
+                        "0.0177159000, 0.0162245000, 0.0108929000, -0.008279600, -0.084015900, -0.367054900, -1.392231300", \
+                        "0.0175860000, 0.0160056000, 0.0109235000, -0.008312000, -0.084164500, -0.367133200, -1.392208800", \
+                        "0.0174007000, 0.0158482000, 0.0105678000, -0.008650500, -0.084454900, -0.367367300, -1.392417300", \
+                        "0.0179165000, 0.0163288000, 0.0106623000, -0.008736200, -0.084724700, -0.367668900, -1.392562400", \
+                        "0.0189780000, 0.0172696000, 0.0112386000, -0.009656900, -0.085341100, -0.368002300, -1.392674900", \
+                        "0.0234481000, 0.0215895000, 0.0152671000, -0.005842600, -0.084158100, -0.367502600, -1.391936700");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
+                    values("0.0213995000, 0.0232819000, 0.0299032000, 0.0525262000, 0.1313885000, 0.4150743000, 1.4269397000", \
+                        "0.0214617000, 0.0233119000, 0.0299570000, 0.0526616000, 0.1313141000, 0.4101262000, 1.4332405000", \
+                        "0.0213690000, 0.0232420000, 0.0298646000, 0.0524994000, 0.1311536000, 0.4131464000, 1.4344247000", \
+                        "0.0211470000, 0.0229615000, 0.0295325000, 0.0520243000, 0.1306657000, 0.4096925000, 1.4252739000", \
+                        "0.0207721000, 0.0225460000, 0.0290537000, 0.0511330000, 0.1294000000, 0.4090765000, 1.4244217000", \
+                        "0.0211557000, 0.0228788000, 0.0289042000, 0.0511063000, 0.1294379000, 0.4095105000, 1.4232745000", \
+                        "0.0228951000, 0.0244778000, 0.0303843000, 0.0521082000, 0.1302054000, 0.4121314000, 1.4301344000");
+                }
+            }
+            max_capacitance : 0.3268530000;
+            max_transition : 1.4995980000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.4123867000, 0.4223942000, 0.4498653000, 0.5128806000, 0.6528355000, 1.0128693000, 2.2236610000", \
+                        "0.4202439000, 0.4301939000, 0.4580226000, 0.5215282000, 0.6597940000, 1.0208100000, 2.2328011000", \
+                        "0.4433619000, 0.4532872000, 0.4809615000, 0.5446674000, 0.6837352000, 1.0436876000, 2.2561484000", \
+                        "0.5038409000, 0.5138418000, 0.5415042000, 0.6050135000, 0.7440571000, 1.1038176000, 2.3158215000", \
+                        "0.6709863000, 0.6810181000, 0.7087332000, 0.7725325000, 0.9115252000, 1.2722904000, 2.4845930000", \
+                        "1.0775986000, 1.0893387000, 1.1212247000, 1.1932327000, 1.3428230000, 1.7102132000, 2.9207532000", \
+                        "1.8578707000, 1.8746436000, 1.9185866000, 2.0137052000, 2.1977586000, 2.5958715000, 3.8047401000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.1480183000, 0.1553879000, 0.1773670000, 0.2394665000, 0.4395526000, 1.1536960000, 3.7203960000", \
+                        "0.1558667000, 0.1631239000, 0.1851162000, 0.2474776000, 0.4482879000, 1.1598571000, 3.7233014000", \
+                        "0.1798592000, 0.1871108000, 0.2090625000, 0.2712512000, 0.4715451000, 1.1854580000, 3.7516479000", \
+                        "0.2474806000, 0.2547513000, 0.2766489000, 0.3385251000, 0.5395380000, 1.2504913000, 3.8171049000", \
+                        "0.4083275000, 0.4167014000, 0.4405332000, 0.5048852000, 0.7057174000, 1.4178283000, 3.9869592000", \
+                        "0.6872905000, 0.6990707000, 0.7312956000, 0.8055712000, 1.0109182000, 1.7202619000, 4.2850372000", \
+                        "1.1173141000, 1.1367396000, 1.1865794000, 1.2932927000, 1.5163650000, 2.2244169000, 4.7842579000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.0674086000, 0.0731814000, 0.0884902000, 0.1315674000, 0.2536633000, 0.6844124000, 2.3262654000", \
+                        "0.0670109000, 0.0729837000, 0.0893703000, 0.1317593000, 0.2550474000, 0.6842964000, 2.3328874000", \
+                        "0.0676822000, 0.0731883000, 0.0881456000, 0.1319589000, 0.2536622000, 0.6844469000, 2.3269351000", \
+                        "0.0671622000, 0.0726364000, 0.0885143000, 0.1309088000, 0.2537027000, 0.6834613000, 2.3268452000", \
+                        "0.0672881000, 0.0729944000, 0.0880366000, 0.1319339000, 0.2541942000, 0.6836827000, 2.3269033000", \
+                        "0.0866599000, 0.0914992000, 0.1078589000, 0.1497355000, 0.2695191000, 0.6892604000, 2.3276119000", \
+                        "0.1395930000, 0.1472226000, 0.1670257000, 0.2118044000, 0.3291891000, 0.7291475000, 2.3304543000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.0363864000, 0.0424289000, 0.0629850000, 0.1356345000, 0.4083605000, 1.4073062000, 4.9965956000", \
+                        "0.0364070000, 0.0426096000, 0.0631537000, 0.1355578000, 0.4090081000, 1.4081757000, 4.9959308000", \
+                        "0.0364159000, 0.0426721000, 0.0629621000, 0.1356346000, 0.4084860000, 1.4059471000, 4.9906017000", \
+                        "0.0364029000, 0.0426246000, 0.0628542000, 0.1356964000, 0.4092467000, 1.4032279000, 4.9909339000", \
+                        "0.0455536000, 0.0512955000, 0.0711157000, 0.1396420000, 0.4091853000, 1.4073908000, 4.9982480000", \
+                        "0.0733551000, 0.0795219000, 0.0977020000, 0.1607437000, 0.4152914000, 1.4046720000, 4.9943583000", \
+                        "0.1314735000, 0.1390478000, 0.1633679000, 0.2224243000, 0.4408799000, 1.4196837000, 4.9979620000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.3861430000, 0.3961681000, 0.4237141000, 0.4878556000, 0.6260874000, 0.9868922000, 2.2020266000", \
+                        "0.3912032000, 0.4013151000, 0.4289194000, 0.4925148000, 0.6318859000, 0.9918362000, 2.2040711000", \
+                        "0.4089899000, 0.4190100000, 0.4466387000, 0.5107009000, 0.6494713000, 1.0100043000, 2.2249409000", \
+                        "0.4668385000, 0.4769792000, 0.5046837000, 0.5682766000, 0.7067912000, 1.0680075000, 2.2793754000", \
+                        "0.6393778000, 0.6493792000, 0.6770108000, 0.7409796000, 0.8798352000, 1.2410536000, 2.4537490000", \
+                        "1.0521044000, 1.0647762000, 1.0986899000, 1.1715225000, 1.3206705000, 1.6806169000, 2.8930047000", \
+                        "1.7327243000, 1.7515762000, 1.8025696000, 1.9077393000, 2.0987181000, 2.4921094000, 3.7086454000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.1418385000, 0.1493358000, 0.1715721000, 0.2344047000, 0.4353007000, 1.1479570000, 3.7076135000", \
+                        "0.1506925000, 0.1580595000, 0.1803383000, 0.2431779000, 0.4443117000, 1.1579734000, 3.7268437000", \
+                        "0.1747036000, 0.1821364000, 0.2043128000, 0.2670133000, 0.4679137000, 1.1814444000, 3.7537586000", \
+                        "0.2428003000, 0.2501040000, 0.2720470000, 0.3343463000, 0.5350494000, 1.2488012000, 3.8184420000", \
+                        "0.4022274000, 0.4107430000, 0.4353146000, 0.5002235000, 0.7003559000, 1.4185368000, 3.9802361000", \
+                        "0.6810310000, 0.6934587000, 0.7268416000, 0.8042098000, 1.0091187000, 1.7173840000, 4.2814978000", \
+                        "1.1251868000, 1.1453237000, 1.1985262000, 1.3109769000, 1.5411523000, 2.2482018000, 4.7927489000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.0674848000, 0.0729991000, 0.0883189000, 0.1316122000, 0.2542075000, 0.6851287000, 2.3366693000", \
+                        "0.0670547000, 0.0725075000, 0.0879301000, 0.1316883000, 0.2534500000, 0.6844189000, 2.3269870000", \
+                        "0.0673142000, 0.0730301000, 0.0882579000, 0.1316757000, 0.2542855000, 0.6851070000, 2.3356534000", \
+                        "0.0673344000, 0.0728942000, 0.0880579000, 0.1319128000, 0.2548008000, 0.6847864000, 2.3300843000", \
+                        "0.0675556000, 0.0731785000, 0.0892347000, 0.1321072000, 0.2538073000, 0.6840492000, 2.3267654000", \
+                        "0.0985598000, 0.1047016000, 0.1183840000, 0.1564132000, 0.2730575000, 0.6922768000, 2.3265039000", \
+                        "0.1711648000, 0.1792124000, 0.2024170000, 0.2432838000, 0.3481246000, 0.7301708000, 2.3357083000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
+                    values("0.0365263000, 0.0427388000, 0.0627604000, 0.1354208000, 0.4089724000, 1.4126244000, 4.9919309000", \
+                        "0.0363577000, 0.0428579000, 0.0627348000, 0.1352437000, 0.4081110000, 1.4052142000, 5.0048223000", \
+                        "0.0363047000, 0.0424343000, 0.0630314000, 0.1353463000, 0.4088266000, 1.4079669000, 5.0091913000", \
+                        "0.0367920000, 0.0429269000, 0.0633619000, 0.1350739000, 0.4079670000, 1.4029496000, 4.9958753000", \
+                        "0.0469763000, 0.0532223000, 0.0724179000, 0.1402197000, 0.4086856000, 1.4042230000, 4.9884736000", \
+                        "0.0774806000, 0.0837966000, 0.1025627000, 0.1636228000, 0.4160161000, 1.4032875000, 4.9865196000", \
+                        "0.1390407000, 0.1487007000, 0.1738405000, 0.2325074000, 0.4473394000, 1.4171517000, 4.9911071000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2b_1 */
+
+/* removed sky130_fd_sc_hd__or2b_2 */
+
+/* removed sky130_fd_sc_hd__or2b_4 */
+
+/* removed sky130_fd_sc_hd__or3_1 */
+
+    cell ("sky130_fd_sc_hd__or3_2") {
+        leakage_power () {
+            value : 4.4287418000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 7.6350890000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 1.6296533000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 2.8161513000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 1.5994255000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 2.5806448000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 1.4983780000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 1.5829826000;
+            when : "A&B&!C";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__or3";
+        cell_leakage_power : 2.9713830000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014900000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014130000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0012669000, 0.0012623000, 0.0012611000, 0.0012550000, 0.0012494000, 0.0012455000, 0.0012458000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001106300, -0.001126300, -0.001160400, -0.001175200, -0.001194700, -0.001227700, -0.001298600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015670000;
+        }
+        pin ("B") {
+            capacitance : 0.0015640000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014960000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0017635000, 0.0017650000, 0.0017807000, 0.0017743000, 0.0017678000, 0.0017614000, 0.0017549000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001615500, -0.001654800, -0.001743900, -0.001757200, -0.001773500, -0.001798000, -0.001845800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016310000;
+        }
+        pin ("C") {
+            capacitance : 0.0013210000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012480000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0008558000, 0.0008506000, 0.0008476000, 0.0008435000, 0.0008436000, 0.0008554000, 0.0009006000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000590000, -0.000604500, -0.000628200, -0.000637400, -0.000646200, -0.000653700, -0.000657400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0013950000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0105774000, 0.0091102000, 0.0048445000, -0.009877000, -0.061768900, -0.238613100, -0.826617000", \
+                        "0.0105076000, 0.0091132000, 0.0047886000, -0.010099800, -0.061945400, -0.238715800, -0.826833200", \
+                        "0.0103771000, 0.0089446000, 0.0046473000, -0.010043600, -0.061910700, -0.238827300, -0.826894500", \
+                        "0.0102151000, 0.0088621000, 0.0045059000, -0.010348000, -0.062240700, -0.239021900, -0.827114100", \
+                        "0.0101123000, 0.0087565000, 0.0043490000, -0.010494000, -0.062396500, -0.239198500, -0.827197300", \
+                        "0.0101043000, 0.0087117000, 0.0043092000, -0.010549100, -0.062539100, -0.239308100, -0.827302100", \
+                        "0.0136301000, 0.0119907000, 0.0068531000, -0.009461800, -0.062913100, -0.239321300, -0.827330100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0121395000, 0.0137608000, 0.0189616000, 0.0354246000, 0.0886590000, 0.2652279000, 0.8487467000", \
+                        "0.0121138000, 0.0137545000, 0.0189376000, 0.0354758000, 0.0886021000, 0.2653914000, 0.8470698000", \
+                        "0.0121037000, 0.0137147000, 0.0189449000, 0.0353707000, 0.0886778000, 0.2642278000, 0.8488812000", \
+                        "0.0121312000, 0.0137332000, 0.0188873000, 0.0352654000, 0.0885908000, 0.2640470000, 0.8492880000", \
+                        "0.0119155000, 0.0134727000, 0.0186902000, 0.0348435000, 0.0884179000, 0.2651574000, 0.8486722000", \
+                        "0.0122196000, 0.0137306000, 0.0186727000, 0.0349176000, 0.0881798000, 0.2650511000, 0.8468690000", \
+                        "0.0127930000, 0.0143607000, 0.0191706000, 0.0351744000, 0.0884780000, 0.2646782000, 0.8460208000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0096452000, 0.0081670000, 0.0038860000, -0.010729000, -0.062864100, -0.239531500, -0.827385400", \
+                        "0.0095739000, 0.0081612000, 0.0038314000, -0.011033800, -0.062888200, -0.239608400, -0.827601000", \
+                        "0.0094988000, 0.0081034000, 0.0037273000, -0.011124700, -0.063001200, -0.239754500, -0.827795800", \
+                        "0.0093621000, 0.0082946000, 0.0038949000, -0.011114100, -0.063135300, -0.239890800, -0.827918000", \
+                        "0.0092316000, 0.0078290000, 0.0035158000, -0.011265500, -0.063259200, -0.240026900, -0.828012600", \
+                        "0.0093397000, 0.0080527000, 0.0035214000, -0.011378200, -0.063348100, -0.240107100, -0.828082400", \
+                        "0.0127939000, 0.0111063000, 0.0059454000, -0.009937000, -0.063689600, -0.240239500, -0.828116000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0117739000, 0.0134308000, 0.0186221000, 0.0351756000, 0.0886057000, 0.2641298000, 0.8464820000", \
+                        "0.0118237000, 0.0134744000, 0.0186720000, 0.0352344000, 0.0885294000, 0.2642259000, 0.8477267000", \
+                        "0.0118951000, 0.0135398000, 0.0187484000, 0.0352928000, 0.0886945000, 0.2642928000, 0.8470884000", \
+                        "0.0118410000, 0.0134537000, 0.0186589000, 0.0351440000, 0.0885970000, 0.2642625000, 0.8469503000", \
+                        "0.0117427000, 0.0132916000, 0.0183660000, 0.0345475000, 0.0881583000, 0.2641188000, 0.8491624000", \
+                        "0.0118928000, 0.0133979000, 0.0183392000, 0.0346454000, 0.0879971000, 0.2648826000, 0.8460919000", \
+                        "0.0124255000, 0.0138755000, 0.0187666000, 0.0346927000, 0.0881836000, 0.2641574000, 0.8457826000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0092226000, 0.0078073000, 0.0034986000, -0.011380900, -0.063238100, -0.239929900, -0.827960600", \
+                        "0.0091809000, 0.0077733000, 0.0034476000, -0.011413000, -0.063291000, -0.239957000, -0.828005600", \
+                        "0.0090840000, 0.0076810000, 0.0033476000, -0.011491900, -0.063375000, -0.240115200, -0.828117400", \
+                        "0.0089590000, 0.0075542000, 0.0031876000, -0.011627000, -0.063517500, -0.240252900, -0.828206400", \
+                        "0.0088593000, 0.0074709000, 0.0031428000, -0.011668700, -0.063605400, -0.240336600, -0.828363300", \
+                        "0.0089160000, 0.0075107000, 0.0031651000, -0.011799800, -0.063624600, -0.240433300, -0.828402300", \
+                        "0.0130845000, 0.0113572000, 0.0061404000, -0.009904800, -0.063494300, -0.240291900, -0.828192800");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553620, 0.0054804450, 0.0181442400, 0.0600705500, 0.1988770000, 0.6584267000");
+                    values("0.0107633000, 0.0123987000, 0.0176520000, 0.0341502000, 0.0874741000, 0.2646980000, 0.8489802000", \
+                        "0.0108030000, 0.0124550000, 0.0177302000, 0.0341745000, 0.0875199000, 0.2647163000, 0.8488158000", \
+                        "0.0108078000, 0.0124456000, 0.0177144000, 0.0341989000, 0.0879417000, 0.2632774000, 0.8437817000", \
+                        "0.0107142000, 0.0123251000, 0.0175275000, 0.0340170000, 0.0873778000, 0.2636440000, 0.8471335000", \
+                        "0.0105236000, 0.0120668000, 0.0172727000, 0.0335626000, 0.0869754000, 0.2623739000, 0.8442809000", \
+                        "0.0107261000, 0.0122146000, 0.0171574000, 0.0336038000, 0.0868159000, 0.2619484000, 0.8444131000", \
+                        "0.0113158000, 0.0127141000, 0.0175472000, 0.0336311000, 0.0872453000, 0.2635551000, 0.8458322000");
+                }
+            }
+            max_capacitance : 0.1950170000;
+            max_transition : 1.5041630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("1.0218696000, 1.0497967000, 1.1155846000, 1.2428115000, 1.4834155000, 1.9920767000, 3.3743834000", \
+                        "1.0266844000, 1.0548075000, 1.1196034000, 1.2484374000, 1.4886089000, 1.9942936000, 3.3765815000", \
+                        "1.0427016000, 1.0706046000, 1.1364253000, 1.2637874000, 1.5044752000, 2.0132491000, 3.3955274000", \
+                        "1.0976219000, 1.1260120000, 1.1912112000, 1.3186789000, 1.5593677000, 2.0645852000, 3.4467482000", \
+                        "1.2516190000, 1.2799171000, 1.3446069000, 1.4725428000, 1.7123251000, 2.2198647000, 3.6005334000", \
+                        "1.6673630000, 1.6955977000, 1.7604197000, 1.8878132000, 2.1284605000, 2.6369984000, 4.0198440000", \
+                        "2.6177891000, 2.6511484000, 2.7290781000, 2.8781619000, 3.1465002000, 3.6825387000, 5.0796425000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1361915000, 0.1468985000, 0.1748127000, 0.2490145000, 0.4762570000, 1.2191788000, 3.6751922000", \
+                        "0.1444635000, 0.1551893000, 0.1830703000, 0.2573211000, 0.4845963000, 1.2275362000, 3.6825945000", \
+                        "0.1684922000, 0.1791272000, 0.2070851000, 0.2810960000, 0.5074450000, 1.2485933000, 3.7028820000", \
+                        "0.2363258000, 0.2469530000, 0.2744183000, 0.3486278000, 0.5752795000, 1.3165485000, 3.7656251000", \
+                        "0.3947603000, 0.4069306000, 0.4385117000, 0.5138311000, 0.7406762000, 1.4849764000, 3.9404844000", \
+                        "0.6689775000, 0.6874736000, 0.7277351000, 0.8121475000, 1.0401867000, 1.7823298000, 4.2392894000", \
+                        "1.0806965000, 1.1094260000, 1.1743829000, 1.2943849000, 1.5372744000, 2.2795517000, 4.7255484000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1376059000, 0.1514510000, 0.1865183000, 0.2624636000, 0.4426814000, 0.9524694000, 2.7483944000", \
+                        "0.1368358000, 0.1527816000, 0.1884342000, 0.2607499000, 0.4430699000, 0.9557402000, 2.7474243000", \
+                        "0.1375578000, 0.1520545000, 0.1870857000, 0.2621122000, 0.4426480000, 0.9524983000, 2.7485167000", \
+                        "0.1374884000, 0.1509562000, 0.1855952000, 0.2607875000, 0.4425610000, 0.9548595000, 2.7477152000", \
+                        "0.1369057000, 0.1530078000, 0.1871668000, 0.2609861000, 0.4432616000, 0.9545255000, 2.7464679000", \
+                        "0.1375347000, 0.1534009000, 0.1859139000, 0.2641869000, 0.4423594000, 0.9524680000, 2.7443303000", \
+                        "0.1764209000, 0.1950101000, 0.2344783000, 0.3082859000, 0.4940882000, 0.9954149000, 2.7526057000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.0336030000, 0.0426516000, 0.0700997000, 0.1610171000, 0.4756703000, 1.5240160000, 4.9909872000", \
+                        "0.0335848000, 0.0425622000, 0.0700614000, 0.1611361000, 0.4754370000, 1.5256846000, 4.9874793000", \
+                        "0.0334908000, 0.0426401000, 0.0699883000, 0.1613070000, 0.4755684000, 1.5248394000, 4.9882147000", \
+                        "0.0332851000, 0.0426813000, 0.0700162000, 0.1611609000, 0.4757055000, 1.5233416000, 4.9868252000", \
+                        "0.0425617000, 0.0512030000, 0.0770299000, 0.1638389000, 0.4761160000, 1.5243744000, 4.9904014000", \
+                        "0.0689837000, 0.0781549000, 0.1024252000, 0.1814927000, 0.4801091000, 1.5266949000, 4.9883178000", \
+                        "0.1213629000, 0.1355327000, 0.1671454000, 0.2385203000, 0.4981117000, 1.5306618000, 4.9934412000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.9721354000, 0.9993679000, 1.0651026000, 1.1925657000, 1.4327282000, 1.9411819000, 3.3239285000", \
+                        "0.9755277000, 1.0036769000, 1.0690503000, 1.1957754000, 1.4369098000, 1.9435642000, 3.3270615000", \
+                        "0.9908833000, 1.0194212000, 1.0841511000, 1.2120929000, 1.4525990000, 1.9579085000, 3.3401690000", \
+                        "1.0441611000, 1.0725583000, 1.1373434000, 1.2642356000, 1.5055739000, 2.0110775000, 3.3936971000", \
+                        "1.2020376000, 1.2309825000, 1.2953661000, 1.4226894000, 1.6637391000, 2.1724929000, 3.5516508000", \
+                        "1.6634210000, 1.6928189000, 1.7531608000, 1.8806987000, 2.1246065000, 2.6333491000, 4.0171946000", \
+                        "2.7229150000, 2.7562104000, 2.8359772000, 2.9841556000, 3.2552707000, 3.7846011000, 5.1790465000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1326875000, 0.1432271000, 0.1707324000, 0.2444422000, 0.4710409000, 1.2114942000, 3.6641623000", \
+                        "0.1411229000, 0.1516726000, 0.1792016000, 0.2529176000, 0.4797661000, 1.2205780000, 3.6702070000", \
+                        "0.1648721000, 0.1753992000, 0.2029374000, 0.2765984000, 0.5029182000, 1.2442998000, 3.6940135000", \
+                        "0.2330897000, 0.2435143000, 0.2709987000, 0.3444482000, 0.5708157000, 1.3126924000, 3.7615426000", \
+                        "0.3883963000, 0.4009265000, 0.4308197000, 0.5072740000, 0.7339864000, 1.4762527000, 3.9242813000", \
+                        "0.6524858000, 0.6710877000, 0.7120136000, 0.7981824000, 1.0279920000, 1.7681514000, 4.2257451000", \
+                        "1.0445861000, 1.0743353000, 1.1411351000, 1.2634361000, 1.5099576000, 2.2476807000, 4.6964965000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1377009000, 0.1522513000, 0.1872921000, 0.2626059000, 0.4428252000, 0.9530794000, 2.7467382000", \
+                        "0.1367921000, 0.1525618000, 0.1881731000, 0.2624567000, 0.4412767000, 0.9554176000, 2.7434348000", \
+                        "0.1369434000, 0.1509402000, 0.1857688000, 0.2611125000, 0.4426133000, 0.9549035000, 2.7477052000", \
+                        "0.1367814000, 0.1530781000, 0.1860628000, 0.2610557000, 0.4428547000, 0.9563745000, 2.7471332000", \
+                        "0.1376938000, 0.1529090000, 0.1870082000, 0.2613401000, 0.4429157000, 0.9542238000, 2.7468818000", \
+                        "0.1375945000, 0.1531316000, 0.1872369000, 0.2637871000, 0.4431707000, 0.9521288000, 2.7468554000", \
+                        "0.1863041000, 0.2044546000, 0.2402950000, 0.3204420000, 0.4970723000, 0.9922621000, 2.7539997000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.0326266000, 0.0412716000, 0.0688148000, 0.1598920000, 0.4751748000, 1.5234435000, 4.9936539000", \
+                        "0.0325948000, 0.0412581000, 0.0688159000, 0.1598764000, 0.4754983000, 1.5250173000, 4.9921236000", \
+                        "0.0325739000, 0.0412684000, 0.0687955000, 0.1598801000, 0.4760622000, 1.5246671000, 4.9924238000", \
+                        "0.0328071000, 0.0416700000, 0.0689639000, 0.1595269000, 0.4762935000, 1.5252661000, 4.9917022000", \
+                        "0.0426255000, 0.0512965000, 0.0774280000, 0.1632839000, 0.4756409000, 1.5258945000, 4.9896882000", \
+                        "0.0696555000, 0.0794781000, 0.1039186000, 0.1815920000, 0.4795100000, 1.5263033000, 4.9834279000", \
+                        "0.1251798000, 0.1394849000, 0.1718445000, 0.2420447000, 0.4998708000, 1.5365691000, 4.9943658000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.8983218000, 0.9255164000, 0.9915959000, 1.1184832000, 1.3595696000, 1.8665989000, 3.2502652000", \
+                        "0.9004419000, 0.9288363000, 0.9940683000, 1.1218031000, 1.3621939000, 1.8685342000, 3.2512195000", \
+                        "0.9125393000, 0.9405905000, 1.0062861000, 1.1333119000, 1.3738733000, 1.8791033000, 3.2631458000", \
+                        "0.9600143000, 0.9881781000, 1.0532838000, 1.1810638000, 1.4214813000, 1.9298070000, 3.3099392000", \
+                        "1.1213150000, 1.1498072000, 1.2140687000, 1.3430622000, 1.5842710000, 2.0926239000, 3.4759213000", \
+                        "1.6000500000, 1.6283007000, 1.6936273000, 1.8202181000, 2.0587407000, 2.5671820000, 3.9514543000", \
+                        "2.6649731000, 2.7021436000, 2.7843192000, 2.9436047000, 3.2116287000, 3.7443786000, 5.1391496000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1295699000, 0.1403860000, 0.1683773000, 0.2423025000, 0.4681037000, 1.2090295000, 3.6679559000", \
+                        "0.1386235000, 0.1493774000, 0.1772941000, 0.2511723000, 0.4770432000, 1.2182585000, 3.6746433000", \
+                        "0.1629495000, 0.1736680000, 0.2017363000, 0.2754678000, 0.5020455000, 1.2419518000, 3.6910472000", \
+                        "0.2317986000, 0.2419919000, 0.2696404000, 0.3433469000, 0.5696720000, 1.3138887000, 3.7631485000", \
+                        "0.3874446000, 0.4000937000, 0.4314852000, 0.5076575000, 0.7336041000, 1.4779379000, 3.9244892000", \
+                        "0.6555086000, 0.6746651000, 0.7175565000, 0.8063649000, 1.0354122000, 1.7728919000, 4.2228629000", \
+                        "1.0676592000, 1.0983567000, 1.1679233000, 1.2954536000, 1.5453628000, 2.2858513000, 4.7156137000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.1377229000, 0.1522700000, 0.1876944000, 0.2624771000, 0.4413948000, 0.9552353000, 2.7416078000", \
+                        "0.1367826000, 0.1526050000, 0.1875883000, 0.2642715000, 0.4429706000, 0.9567889000, 2.7467071000", \
+                        "0.1368712000, 0.1530029000, 0.1881229000, 0.2609793000, 0.4426348000, 0.9558797000, 2.7459579000", \
+                        "0.1368976000, 0.1526957000, 0.1861350000, 0.2610074000, 0.4424513000, 0.9537536000, 2.7467371000", \
+                        "0.1375849000, 0.1524919000, 0.1869059000, 0.2608336000, 0.4425566000, 0.9524295000, 2.7457148000", \
+                        "0.1381890000, 0.1533319000, 0.1884175000, 0.2638081000, 0.4457577000, 0.9537605000, 2.7419538000", \
+                        "0.2103113000, 0.2263698000, 0.2626628000, 0.3328451000, 0.5011632000, 0.9901314000, 2.7563951000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016553600, 0.0054804400, 0.0181442000, 0.0600705000, 0.1988770000, 0.6584270000");
+                    values("0.0328961000, 0.0422027000, 0.0693344000, 0.1602151000, 0.4747409000, 1.5332309000, 4.9936961000", \
+                        "0.0330874000, 0.0421512000, 0.0693785000, 0.1601613000, 0.4747894000, 1.5327670000, 4.9936784000", \
+                        "0.0330790000, 0.0419599000, 0.0693602000, 0.1599255000, 0.4754003000, 1.5234621000, 4.9813313000", \
+                        "0.0332805000, 0.0428023000, 0.0702914000, 0.1602209000, 0.4743267000, 1.5295401000, 4.9838595000", \
+                        "0.0439799000, 0.0524434000, 0.0782948000, 0.1642041000, 0.4749515000, 1.5297115000, 4.9926823000", \
+                        "0.0735359000, 0.0829912000, 0.1075371000, 0.1842160000, 0.4796757000, 1.5240458000, 4.9926663000", \
+                        "0.1336737000, 0.1463039000, 0.1806525000, 0.2501710000, 0.5045441000, 1.5325007000, 4.9856972000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or3_4") {
+        leakage_power () {
+            value : 6.2076815000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 7.7314510000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 3.6738055000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 4.4470494000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 3.6581305000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 4.2413064000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 3.6229573000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 3.6511116000;
+            when : "A&B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or3";
+        cell_leakage_power : 4.6541870000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024210000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023070000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0031655000, 0.0031497000, 0.0031324000, 0.0031182000, 0.0031054000, 0.0030962000, 0.0030974000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002689800, -0.002739900, -0.002845600, -0.002871500, -0.002909000, -0.002979200, -0.003141500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025350000;
+        }
+        pin ("B") {
+            capacitance : 0.0023670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022290000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0031206000, 0.0031042000, 0.0030851000, 0.0030694000, 0.0030522000, 0.0030308000, 0.0029977000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002691000, -0.002776500, -0.002982300, -0.003004800, -0.003033500, -0.003079500, -0.003174100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025060000;
+        }
+        pin ("C") {
+            capacitance : 0.0023220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021570000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0021147000, 0.0020962000, 0.0020712000, 0.0020629000, 0.0020669000, 0.0021051000, 0.0022400000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001465100, -0.001484000, -0.001506500, -0.001523300, -0.001540200, -0.001557100, -0.001574400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024880000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0202598000, 0.0186001000, 0.0133003000, -0.006235500, -0.082232600, -0.369741800, -1.417190300", \
+                        "0.0198711000, 0.0182207000, 0.0129980000, -0.006403700, -0.082377200, -0.369657900, -1.417224800", \
+                        "0.0197071000, 0.0181362000, 0.0128143000, -0.006509600, -0.082490800, -0.369912900, -1.417316800", \
+                        "0.0195454000, 0.0178974000, 0.0126583000, -0.006722200, -0.082719500, -0.370060300, -1.417559400", \
+                        "0.0193906000, 0.0177762000, 0.0124574000, -0.006904800, -0.082922900, -0.370269700, -1.417718000", \
+                        "0.0194056000, 0.0177107000, 0.0124338000, -0.007156300, -0.083249800, -0.370528400, -1.417831900", \
+                        "0.0264891000, 0.0246821000, 0.0184053000, -0.003579100, -0.082882700, -0.370841700, -1.417895700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0249123000, 0.0267760000, 0.0333892000, 0.0561927000, 0.1359175000, 0.4238051000, 1.4608857000", \
+                        "0.0248778000, 0.0267556000, 0.0332897000, 0.0562064000, 0.1359512000, 0.4222740000, 1.4636669000", \
+                        "0.0248814000, 0.0267834000, 0.0333972000, 0.0561904000, 0.1360508000, 0.4238967000, 1.4611369000", \
+                        "0.0247541000, 0.0266273000, 0.0332408000, 0.0559987000, 0.1358054000, 0.4220462000, 1.4644068000", \
+                        "0.0245846000, 0.0263689000, 0.0329398000, 0.0551852000, 0.1351012000, 0.4218710000, 1.4630531000", \
+                        "0.0252987000, 0.0270105000, 0.0331843000, 0.0554721000, 0.1347533000, 0.4206462000, 1.4601090000", \
+                        "0.0267453000, 0.0283747000, 0.0343187000, 0.0562172000, 0.1359244000, 0.4235303000, 1.4622008000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0179766000, 0.0163921000, 0.0111225000, -0.007953900, -0.083985000, -0.371539100, -1.418987300", \
+                        "0.0179179000, 0.0163121000, 0.0110751000, -0.008004000, -0.084031200, -0.371581700, -1.419012000", \
+                        "0.0182340000, 0.0166394000, 0.0113150000, -0.008299300, -0.084290900, -0.371693200, -1.419140200", \
+                        "0.0178292000, 0.0161737000, 0.0108941000, -0.008532200, -0.084525200, -0.371865800, -1.419365500", \
+                        "0.0175750000, 0.0159255000, 0.0106939000, -0.008700600, -0.084779500, -0.372060600, -1.419317900", \
+                        "0.0175698000, 0.0159367000, 0.0106309000, -0.008993000, -0.085167900, -0.372340400, -1.419536800", \
+                        "0.0249763000, 0.0231407000, 0.0167812000, -0.005393200, -0.084324200, -0.372399900, -1.419497800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0240290000, 0.0259176000, 0.0324965000, 0.0554452000, 0.1353423000, 0.4235701000, 1.4607570000", \
+                        "0.0241685000, 0.0260390000, 0.0326969000, 0.0555658000, 0.1354157000, 0.4233437000, 1.4597340000", \
+                        "0.0242406000, 0.0261183000, 0.0327449000, 0.0556887000, 0.1355815000, 0.4238289000, 1.4609815000", \
+                        "0.0241749000, 0.0260548000, 0.0326500000, 0.0554920000, 0.1352683000, 0.4236479000, 1.4607590000", \
+                        "0.0238049000, 0.0256020000, 0.0319779000, 0.0544631000, 0.1343880000, 0.4230949000, 1.4604557000", \
+                        "0.0244320000, 0.0261606000, 0.0323323000, 0.0546781000, 0.1341133000, 0.4196250000, 1.4586345000", \
+                        "0.0255730000, 0.0272049000, 0.0331590000, 0.0551209000, 0.1348243000, 0.4222933000, 1.4582365000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0173750000, 0.0157534000, 0.0105050000, -0.008911500, -0.084887500, -0.372154500, -1.419523400", \
+                        "0.0172542000, 0.0156634000, 0.0103525000, -0.008970300, -0.084949900, -0.372155500, -1.419569500", \
+                        "0.0171923000, 0.0156182000, 0.0102506000, -0.009082200, -0.085076900, -0.372350200, -1.419680000", \
+                        "0.0169482000, 0.0152971000, 0.0100482000, -0.009309900, -0.085300900, -0.372521800, -1.419947200", \
+                        "0.0167717000, 0.0151915000, 0.0099178000, -0.009460500, -0.085546400, -0.372767800, -1.420039700", \
+                        "0.0170923000, 0.0154021000, 0.0099657000, -0.009592000, -0.085906800, -0.373045100, -1.420166400", \
+                        "0.0254798000, 0.0235704000, 0.0170471000, -0.005281400, -0.084825200, -0.372840800, -1.419467500");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
+                    values("0.0214749000, 0.0233457000, 0.0300168000, 0.0528447000, 0.1326935000, 0.4195825000, 1.4590580000", \
+                        "0.0215292000, 0.0233801000, 0.0299382000, 0.0529790000, 0.1325982000, 0.4204573000, 1.4591461000", \
+                        "0.0215181000, 0.0233951000, 0.0300900000, 0.0529909000, 0.1325440000, 0.4198668000, 1.4586028000", \
+                        "0.0213560000, 0.0232055000, 0.0297962000, 0.0525547000, 0.1324393000, 0.4194443000, 1.4587756000", \
+                        "0.0208689000, 0.0226660000, 0.0291745000, 0.0516209000, 0.1313829000, 0.4189075000, 1.4590294000", \
+                        "0.0214398000, 0.0231426000, 0.0292926000, 0.0516426000, 0.1312078000, 0.4163983000, 1.4538383000", \
+                        "0.0228939000, 0.0245263000, 0.0304469000, 0.0525143000, 0.1324286000, 0.4180099000, 1.4574983000");
+                }
+            }
+            max_capacitance : 0.3332620000;
+            max_transition : 1.4981200000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.6835815000, 0.6975865000, 0.7351931000, 0.8197691000, 0.9951313000, 1.3988614000, 2.6299036000", \
+                        "0.6906968000, 0.7046335000, 0.7425430000, 0.8272247000, 1.0024712000, 1.4050255000, 2.6354166000", \
+                        "0.7128285000, 0.7268378000, 0.7647627000, 0.8495386000, 1.0246339000, 1.4261072000, 2.6566351000", \
+                        "0.7714193000, 0.7853452000, 0.8231991000, 0.9077858000, 1.0830892000, 1.4854520000, 2.7161426000", \
+                        "0.9240381000, 0.9380101000, 0.9759336000, 1.0602510000, 1.2345767000, 1.6390661000, 2.8705471000", \
+                        "1.3176353000, 1.3323043000, 1.3723919000, 1.4599013000, 1.6362161000, 2.0419197000, 3.2730723000", \
+                        "2.1384414000, 2.1566808000, 2.2058504000, 2.3122182000, 2.5214060000, 2.9625791000, 4.2065103000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.1557638000, 0.1633127000, 0.1859621000, 0.2492082000, 0.4503981000, 1.1625279000, 3.7298227000", \
+                        "0.1639654000, 0.1715644000, 0.1940001000, 0.2573393000, 0.4579451000, 1.1693242000, 3.7327865000", \
+                        "0.1876433000, 0.1952773000, 0.2177682000, 0.2809275000, 0.4814240000, 1.1934694000, 3.7600270000", \
+                        "0.2558169000, 0.2633156000, 0.2857474000, 0.3485918000, 0.5491067000, 1.2589987000, 3.8315067000", \
+                        "0.4239367000, 0.4323204000, 0.4565872000, 0.5200967000, 0.7205793000, 1.4323045000, 3.9953303000", \
+                        "0.7189573000, 0.7307485000, 0.7625892000, 0.8377689000, 1.0426277000, 1.7514962000, 4.3231174000", \
+                        "1.1762344000, 1.1951616000, 1.2450231000, 1.3513064000, 1.5762630000, 2.2847757000, 4.8463016000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.1005671000, 0.1071657000, 0.1272338000, 0.1776442000, 0.3131672000, 0.7333288000, 2.3543731000", \
+                        "0.1007893000, 0.1069825000, 0.1270686000, 0.1772746000, 0.3115863000, 0.7342437000, 2.3534850000", \
+                        "0.1000351000, 0.1069024000, 0.1281062000, 0.1789949000, 0.3133256000, 0.7345471000, 2.3561813000", \
+                        "0.1008094000, 0.1070197000, 0.1270454000, 0.1773647000, 0.3125442000, 0.7337513000, 2.3523483000", \
+                        "0.0997689000, 0.1070183000, 0.1276406000, 0.1783277000, 0.3126222000, 0.7328058000, 2.3524354000", \
+                        "0.1088596000, 0.1168975000, 0.1378529000, 0.1858610000, 0.3178665000, 0.7355385000, 2.3483961000", \
+                        "0.1482670000, 0.1566552000, 0.1803309000, 0.2352756000, 0.3710892000, 0.7786572000, 2.3599454000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.0370301000, 0.0433247000, 0.0637737000, 0.1355962000, 0.4068653000, 1.3999600000, 4.9994795000", \
+                        "0.0367305000, 0.0431302000, 0.0639328000, 0.1355524000, 0.4060250000, 1.4020159000, 4.9977014000", \
+                        "0.0370064000, 0.0431243000, 0.0635029000, 0.1352286000, 0.4066814000, 1.4014447000, 5.0002820000", \
+                        "0.0367959000, 0.0432190000, 0.0632693000, 0.1351810000, 0.4064183000, 1.3998592000, 5.0036739000", \
+                        "0.0449124000, 0.0507151000, 0.0698879000, 0.1385933000, 0.4057335000, 1.4031400000, 4.9986255000", \
+                        "0.0706648000, 0.0768186000, 0.0959074000, 0.1583023000, 0.4126345000, 1.4014911000, 4.9924744000", \
+                        "0.1243675000, 0.1341420000, 0.1577335000, 0.2178934000, 0.4377908000, 1.4066653000, 4.9963487000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.6539453000, 0.6680329000, 0.7058482000, 0.7901347000, 0.9652078000, 1.3694059000, 2.5988416000", \
+                        "0.6594376000, 0.6734132000, 0.7113271000, 0.7956772000, 0.9706512000, 1.3749616000, 2.6045082000", \
+                        "0.6787902000, 0.6926441000, 0.7303337000, 0.8150451000, 0.9903992000, 1.3942908000, 2.6229479000", \
+                        "0.7353080000, 0.7491724000, 0.7871477000, 0.8717851000, 1.0468488000, 1.4508226000, 2.6784781000", \
+                        "0.8948573000, 0.9087490000, 0.9465527000, 1.0304884000, 1.2058985000, 1.6100241000, 2.8402940000", \
+                        "1.3290207000, 1.3439686000, 1.3844762000, 1.4714615000, 1.6492357000, 2.0543787000, 3.2860989000", \
+                        "2.2125251000, 2.2324436000, 2.2852316000, 2.3972664000, 2.6078655000, 3.0476541000, 4.2905737000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.1541132000, 0.1615921000, 0.1838476000, 0.2470111000, 0.4468266000, 1.1588404000, 3.7253112000", \
+                        "0.1623748000, 0.1699320000, 0.1923437000, 0.2552625000, 0.4554901000, 1.1682390000, 3.7369539000", \
+                        "0.1861676000, 0.1936922000, 0.2161259000, 0.2792333000, 0.4790865000, 1.1911836000, 3.7576373000", \
+                        "0.2539066000, 0.2614254000, 0.2837861000, 0.3464425000, 0.5462809000, 1.2586818000, 3.8250541000", \
+                        "0.4186922000, 0.4272195000, 0.4512865000, 0.5163545000, 0.7165223000, 1.4292298000, 3.9966261000", \
+                        "0.7040282000, 0.7163241000, 0.7491705000, 0.8248223000, 1.0302310000, 1.7392742000, 4.3104866000", \
+                        "1.1474847000, 1.1669763000, 1.2185010000, 1.3276904000, 1.5547800000, 2.2649775000, 4.8240687000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.1008599000, 0.1070502000, 0.1269540000, 0.1780497000, 0.3129887000, 0.7322320000, 2.3508935000", \
+                        "0.1006187000, 0.1081366000, 0.1269577000, 0.1780070000, 0.3129948000, 0.7324282000, 2.3503839000", \
+                        "0.1007800000, 0.1081253000, 0.1274421000, 0.1777851000, 0.3129112000, 0.7337234000, 2.3480009000", \
+                        "0.1000124000, 0.1072656000, 0.1267428000, 0.1782722000, 0.3131023000, 0.7337349000, 2.3487952000", \
+                        "0.1008481000, 0.1070833000, 0.1270990000, 0.1785631000, 0.3132524000, 0.7334646000, 2.3504187000", \
+                        "0.1106638000, 0.1179512000, 0.1374705000, 0.1867232000, 0.3173767000, 0.7363222000, 2.3549407000", \
+                        "0.1672545000, 0.1760746000, 0.2017555000, 0.2498406000, 0.3843220000, 0.7819065000, 2.3601081000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.0364742000, 0.0426208000, 0.0631010000, 0.1345215000, 0.4049931000, 1.4043069000, 4.9997579000", \
+                        "0.0363456000, 0.0424665000, 0.0630640000, 0.1344676000, 0.4052892000, 1.4020882000, 4.9966489000", \
+                        "0.0365557000, 0.0426761000, 0.0629697000, 0.1344918000, 0.4048477000, 1.4042209000, 4.9995483000", \
+                        "0.0361940000, 0.0425388000, 0.0630554000, 0.1345243000, 0.4047596000, 1.4042195000, 4.9998752000", \
+                        "0.0450498000, 0.0509917000, 0.0706855000, 0.1382990000, 0.4048322000, 1.4037083000, 4.9984603000", \
+                        "0.0727270000, 0.0793048000, 0.0976298000, 0.1610274000, 0.4116768000, 1.3985899000, 4.9962829000", \
+                        "0.1288613000, 0.1382077000, 0.1631377000, 0.2231435000, 0.4413078000, 1.4116901000, 5.0040532000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.5931454000, 0.6072699000, 0.6451208000, 0.7300942000, 0.9049697000, 1.3087009000, 2.5366371000", \
+                        "0.5973074000, 0.6113252000, 0.6494184000, 0.7345118000, 0.9091977000, 1.3103728000, 2.5408058000", \
+                        "0.6119555000, 0.6259126000, 0.6640850000, 0.7490328000, 0.9240101000, 1.3275754000, 2.5554030000", \
+                        "0.6611509000, 0.6751426000, 0.7131263000, 0.7975691000, 0.9722687000, 1.3762758000, 2.6064337000", \
+                        "0.8166371000, 0.8304197000, 0.8683526000, 0.9534903000, 1.1290170000, 1.5328637000, 2.7626035000", \
+                        "1.2521099000, 1.2673060000, 1.3078451000, 1.3959858000, 1.5733825000, 1.9807773000, 3.2106939000", \
+                        "2.0238344000, 2.0456405000, 2.1035840000, 2.2251638000, 2.4416973000, 2.8752352000, 4.1069752000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.1496770000, 0.1572388000, 0.1801516000, 0.2434502000, 0.4439957000, 1.1519711000, 3.7142713000", \
+                        "0.1582168000, 0.1659863000, 0.1885444000, 0.2523362000, 0.4520893000, 1.1655312000, 3.7288577000", \
+                        "0.1824369000, 0.1900634000, 0.2128936000, 0.2762204000, 0.4763617000, 1.1877983000, 3.7541725000", \
+                        "0.2512364000, 0.2587932000, 0.2814786000, 0.3445273000, 0.5445028000, 1.2540149000, 3.8217909000", \
+                        "0.4149156000, 0.4236993000, 0.4488717000, 0.5147102000, 0.7141129000, 1.4239214000, 4.0066022000", \
+                        "0.7032330000, 0.7159747000, 0.7504181000, 0.8291102000, 1.0346626000, 1.7414643000, 4.3044843000", \
+                        "1.1639693000, 1.1847371000, 1.2393498000, 1.3540632000, 1.5871731000, 2.2867599000, 4.8495803000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.0999497000, 0.1069871000, 0.1284245000, 0.1804053000, 0.3133296000, 0.7352677000, 2.3555455000", \
+                        "0.1000123000, 0.1069752000, 0.1277979000, 0.1799676000, 0.3134229000, 0.7349096000, 2.3556421000", \
+                        "0.0998747000, 0.1080125000, 0.1279971000, 0.1794331000, 0.3134393000, 0.7352877000, 2.3563365000", \
+                        "0.1008285000, 0.1070439000, 0.1271602000, 0.1776631000, 0.3125601000, 0.7340328000, 2.3479894000", \
+                        "0.0998910000, 0.1079344000, 0.1289983000, 0.1787713000, 0.3130367000, 0.7335493000, 2.3541573000", \
+                        "0.1184735000, 0.1242410000, 0.1429267000, 0.1897924000, 0.3202490000, 0.7377907000, 2.3484183000", \
+                        "0.1952888000, 0.2045564000, 0.2279093000, 0.2781642000, 0.3895932000, 0.7809546000, 2.3624336000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
+                    values("0.0371207000, 0.0433590000, 0.0635247000, 0.1349077000, 0.4056742000, 1.4025147000, 4.9979110000", \
+                        "0.0371428000, 0.0433325000, 0.0640143000, 0.1347795000, 0.4047112000, 1.4103638000, 5.0104565000", \
+                        "0.0371276000, 0.0436104000, 0.0635070000, 0.1350137000, 0.4048496000, 1.4019659000, 5.0101745000", \
+                        "0.0372008000, 0.0435342000, 0.0637397000, 0.1350906000, 0.4054840000, 1.4069310000, 4.9883218000", \
+                        "0.0471725000, 0.0532700000, 0.0725160000, 0.1396773000, 0.4050738000, 1.4058241000, 5.0034932000", \
+                        "0.0773970000, 0.0835162000, 0.1025347000, 0.1640540000, 0.4138509000, 1.3976174000, 4.9996700000", \
+                        "0.1383410000, 0.1489879000, 0.1741910000, 0.2334948000, 0.4473965000, 1.4051456000, 4.9952908000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or3b_1 */
+
+/* removed sky130_fd_sc_hd__or3b_2 */
+
+/* removed sky130_fd_sc_hd__or3b_4 */
+
+/* removed sky130_fd_sc_hd__or4_1 */
+
+    cell ("sky130_fd_sc_hd__or4_2") {
+        leakage_power () {
+            value : 4.3855293000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 8.5340437000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.6443052000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 2.7173312000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 1.6236922000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 2.5369262000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.5276297000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 1.6068634000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 1.6218837000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 2.4929947000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.5270814000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 1.6052784000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 1.5218689000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 1.6013636000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 1.4884183000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 1.5172409000;
+            when : "A&B&C&!D";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__or4";
+        cell_leakage_power : 2.3720280000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0013630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012960000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0012627000, 0.0012579000, 0.0012555000, 0.0012493000, 0.0012433000, 0.0012381000, 0.0012347000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001045900, -0.001070500, -0.001122800, -0.001135100, -0.001152800, -0.001185400, -0.001260600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014300000;
+        }
+        pin ("B") {
+            capacitance : 0.0016580000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0019420000, 0.0019300000, 0.0019075000, 0.0019012000, 0.0018946000, 0.0018879000, 0.0018802000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001680000, -0.001708600, -0.001771900, -0.001784800, -0.001804500, -0.001842900, -0.001933900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017340000;
+        }
+        pin ("C") {
+            capacitance : 0.0014480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0012399000, 0.0012329000, 0.0012245000, 0.0012178000, 0.0012106000, 0.0012019000, 0.0011889000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001131500, -0.001152300, -0.001194100, -0.001205200, -0.001220100, -0.001245100, -0.001298600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015300000;
+        }
+        pin ("D") {
+            capacitance : 0.0012930000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012200000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0009338000, 0.0009251000, 0.0009121000, 0.0009086000, 0.0009104000, 0.0009268000, 0.0009846000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.000607500, -0.000616700, -0.000627800, -0.000635900, -0.000643900, -0.000651300, -0.000657000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0013650000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C) | (D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0116001000, 0.0100219000, 0.0056159000, -0.009501400, -0.061225600, -0.237413400, -0.824647800", \
+                        "0.0114684000, 0.0099380000, 0.0054048000, -0.009197500, -0.061057000, -0.237386000, -0.824680100", \
+                        "0.0114536000, 0.0099241000, 0.0055016000, -0.009510200, -0.061310900, -0.237559200, -0.824806300", \
+                        "0.0112225000, 0.0097005000, 0.0051834000, -0.009862200, -0.061562700, -0.237657100, -0.824948000", \
+                        "0.0112518000, 0.0097090000, 0.0053333000, -0.009901100, -0.061576800, -0.237830700, -0.825041500", \
+                        "0.0110300000, 0.0094836000, 0.0049706000, -0.010078500, -0.061801800, -0.237962300, -0.825157000", \
+                        "0.0133876000, 0.0116767000, 0.0066457000, -0.009916000, -0.061802400, -0.238021200, -0.825180200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0122906000, 0.0139024000, 0.0191959000, 0.0357160000, 0.0891305000, 0.2656459000, 0.8480561000", \
+                        "0.0122711000, 0.0139309000, 0.0192031000, 0.0358090000, 0.0891229000, 0.2656327000, 0.8464281000", \
+                        "0.0122499000, 0.0139159000, 0.0192255000, 0.0357700000, 0.0891430000, 0.2656221000, 0.8465274000", \
+                        "0.0123466000, 0.0139571000, 0.0192150000, 0.0356964000, 0.0890565000, 0.2642622000, 0.8487582000", \
+                        "0.0123182000, 0.0138756000, 0.0189887000, 0.0353411000, 0.0886007000, 0.2641495000, 0.8483631000", \
+                        "0.0127695000, 0.0142611000, 0.0192334000, 0.0353528000, 0.0885338000, 0.2650176000, 0.8462954000", \
+                        "0.0133030000, 0.0147602000, 0.0196536000, 0.0356190000, 0.0889111000, 0.2650060000, 0.8476996000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0107813000, 0.0092655000, 0.0047795000, -0.010297200, -0.061884600, -0.237857300, -0.825550500", \
+                        "0.0107480000, 0.0092291000, 0.0047132000, -0.010323900, -0.062035500, -0.238089500, -0.825343500", \
+                        "0.0106738000, 0.0091551000, 0.0046366000, -0.010422700, -0.062096300, -0.238193300, -0.825464400", \
+                        "0.0105284000, 0.0090073000, 0.0044936000, -0.010589100, -0.062259900, -0.238333200, -0.825582500", \
+                        "0.0105463000, 0.0090492000, 0.0045806000, -0.010677500, -0.062368500, -0.238507400, -0.825686000", \
+                        "0.0103257000, 0.0087930000, 0.0042327000, -0.010769500, -0.062484400, -0.238632900, -0.825769100", \
+                        "0.0125438000, 0.0108189000, 0.0055426000, -0.010670600, -0.062471600, -0.238663200, -0.825824300");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0121014000, 0.0137523000, 0.0190337000, 0.0355583000, 0.0889759000, 0.2640752000, 0.8488291000", \
+                        "0.0121322000, 0.0137805000, 0.0190532000, 0.0356066000, 0.0890488000, 0.2644289000, 0.8488499000", \
+                        "0.0121489000, 0.0138109000, 0.0191067000, 0.0356277000, 0.0890095000, 0.2644625000, 0.8484866000", \
+                        "0.0121824000, 0.0138208000, 0.0190244000, 0.0355587000, 0.0889342000, 0.2642945000, 0.8488243000", \
+                        "0.0121123000, 0.0136682000, 0.0188460000, 0.0350890000, 0.0885921000, 0.2654914000, 0.8465021000", \
+                        "0.0123901000, 0.0139045000, 0.0188444000, 0.0351746000, 0.0883907000, 0.2636663000, 0.8482968000", \
+                        "0.0129177000, 0.0144451000, 0.0192531000, 0.0351706000, 0.0885756000, 0.2650186000, 0.8474442000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0105547000, 0.0090215000, 0.0044505000, -0.010593300, -0.062474500, -0.238768100, -0.825945600", \
+                        "0.0100740000, 0.0085360000, 0.0040410000, -0.011044200, -0.062702400, -0.238802500, -0.825997900", \
+                        "0.0099587000, 0.0084330000, 0.0038987000, -0.011102600, -0.062704800, -0.238868600, -0.826054900", \
+                        "0.0098485000, 0.0083218000, 0.0037575000, -0.011239900, -0.062931100, -0.238985700, -0.826208600", \
+                        "0.0097270000, 0.0082148000, 0.0036844000, -0.011341300, -0.063042400, -0.239113100, -0.826322600", \
+                        "0.0096607000, 0.0081472000, 0.0036043000, -0.011461400, -0.063181300, -0.239293400, -0.826399900", \
+                        "0.0115994000, 0.0099138000, 0.0045361000, -0.011588300, -0.063282700, -0.239174100, -0.826399900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0118977000, 0.0135456000, 0.0187321000, 0.0352635000, 0.0886996000, 0.2640590000, 0.8460322000", \
+                        "0.0119388000, 0.0135851000, 0.0187768000, 0.0353737000, 0.0886306000, 0.2641671000, 0.8464138000", \
+                        "0.0119318000, 0.0135807000, 0.0188707000, 0.0353206000, 0.0887502000, 0.2642002000, 0.8485467000", \
+                        "0.0119735000, 0.0135899000, 0.0187844000, 0.0352497000, 0.0886389000, 0.2640682000, 0.8462427000", \
+                        "0.0118042000, 0.0133621000, 0.0185164000, 0.0347613000, 0.0882841000, 0.2639854000, 0.8460663000", \
+                        "0.0119999000, 0.0134910000, 0.0184658000, 0.0347783000, 0.0880161000, 0.2627499000, 0.8461928000", \
+                        "0.0124995000, 0.0139501000, 0.0187434000, 0.0346897000, 0.0881677000, 0.2644981000, 0.8451679000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0095420000, 0.0080205000, 0.0035047000, -0.011544800, -0.063244400, -0.239267900, -0.826463500", \
+                        "0.0095403000, 0.0082422000, 0.0035611000, -0.011421700, -0.063225100, -0.239408900, -0.826387600", \
+                        "0.0094445000, 0.0079319000, 0.0033106000, -0.011493000, -0.063291100, -0.239460800, -0.826607000", \
+                        "0.0094650000, 0.0079559000, 0.0034784000, -0.011780300, -0.063306700, -0.239534000, -0.826746100", \
+                        "0.0092891000, 0.0077439000, 0.0031724000, -0.011898100, -0.063573800, -0.239687000, -0.826790600", \
+                        "0.0092224000, 0.0076839000, 0.0031080000, -0.011848600, -0.063642500, -0.239783300, -0.826892400", \
+                        "0.0121525000, 0.0104052000, 0.0050523000, -0.011531100, -0.063450500, -0.239728600, -0.826814700");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550420, 0.0054783270, 0.0181337200, 0.0600241400, 0.1986849000, 0.6576638000");
+                    values("0.0107970000, 0.0124392000, 0.0176495000, 0.0341322000, 0.0874330000, 0.2634582000, 0.8458367000", \
+                        "0.0108132000, 0.0124617000, 0.0177295000, 0.0341705000, 0.0874256000, 0.2633778000, 0.8458266000", \
+                        "0.0108161000, 0.0124423000, 0.0177037000, 0.0341872000, 0.0874844000, 0.2631831000, 0.8484859000", \
+                        "0.0107820000, 0.0123985000, 0.0175976000, 0.0340737000, 0.0874104000, 0.2634820000, 0.8441541000", \
+                        "0.0106065000, 0.0121722000, 0.0173355000, 0.0336669000, 0.0867338000, 0.2614188000, 0.8426367000", \
+                        "0.0109141000, 0.0124186000, 0.0173670000, 0.0336612000, 0.0867565000, 0.2634541000, 0.8457442000", \
+                        "0.0113185000, 0.0127408000, 0.0175692000, 0.0335466000, 0.0871540000, 0.2632499000, 0.8468501000");
+                }
+            }
+            max_capacitance : 0.1950170000;
+            max_transition : 1.5031070000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("1.4662117000, 1.5010017000, 1.5830038000, 1.7411067000, 2.0325395000, 2.6050873000, 4.0268668000", \
+                        "1.4698464000, 1.5038732000, 1.5866470000, 1.7439483000, 2.0329345000, 2.6092641000, 4.0332679000", \
+                        "1.4847462000, 1.5186932000, 1.6009165000, 1.7587029000, 2.0490520000, 2.6236071000, 4.0450456000", \
+                        "1.5356322000, 1.5709299000, 1.6509614000, 1.8104001000, 2.0996147000, 2.6717344000, 4.0956434000", \
+                        "1.6858633000, 1.7197764000, 1.8028579000, 1.9598223000, 2.2497930000, 2.8244420000, 4.2458494000", \
+                        "2.0726072000, 2.1068547000, 2.1882445000, 2.3451836000, 2.6362075000, 3.2110608000, 4.6358024000", \
+                        "3.0014737000, 3.0397982000, 3.1286271000, 3.2994714000, 3.6060961000, 4.2009649000, 5.6325140000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1384328000, 0.1494289000, 0.1782533000, 0.2539096000, 0.4822898000, 1.2272453000, 3.6783248000", \
+                        "0.1468127000, 0.1579020000, 0.1866677000, 0.2623125000, 0.4905032000, 1.2354926000, 3.6876224000", \
+                        "0.1708635000, 0.1820899000, 0.2108043000, 0.2863207000, 0.5146185000, 1.2596487000, 3.7116115000", \
+                        "0.2400605000, 0.2508541000, 0.2791337000, 0.3540859000, 0.5828251000, 1.3257569000, 3.7828727000", \
+                        "0.4023233000, 0.4150359000, 0.4464650000, 0.5232821000, 0.7507248000, 1.4948449000, 3.9473282000", \
+                        "0.6868461000, 0.7050647000, 0.7466971000, 0.8311693000, 1.0608465000, 1.8041627000, 4.2590811000", \
+                        "1.1199942000, 1.1488812000, 1.2142078000, 1.3334578000, 1.5774376000, 2.3204516000, 4.7690243000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1839809000, 0.2007123000, 0.2434896000, 0.3308997000, 0.5332215000, 1.0513609000, 2.7869609000", \
+                        "0.1839851000, 0.2024946000, 0.2456636000, 0.3322708000, 0.5303796000, 1.0507003000, 2.7866536000", \
+                        "0.1841067000, 0.2009558000, 0.2440395000, 0.3328263000, 0.5295516000, 1.0519169000, 2.7851395000", \
+                        "0.1828232000, 0.2016886000, 0.2461626000, 0.3357115000, 0.5284230000, 1.0522458000, 2.7827509000", \
+                        "0.1842022000, 0.2010073000, 0.2459686000, 0.3305291000, 0.5353648000, 1.0515080000, 2.7844735000", \
+                        "0.1843438000, 0.2035386000, 0.2462966000, 0.3318869000, 0.5318803000, 1.0491229000, 2.7814173000", \
+                        "0.2099543000, 0.2301578000, 0.2746380000, 0.3638027000, 0.5624354000, 1.0714289000, 2.7899094000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.0348183000, 0.0441855000, 0.0719541000, 0.1638032000, 0.4783597000, 1.5272857000, 4.9903042000", \
+                        "0.0347108000, 0.0439286000, 0.0718403000, 0.1635234000, 0.4783631000, 1.5273813000, 4.9916848000", \
+                        "0.0344159000, 0.0438842000, 0.0716008000, 0.1635274000, 0.4782487000, 1.5274881000, 4.9919002000", \
+                        "0.0344560000, 0.0435548000, 0.0715219000, 0.1631964000, 0.4783014000, 1.5251622000, 4.9962625000", \
+                        "0.0440955000, 0.0525407000, 0.0787131000, 0.1652195000, 0.4766231000, 1.5227080000, 4.9899715000", \
+                        "0.0689950000, 0.0780424000, 0.1034145000, 0.1832435000, 0.4812339000, 1.5244784000, 4.9947424000", \
+                        "0.1201709000, 0.1341213000, 0.1655297000, 0.2383275000, 0.4995764000, 1.5343140000, 4.9838800000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("1.4361405000, 1.4713678000, 1.5515029000, 1.7114196000, 2.0005311000, 2.5702080000, 3.9951438000", \
+                        "1.4374038000, 1.4722893000, 1.5525305000, 1.7115674000, 2.0013389000, 2.5739983000, 3.9989718000", \
+                        "1.4490598000, 1.4840962000, 1.5645086000, 1.7228109000, 2.0138240000, 2.5847811000, 4.0092041000", \
+                        "1.4972662000, 1.5322760000, 1.6124456000, 1.7721155000, 2.0611675000, 2.6326417000, 4.0569130000", \
+                        "1.6448107000, 1.6800654000, 1.7613476000, 1.9185664000, 2.2097231000, 2.7834881000, 4.2045132000", \
+                        "2.0512483000, 2.0863093000, 2.1671822000, 2.3249545000, 2.6157287000, 3.1904743000, 4.6168909000", \
+                        "3.0797522000, 3.1171465000, 3.2081751000, 3.3778602000, 3.6880199000, 4.2810933000, 5.7141145000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1390497000, 0.1498444000, 0.1782995000, 0.2531286000, 0.4805696000, 1.2247172000, 3.6818237000", \
+                        "0.1474168000, 0.1583998000, 0.1868504000, 0.2617689000, 0.4890071000, 1.2327002000, 3.6893273000", \
+                        "0.1709137000, 0.1819743000, 0.2103555000, 0.2851658000, 0.5121026000, 1.2541000000, 3.7097203000", \
+                        "0.2398333000, 0.2507849000, 0.2787373000, 0.3530618000, 0.5803784000, 1.3221626000, 3.7727248000", \
+                        "0.4000346000, 0.4124349000, 0.4432865000, 0.5189287000, 0.7458507000, 1.4909861000, 3.9471546000", \
+                        "0.6748725000, 0.6936736000, 0.7343788000, 0.8212345000, 1.0515815000, 1.7920768000, 4.2512580000", \
+                        "1.0856962000, 1.1147506000, 1.1804686000, 1.3017142000, 1.5482583000, 2.2906179000, 4.7383031000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1828376000, 0.2013183000, 0.2461618000, 0.3300004000, 0.5329706000, 1.0567772000, 2.7822662000", \
+                        "0.1830424000, 0.2023218000, 0.2461961000, 0.3351295000, 0.5267319000, 1.0506718000, 2.7853058000", \
+                        "0.1831379000, 0.2016791000, 0.2462557000, 0.3317646000, 0.5305095000, 1.0527514000, 2.7816569000", \
+                        "0.1830671000, 0.2016931000, 0.2463259000, 0.3302110000, 0.5333475000, 1.0525587000, 2.7797478000", \
+                        "0.1838998000, 0.2010044000, 0.2449934000, 0.3311153000, 0.5304034000, 1.0516592000, 2.7867930000", \
+                        "0.1837179000, 0.2033193000, 0.2440258000, 0.3319064000, 0.5322008000, 1.0505440000, 2.7838511000", \
+                        "0.2152583000, 0.2330096000, 0.2769280000, 0.3689360000, 0.5659171000, 1.0739331000, 2.7845477000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.0336609000, 0.0431361000, 0.0704867000, 0.1615495000, 0.4765347000, 1.5265055000, 4.9968358000", \
+                        "0.0336482000, 0.0431479000, 0.0704709000, 0.1617461000, 0.4765003000, 1.5272094000, 4.9970987000", \
+                        "0.0337233000, 0.0429709000, 0.0703786000, 0.1616056000, 0.4757718000, 1.5258299000, 4.9910871000", \
+                        "0.0336642000, 0.0428142000, 0.0702117000, 0.1613202000, 0.4760386000, 1.5245055000, 4.9887676000", \
+                        "0.0428236000, 0.0515221000, 0.0774903000, 0.1641499000, 0.4756964000, 1.5279951000, 4.9902602000", \
+                        "0.0689307000, 0.0786042000, 0.1031392000, 0.1814648000, 0.4801813000, 1.5250464000, 4.9959534000", \
+                        "0.1215790000, 0.1361224000, 0.1684807000, 0.2397447000, 0.4997165000, 1.5327070000, 4.9836357000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("1.3683810000, 1.4036299000, 1.4846314000, 1.6424527000, 1.9314579000, 2.5076068000, 3.9297392000", \
+                        "1.3693200000, 1.4046675000, 1.4846944000, 1.6446143000, 1.9335209000, 2.5047753000, 3.9293271000", \
+                        "1.3791243000, 1.4131947000, 1.4958232000, 1.6530104000, 1.9440312000, 2.5182303000, 3.9435517000", \
+                        "1.4254167000, 1.4603516000, 1.5402744000, 1.6999042000, 1.9890636000, 2.5617040000, 3.9853822000", \
+                        "1.5748849000, 1.6098938000, 1.6902220000, 1.8491275000, 2.1375102000, 2.7116336000, 4.1347588000", \
+                        "2.0196518000, 2.0546957000, 2.1360294000, 2.2927265000, 2.5856042000, 3.1576872000, 4.5845638000", \
+                        "3.1508864000, 3.1903311000, 3.2792555000, 3.4546339000, 3.7628674000, 4.3540243000, 5.7866538000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1360134000, 0.1467532000, 0.1746750000, 0.2489662000, 0.4752989000, 1.2171369000, 3.6692531000", \
+                        "0.1444276000, 0.1552122000, 0.1831504000, 0.2574399000, 0.4846467000, 1.2255892000, 3.6826064000", \
+                        "0.1686364000, 0.1793666000, 0.2075865000, 0.2816576000, 0.5076820000, 1.2504290000, 3.7010202000", \
+                        "0.2370784000, 0.2476791000, 0.2754415000, 0.3493168000, 0.5761274000, 1.3186718000, 3.7759942000", \
+                        "0.3934906000, 0.4061709000, 0.4368391000, 0.5132267000, 0.7403446000, 1.4828744000, 3.9349892000", \
+                        "0.6616836000, 0.6801388000, 0.7221297000, 0.8090204000, 1.0380634000, 1.7801756000, 4.2369308000", \
+                        "1.0604564000, 1.0897950000, 1.1563088000, 1.2801221000, 1.5282406000, 2.2699556000, 4.7166853000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1826013000, 0.2025219000, 0.2435935000, 0.3324939000, 0.5274201000, 1.0511293000, 2.7819518000", \
+                        "0.1828965000, 0.2012982000, 0.2461917000, 0.3301236000, 0.5334411000, 1.0527766000, 2.7827110000", \
+                        "0.1828248000, 0.2022293000, 0.2454553000, 0.3338979000, 0.5294947000, 1.0505654000, 2.7823936000", \
+                        "0.1837534000, 0.2022435000, 0.2461341000, 0.3358139000, 0.5327472000, 1.0515009000, 2.7856033000", \
+                        "0.1828262000, 0.2014754000, 0.2466651000, 0.3361304000, 0.5281848000, 1.0544711000, 2.7854334000", \
+                        "0.1838250000, 0.2016124000, 0.2470468000, 0.3314908000, 0.5326136000, 1.0506440000, 2.7848859000", \
+                        "0.2203610000, 0.2395741000, 0.2862218000, 0.3701006000, 0.5636343000, 1.0740095000, 2.7909555000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.0329577000, 0.0421311000, 0.0697586000, 0.1605134000, 0.4766949000, 1.5251197000, 4.9951860000", \
+                        "0.0332918000, 0.0422986000, 0.0695710000, 0.1602421000, 0.4757288000, 1.5248521000, 4.9926405000", \
+                        "0.0332108000, 0.0421852000, 0.0695894000, 0.1605674000, 0.4755898000, 1.5276049000, 4.9897309000", \
+                        "0.0333168000, 0.0424549000, 0.0697540000, 0.1605975000, 0.4758179000, 1.5264886000, 4.9903250000", \
+                        "0.0430363000, 0.0518227000, 0.0775602000, 0.1638777000, 0.4758767000, 1.5260816000, 4.9954250000", \
+                        "0.0699033000, 0.0794251000, 0.1044502000, 0.1832214000, 0.4797124000, 1.5213825000, 4.9937330000", \
+                        "0.1245288000, 0.1395427000, 0.1725523000, 0.2437198000, 0.5018831000, 1.5328057000, 4.9851048000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("1.2222581000, 1.2569924000, 1.3372626000, 1.4964081000, 1.7856283000, 2.3579751000, 3.7817443000", \
+                        "1.2225282000, 1.2584513000, 1.3396526000, 1.4970113000, 1.7880379000, 2.3628555000, 3.7843804000", \
+                        "1.2328125000, 1.2677290000, 1.3488517000, 1.5058780000, 1.7966159000, 2.3718041000, 3.7982177000", \
+                        "1.2738793000, 1.3093254000, 1.3901567000, 1.5478691000, 1.8368126000, 2.4135312000, 3.8387746000", \
+                        "1.4194402000, 1.4537519000, 1.5356809000, 1.7000986000, 1.9898572000, 2.5649409000, 3.9883101000", \
+                        "1.8747315000, 1.9088444000, 1.9908044000, 2.1493862000, 2.4395705000, 3.0148370000, 4.4388475000", \
+                        "3.0178577000, 3.0584790000, 3.1520362000, 3.3272252000, 3.6319519000, 4.2216128000, 5.6562901000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1325271000, 0.1434677000, 0.1716963000, 0.2460392000, 0.4720113000, 1.2170315000, 3.6700354000", \
+                        "0.1413775000, 0.1523213000, 0.1805925000, 0.2549726000, 0.4807805000, 1.2248479000, 3.6793255000", \
+                        "0.1658987000, 0.1766580000, 0.2050974000, 0.2792878000, 0.5062490000, 1.2478374000, 3.7081251000", \
+                        "0.2346857000, 0.2454839000, 0.2736296000, 0.3477708000, 0.5743151000, 1.3188767000, 3.7682177000", \
+                        "0.3927363000, 0.4059215000, 0.4372600000, 0.5141444000, 0.7385534000, 1.4815981000, 3.9333865000", \
+                        "0.6655685000, 0.6848299000, 0.7283413000, 0.8175108000, 1.0478828000, 1.7877239000, 4.2396842000", \
+                        "1.0824395000, 1.1139147000, 1.1835887000, 1.3126502000, 1.5648124000, 2.3037934000, 4.7375024000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.1829146000, 0.2019613000, 0.2462736000, 0.3354590000, 0.5276790000, 1.0515215000, 2.7854734000", \
+                        "0.1842805000, 0.2013194000, 0.2431708000, 0.3324612000, 0.5347113000, 1.0491920000, 2.7826839000", \
+                        "0.1830233000, 0.2016014000, 0.2429316000, 0.3324694000, 0.5351976000, 1.0504709000, 2.7827911000", \
+                        "0.1840447000, 0.2009757000, 0.2450946000, 0.3334426000, 0.5294216000, 1.0504261000, 2.7813871000", \
+                        "0.1841552000, 0.2011092000, 0.2427953000, 0.3315063000, 0.5321197000, 1.0487312000, 2.7861533000", \
+                        "0.1840392000, 0.2008480000, 0.2428231000, 0.3353903000, 0.5340809000, 1.0489822000, 2.7851403000", \
+                        "0.2379848000, 0.2574886000, 0.2982862000, 0.3779909000, 0.5635105000, 1.0706692000, 2.7878522000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0016550400, 0.0054783300, 0.0181337000, 0.0600241000, 0.1986850000, 0.6576640000");
+                    values("0.0337166000, 0.0427238000, 0.0700242000, 0.1607135000, 0.4748638000, 1.5287593000, 4.9906959000", \
+                        "0.0336935000, 0.0427705000, 0.0700549000, 0.1607316000, 0.4738594000, 1.5313222000, 4.9914450000", \
+                        "0.0336237000, 0.0426167000, 0.0700629000, 0.1604616000, 0.4756495000, 1.5308884000, 5.0082707000", \
+                        "0.0341118000, 0.0430150000, 0.0703534000, 0.1606788000, 0.4750254000, 1.5353647000, 5.0004680000", \
+                        "0.0444794000, 0.0530431000, 0.0789044000, 0.1645146000, 0.4760166000, 1.5264342000, 4.9880033000", \
+                        "0.0737296000, 0.0836009000, 0.1085843000, 0.1855001000, 0.4806406000, 1.5257148000, 4.9927511000", \
+                        "0.1321314000, 0.1476235000, 0.1804363000, 0.2532212000, 0.5063681000, 1.5316941000, 4.9918052000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or4_4") {
+        leakage_power () {
+            value : 6.1605787000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 9.4271186000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 3.6227072000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 4.3444390000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 3.6114851000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 4.1771862000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 3.5764405000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 3.6042354000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 3.6083334000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 4.1212584000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 3.5754886000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 3.6014836000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 3.5734511000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 3.5999990000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 3.5627383000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 3.5715215000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or4";
+        cell_leakage_power : 4.2336540000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023850000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022770000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0032588000, 0.0032408000, 0.0032164000, 0.0032004000, 0.0031818000, 0.0031558000, 0.0031092000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002730200, -0.002772700, -0.002861200, -0.002885800, -0.002923900, -0.003000500, -0.003185500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024930000;
+        }
+        pin ("B") {
+            capacitance : 0.0024670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0033030000, 0.0032883000, 0.0032734000, 0.0032581000, 0.0032414000, 0.0032211000, 0.0031906000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002781000, -0.002818900, -0.002894800, -0.002920400, -0.002961500, -0.003046800, -0.003255900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025980000;
+        }
+        pin ("C") {
+            capacitance : 0.0024600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022990000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0032256000, 0.0032092000, 0.0031894000, 0.0031739000, 0.0031567000, 0.0031351000, 0.0031007000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.002835300, -0.002904800, -0.003070100, -0.003091700, -0.003121700, -0.003175700, -0.003297300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0026200000;
+        }
+        pin ("D") {
+            capacitance : 0.0023150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("0.0022353000, 0.0022198000, 0.0022029000, 0.0021954000, 0.0022008000, 0.0022426000, 0.0023872000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    values("-0.001482500, -0.001498400, -0.001514600, -0.001529900, -0.001544700, -0.001557500, -0.001565000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024970000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C) | (D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0208424000, 0.0191533000, 0.0137669000, -0.005989200, -0.082028700, -0.370891300, -1.427341900", \
+                        "0.0207914000, 0.0191029000, 0.0137188000, -0.006023400, -0.081960600, -0.370934100, -1.427406400", \
+                        "0.0206585000, 0.0190164000, 0.0136152000, -0.006132800, -0.082304000, -0.371059600, -1.427546500", \
+                        "0.0205005000, 0.0188108000, 0.0134244000, -0.006318800, -0.082431000, -0.371199000, -1.427759900", \
+                        "0.0205350000, 0.0189395000, 0.0134416000, -0.006334400, -0.082599800, -0.371383300, -1.427946100", \
+                        "0.0205335000, 0.0189474000, 0.0134219000, -0.006454000, -0.082745200, -0.371508700, -1.427880200", \
+                        "0.0281633000, 0.0263175000, 0.0199130000, -0.002362100, -0.082163200, -0.371602300, -1.427778300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0250468000, 0.0269513000, 0.0336463000, 0.0566264000, 0.1369579000, 0.4271763000, 1.4758499000", \
+                        "0.0250062000, 0.0268953000, 0.0335977000, 0.0566922000, 0.1369638000, 0.4253500000, 1.4766548000", \
+                        "0.0249759000, 0.0268756000, 0.0335878000, 0.0566741000, 0.1370184000, 0.4250255000, 1.4756237000", \
+                        "0.0249274000, 0.0267996000, 0.0334840000, 0.0564852000, 0.1368561000, 0.4267761000, 1.4750477000", \
+                        "0.0250475000, 0.0268470000, 0.0333529000, 0.0558384000, 0.1362957000, 0.4264817000, 1.4728439000", \
+                        "0.0261239000, 0.0278570000, 0.0340569000, 0.0564817000, 0.1355040000, 0.4238196000, 1.4761672000", \
+                        "0.0276676000, 0.0293406000, 0.0352922000, 0.0575516000, 0.1366307000, 0.4254834000, 1.4756047000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0189569000, 0.0172713000, 0.0118802000, -0.007860500, -0.083796400, -0.372704900, -1.428981900", \
+                        "0.0189171000, 0.0172362000, 0.0120690000, -0.007600500, -0.083898900, -0.372753700, -1.429119900", \
+                        "0.0188728000, 0.0172475000, 0.0118472000, -0.007889000, -0.084110300, -0.372837200, -1.429220700", \
+                        "0.0186443000, 0.0169862000, 0.0116119000, -0.008160000, -0.084323500, -0.372993400, -1.429380200", \
+                        "0.0185535000, 0.0168734000, 0.0114834000, -0.008329200, -0.084426400, -0.373132800, -1.429535900", \
+                        "0.0188071000, 0.0171418000, 0.0116754000, -0.008348100, -0.084622100, -0.373290400, -1.429528400", \
+                        "0.0270660000, 0.0251983000, 0.0187374000, -0.003768300, -0.083906600, -0.373342400, -1.429518800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0245196000, 0.0264148000, 0.0330289000, 0.0560781000, 0.1366355000, 0.4268383000, 1.4718881000", \
+                        "0.0245342000, 0.0264257000, 0.0331269000, 0.0562158000, 0.1367658000, 0.4265828000, 1.4752484000", \
+                        "0.0245599000, 0.0264561000, 0.0330920000, 0.0561458000, 0.1367394000, 0.4269785000, 1.4762451000", \
+                        "0.0245250000, 0.0264265000, 0.0330296000, 0.0560660000, 0.1365548000, 0.4269125000, 1.4763122000", \
+                        "0.0243431000, 0.0261703000, 0.0326905000, 0.0551523000, 0.1356602000, 0.4246048000, 1.4761095000", \
+                        "0.0250218000, 0.0267552000, 0.0329304000, 0.0553650000, 0.1352567000, 0.4258096000, 1.4756193000", \
+                        "0.0263581000, 0.0281440000, 0.0340776000, 0.0560372000, 0.1361339000, 0.4249393000, 1.4700283000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0178333000, 0.0162010000, 0.0107257000, -0.009107100, -0.085385600, -0.374120000, -1.430402000", \
+                        "0.0173825000, 0.0157416000, 0.0103213000, -0.009413400, -0.085566500, -0.374189100, -1.430452100", \
+                        "0.0175605000, 0.0156006000, 0.0103779000, -0.009393100, -0.085593800, -0.374212800, -1.430613300", \
+                        "0.0171113000, 0.0154268000, 0.0100528000, -0.009352400, -0.085643700, -0.374430900, -1.430734800", \
+                        "0.0171990000, 0.0152908000, 0.0099048000, -0.009889400, -0.086029000, -0.374603300, -1.430845700", \
+                        "0.0169835000, 0.0152966000, 0.0098758000, -0.009985500, -0.086273700, -0.374794100, -1.430966700", \
+                        "0.0250909000, 0.0232233000, 0.0167196000, -0.005802800, -0.085975500, -0.375114300, -1.431023700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0235951000, 0.0255059000, 0.0320952000, 0.0551518000, 0.1354531000, 0.4257187000, 1.4718641000", \
+                        "0.0236540000, 0.0255155000, 0.0321935000, 0.0552084000, 0.1355761000, 0.4258592000, 1.4718222000", \
+                        "0.0238708000, 0.0257596000, 0.0323772000, 0.0553333000, 0.1358103000, 0.4241626000, 1.4751743000", \
+                        "0.0238634000, 0.0256962000, 0.0323418000, 0.0552258000, 0.1356163000, 0.4241997000, 1.4754398000", \
+                        "0.0234898000, 0.0252666000, 0.0316509000, 0.0542773000, 0.1346956000, 0.4237194000, 1.4714917000", \
+                        "0.0242004000, 0.0259078000, 0.0321557000, 0.0543927000, 0.1341825000, 0.4244818000, 1.4706900000", \
+                        "0.0253012000, 0.0268668000, 0.0331821000, 0.0548580000, 0.1349627000, 0.4234031000, 1.4683571000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0168488000, 0.0152354000, 0.0095007000, -0.010191000, -0.086245500, -0.374901500, -1.431107300", \
+                        "0.0167592000, 0.0148529000, 0.0096520000, -0.010148400, -0.086315700, -0.374961400, -1.431133700", \
+                        "0.0164019000, 0.0147067000, 0.0094887000, -0.010245000, -0.086482100, -0.375070500, -1.431251500", \
+                        "0.0161834000, 0.0145019000, 0.0091221000, -0.010553600, -0.086691400, -0.375277700, -1.431509300", \
+                        "0.0160849000, 0.0144149000, 0.0090114000, -0.010732700, -0.086900800, -0.375371700, -1.431619200", \
+                        "0.0162597000, 0.0146055000, 0.0090540000, -0.010815700, -0.087199700, -0.375788500, -1.431737400", \
+                        "0.0260476000, 0.0240789000, 0.0174090000, -0.005284600, -0.085515200, -0.375376600, -1.431241400");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
+                    values("0.0208769000, 0.0227710000, 0.0294318000, 0.0525350000, 0.1326481000, 0.4237478000, 1.4730802000", \
+                        "0.0209280000, 0.0227852000, 0.0293892000, 0.0525307000, 0.1328299000, 0.4216119000, 1.4738268000", \
+                        "0.0209415000, 0.0228359000, 0.0294583000, 0.0524141000, 0.1326353000, 0.4216567000, 1.4696063000", \
+                        "0.0207967000, 0.0226671000, 0.0292845000, 0.0522137000, 0.1326533000, 0.4189025000, 1.4658128000", \
+                        "0.0204071000, 0.0222192000, 0.0286578000, 0.0512830000, 0.1315475000, 0.4211913000, 1.4686230000", \
+                        "0.0211466000, 0.0228800000, 0.0290480000, 0.0515315000, 0.1312240000, 0.4213594000, 1.4649132000", \
+                        "0.0221455000, 0.0237620000, 0.0297117000, 0.0519306000, 0.1322479000, 0.4211192000, 1.4652642000");
+                }
+            }
+            max_capacitance : 0.3360080000;
+            max_transition : 1.5040510000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.9729832000, 0.9902231000, 1.0371277000, 1.1403758000, 1.3478846000, 1.8044282000, 3.0809704000", \
+                        "0.9795851000, 0.9968721000, 1.0437918000, 1.1471368000, 1.3552330000, 1.8114051000, 3.0880734000", \
+                        "1.0005737000, 1.0178591000, 1.0648277000, 1.1681623000, 1.3774442000, 1.8320125000, 3.1085763000", \
+                        "1.0579643000, 1.0752190000, 1.1219419000, 1.2252507000, 1.4347330000, 1.8902933000, 3.1647067000", \
+                        "1.2063947000, 1.2236396000, 1.2704524000, 1.3736811000, 1.5834690000, 2.0392223000, 3.3139946000", \
+                        "1.5811173000, 1.5984417000, 1.6454031000, 1.7482119000, 1.9582218000, 2.4138256000, 3.6909462000", \
+                        "2.3891957000, 2.4095437000, 2.4642377000, 2.5827913000, 2.8147378000, 3.3019623000, 4.5948281000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1610703000, 0.1690891000, 0.1927983000, 0.2578721000, 0.4604713000, 1.1734731000, 3.7372736000", \
+                        "0.1690806000, 0.1770906000, 0.2006579000, 0.2658303000, 0.4688588000, 1.1807831000, 3.7512340000", \
+                        "0.1929468000, 0.2010325000, 0.2245425000, 0.2895985000, 0.4926265000, 1.2041627000, 3.7755234000", \
+                        "0.2616984000, 0.2695096000, 0.2928420000, 0.3573282000, 0.5601480000, 1.2725089000, 3.8372407000", \
+                        "0.4345483000, 0.4432391000, 0.4679274000, 0.5325959000, 0.7343880000, 1.4473505000, 4.0133679000", \
+                        "0.7416376000, 0.7536311000, 0.7866068000, 0.8621985000, 1.0664251000, 1.7767048000, 4.3493666000", \
+                        "1.2220190000, 1.2403554000, 1.2904360000, 1.3963184000, 1.6183652000, 2.3278592000, 4.8881494000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1320125000, 0.1397067000, 0.1637476000, 0.2227274000, 0.3714980000, 0.8079302000, 2.4183742000", \
+                        "0.1320088000, 0.1396891000, 0.1637326000, 0.2226609000, 0.3709678000, 0.8081838000, 2.4189750000", \
+                        "0.1311692000, 0.1400031000, 0.1637844000, 0.2229489000, 0.3736147000, 0.8073617000, 2.4175468000", \
+                        "0.1320421000, 0.1397042000, 0.1636844000, 0.2225941000, 0.3735173000, 0.8068936000, 2.4179394000", \
+                        "0.1310744000, 0.1403197000, 0.1630909000, 0.2220987000, 0.3730083000, 0.8058504000, 2.4181559000", \
+                        "0.1334429000, 0.1413675000, 0.1652625000, 0.2239355000, 0.3743041000, 0.8068642000, 2.4172835000", \
+                        "0.1665248000, 0.1749924000, 0.2035781000, 0.2653950000, 0.4203325000, 0.8492361000, 2.4291458000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.0390449000, 0.0454189000, 0.0666070000, 0.1387542000, 0.4085985000, 1.4021702000, 4.9949782000", \
+                        "0.0390821000, 0.0453616000, 0.0663917000, 0.1386709000, 0.4088597000, 1.4001944000, 5.0028732000", \
+                        "0.0386269000, 0.0452244000, 0.0662312000, 0.1385005000, 0.4084837000, 1.3987668000, 5.0014677000", \
+                        "0.0383423000, 0.0446161000, 0.0654467000, 0.1376821000, 0.4081710000, 1.3997773000, 4.9990883000", \
+                        "0.0453281000, 0.0512598000, 0.0714136000, 0.1401321000, 0.4076167000, 1.3990989000, 4.9998987000", \
+                        "0.0703327000, 0.0765230000, 0.0959273000, 0.1586197000, 0.4121897000, 1.4003327000, 5.0034630000", \
+                        "0.1221527000, 0.1307397000, 0.1551797000, 0.2146413000, 0.4389451000, 1.4094490000, 4.9951367000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.9411666000, 0.9584245000, 1.0052553000, 1.1085139000, 1.3165884000, 1.7726568000, 3.0494409000", \
+                        "0.9462006000, 0.9632058000, 1.0102367000, 1.1134388000, 1.3231457000, 1.7785166000, 3.0541973000", \
+                        "0.9641994000, 0.9813769000, 1.0283782000, 1.1323418000, 1.3414023000, 1.7938205000, 3.0702942000", \
+                        "1.0196258000, 1.0369414000, 1.0840422000, 1.1871955000, 1.3961442000, 1.8506826000, 3.1275136000", \
+                        "1.1691034000, 1.1864113000, 1.2335067000, 1.3363347000, 1.5437628000, 1.9999442000, 3.2770686000", \
+                        "1.5684928000, 1.5860088000, 1.6330646000, 1.7370346000, 1.9446471000, 2.4010203000, 3.6769545000", \
+                        "2.4575084000, 2.4784055000, 2.5351685000, 2.6563587000, 2.8940874000, 3.3818568000, 4.6741442000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1585273000, 0.1662936000, 0.1893396000, 0.2533371000, 0.4548808000, 1.1664374000, 3.7315218000", \
+                        "0.1667482000, 0.1746057000, 0.1975692000, 0.2615556000, 0.4628727000, 1.1745194000, 3.7370107000", \
+                        "0.1902433000, 0.1980206000, 0.2210548000, 0.2850112000, 0.4865052000, 1.1977396000, 3.7609849000", \
+                        "0.2586144000, 0.2663318000, 0.2891679000, 0.3527106000, 0.5539548000, 1.2651599000, 3.8272017000", \
+                        "0.4271807000, 0.4357171000, 0.4602617000, 0.5244030000, 0.7248386000, 1.4352228000, 4.0073025000", \
+                        "0.7224036000, 0.7348387000, 0.7674248000, 0.8429948000, 1.0480258000, 1.7571883000, 4.3217288000", \
+                        "1.1782078000, 1.1963842000, 1.2486375000, 1.3545857000, 1.5809134000, 2.2878075000, 4.8499378000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1320162000, 0.1397010000, 0.1637060000, 0.2226513000, 0.3709964000, 0.8080170000, 2.4189553000", \
+                        "0.1311618000, 0.1402367000, 0.1633855000, 0.2228552000, 0.3733959000, 0.8032703000, 2.4177409000", \
+                        "0.1308844000, 0.1397915000, 0.1654739000, 0.2264381000, 0.3737509000, 0.8090367000, 2.4176341000", \
+                        "0.1310081000, 0.1398926000, 0.1649082000, 0.2229268000, 0.3704898000, 0.8071001000, 2.4160864000", \
+                        "0.1314292000, 0.1397229000, 0.1644418000, 0.2229381000, 0.3719999000, 0.8078175000, 2.4196964000", \
+                        "0.1346829000, 0.1423975000, 0.1668474000, 0.2243092000, 0.3754204000, 0.8070948000, 2.4166291000", \
+                        "0.1759649000, 0.1859412000, 0.2130500000, 0.2738959000, 0.4257212000, 0.8488200000, 2.4342495000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.0375123000, 0.0437198000, 0.0643500000, 0.1357628000, 0.4055038000, 1.4007738000, 5.0000240000", \
+                        "0.0372832000, 0.0436392000, 0.0643520000, 0.1354817000, 0.4062084000, 1.3985195000, 4.9978048000", \
+                        "0.0373592000, 0.0435802000, 0.0642376000, 0.1357054000, 0.4058594000, 1.4015459000, 4.9992903000", \
+                        "0.0371206000, 0.0433413000, 0.0640192000, 0.1354398000, 0.4062076000, 1.4013802000, 4.9979945000", \
+                        "0.0449977000, 0.0509326000, 0.0699236000, 0.1386064000, 0.4053867000, 1.3972482000, 5.0031064000", \
+                        "0.0702726000, 0.0768692000, 0.0953839000, 0.1583954000, 0.4112701000, 1.4003777000, 4.9985101000", \
+                        "0.1231926000, 0.1328510000, 0.1580138000, 0.2175358000, 0.4371880000, 1.4043264000, 4.9977653000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.8870581000, 0.9043247000, 0.9510162000, 1.0542919000, 1.2641451000, 1.7195037000, 2.9944487000", \
+                        "0.8912321000, 0.9085212000, 0.9556533000, 1.0587650000, 1.2678703000, 1.7225575000, 2.9993652000", \
+                        "0.9072431000, 0.9244298000, 0.9713237000, 1.0746962000, 1.2843197000, 1.7401652000, 3.0148524000", \
+                        "0.9591062000, 0.9756039000, 1.0228899000, 1.1265907000, 1.3351094000, 1.7909452000, 3.0683753000", \
+                        "1.1109814000, 1.1280659000, 1.1750716000, 1.2780537000, 1.4856646000, 1.9417612000, 3.2190210000", \
+                        "1.5471918000, 1.5643358000, 1.6115976000, 1.7156283000, 1.9252588000, 2.3794706000, 3.6564995000", \
+                        "2.4975744000, 2.5192424000, 2.5792330000, 2.7049949000, 2.9455860000, 3.4307692000, 4.7072706000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1543698000, 0.1620058000, 0.1844713000, 0.2478017000, 0.4471785000, 1.1583293000, 3.7251171000", \
+                        "0.1626437000, 0.1701208000, 0.1928127000, 0.2561132000, 0.4556105000, 1.1664275000, 3.7325756000", \
+                        "0.1864256000, 0.1940561000, 0.2167166000, 0.2798427000, 0.4801520000, 1.1893853000, 3.7610079000", \
+                        "0.2545845000, 0.2621824000, 0.2847143000, 0.3474518000, 0.5477432000, 1.2571790000, 3.8287089000", \
+                        "0.4189863000, 0.4275257000, 0.4518549000, 0.5171820000, 0.7169488000, 1.4271755000, 3.9915364000", \
+                        "0.7013449000, 0.7135315000, 0.7471877000, 0.8222101000, 1.0267778000, 1.7350493000, 4.3066870000", \
+                        "1.1387830000, 1.1581790000, 1.2114157000, 1.3203385000, 1.5487942000, 2.2578854000, 4.8193800000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1317128000, 0.1405612000, 0.1638943000, 0.2224933000, 0.3727319000, 0.8050750000, 2.4200832000", \
+                        "0.1311457000, 0.1399552000, 0.1649905000, 0.2229818000, 0.3705817000, 0.8068644000, 2.4160960000", \
+                        "0.1311408000, 0.1397577000, 0.1633394000, 0.2220316000, 0.3729426000, 0.8070333000, 2.4151574000", \
+                        "0.1312338000, 0.1396059000, 0.1638470000, 0.2277332000, 0.3702767000, 0.8059560000, 2.4190121000", \
+                        "0.1309482000, 0.1397629000, 0.1640910000, 0.2228561000, 0.3724327000, 0.8073811000, 2.4199347000", \
+                        "0.1344561000, 0.1441945000, 0.1681156000, 0.2235812000, 0.3716173000, 0.8077890000, 2.4203006000", \
+                        "0.1912680000, 0.2015824000, 0.2249764000, 0.2854099000, 0.4266036000, 0.8487353000, 2.4354718000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.0364191000, 0.0425287000, 0.0633446000, 0.1341944000, 0.4040145000, 1.4020403000, 4.9988951000", \
+                        "0.0365614000, 0.0426893000, 0.0633236000, 0.1342809000, 0.4041588000, 1.4023096000, 4.9998676000", \
+                        "0.0364181000, 0.0425680000, 0.0630744000, 0.1343578000, 0.4045992000, 1.4009098000, 5.0031184000", \
+                        "0.0361866000, 0.0422322000, 0.0631125000, 0.1343710000, 0.4043051000, 1.3998772000, 5.0035696000", \
+                        "0.0452259000, 0.0510832000, 0.0709873000, 0.1381100000, 0.4043034000, 1.4015172000, 5.0001594000", \
+                        "0.0721884000, 0.0787360000, 0.0979051000, 0.1607859000, 0.4121417000, 1.3970628000, 4.9913691000", \
+                        "0.1281205000, 0.1374578000, 0.1643366000, 0.2232965000, 0.4401922000, 1.4078583000, 4.9966395000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.7760884000, 0.7932720000, 0.8399377000, 0.9433349000, 1.1525169000, 1.6080390000, 2.8853948000", \
+                        "0.7793157000, 0.7963002000, 0.8432013000, 0.9464216000, 1.1566639000, 1.6115468000, 2.8891598000", \
+                        "0.7919607000, 0.8086011000, 0.8559590000, 0.9595735000, 1.1693319000, 1.6245507000, 2.9010370000", \
+                        "0.8361511000, 0.8527171000, 0.9000412000, 1.0033725000, 1.2132770000, 1.6684796000, 2.9453842000", \
+                        "0.9798214000, 0.9970054000, 1.0440334000, 1.1473558000, 1.3573318000, 1.8131513000, 3.0889040000", \
+                        "1.4090910000, 1.4264194000, 1.4729461000, 1.5753512000, 1.7838393000, 2.2393323000, 3.5082781000", \
+                        "2.2516031000, 2.2758320000, 2.3389122000, 2.4714029000, 2.7089981000, 3.1829426000, 4.4794604000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1485796000, 0.1563521000, 0.1791900000, 0.2426202000, 0.4423136000, 1.1514031000, 3.7227894000", \
+                        "0.1571488000, 0.1648846000, 0.1876020000, 0.2513357000, 0.4513481000, 1.1587014000, 3.7330721000", \
+                        "0.1814764000, 0.1892513000, 0.2119260000, 0.2754010000, 0.4753107000, 1.1841035000, 3.7553409000", \
+                        "0.2505428000, 0.2582169000, 0.2809933000, 0.3439869000, 0.5441405000, 1.2532797000, 3.8165728000", \
+                        "0.4141818000, 0.4230128000, 0.4481313000, 0.5141162000, 0.7134889000, 1.4252497000, 3.9941712000", \
+                        "0.7000474000, 0.7133668000, 0.7474810000, 0.8266721000, 1.0321697000, 1.7344250000, 4.2982413000", \
+                        "1.1583061000, 1.1789349000, 1.2338301000, 1.3509991000, 1.5834398000, 2.2826885000, 4.8436033000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.1310200000, 0.1407226000, 0.1636467000, 0.2219945000, 0.3742597000, 0.8064371000, 2.4196685000", \
+                        "0.1309264000, 0.1404186000, 0.1643430000, 0.2231151000, 0.3724340000, 0.8051284000, 2.4195177000", \
+                        "0.1321050000, 0.1396533000, 0.1642188000, 0.2220722000, 0.3729605000, 0.8040107000, 2.4181814000", \
+                        "0.1311147000, 0.1393610000, 0.1638453000, 0.2222783000, 0.3729215000, 0.8039148000, 2.4199061000", \
+                        "0.1313709000, 0.1391794000, 0.1639097000, 0.2233866000, 0.3731939000, 0.8072278000, 2.4166322000", \
+                        "0.1356434000, 0.1436625000, 0.1660111000, 0.2230369000, 0.3745604000, 0.8074666000, 2.4202236000", \
+                        "0.2146387000, 0.2247788000, 0.2524873000, 0.3049034000, 0.4312994000, 0.8451257000, 2.4337727000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
+                    values("0.0367558000, 0.0429160000, 0.0632066000, 0.1341765000, 0.4042101000, 1.4053950000, 5.0039319000", \
+                        "0.0367812000, 0.0430563000, 0.0637400000, 0.1342118000, 0.4041610000, 1.3989433000, 5.0098334000", \
+                        "0.0367793000, 0.0429079000, 0.0634144000, 0.1344033000, 0.4040654000, 1.4037786000, 5.0095110000", \
+                        "0.0367927000, 0.0430176000, 0.0634298000, 0.1344690000, 0.4043411000, 1.3958302000, 4.9970299000", \
+                        "0.0470623000, 0.0530543000, 0.0721171000, 0.1391571000, 0.4039667000, 1.4012763000, 4.9939470000", \
+                        "0.0769665000, 0.0836011000, 0.1022786000, 0.1640724000, 0.4125776000, 1.3973918000, 4.9991291000", \
+                        "0.1372247000, 0.1474532000, 0.1734713000, 0.2352533000, 0.4469539000, 1.4081364000, 4.9938365000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or4b_1 */
+
+/* removed sky130_fd_sc_hd__or4b_2 */
+
+/* removed sky130_fd_sc_hd__or4b_4 */
+
+/* removed sky130_fd_sc_hd__or4bb_1 */
+
+/* removed sky130_fd_sc_hd__or4bb_2 */
+
+/* removed sky130_fd_sc_hd__or4bb_4 */
+
+/* removed sky130_fd_sc_hd__probe_p_8 */
+
+/* removed sky130_fd_sc_hd__probec_p_8 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_1 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_2 */
+
+/* removed sky130_fd_sc_hd__sdfbbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtn_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_4 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_1 */
+
+/* removed sky130_fd_sc_hd__sdfstp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_4 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_4 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_1 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_2 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_4 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_4 */
+
+/* removed sky130_fd_sc_hd__xnor2_1 */
+
+    cell ("sky130_fd_sc_hd__xnor2_2") {
+        leakage_power () {
+            value : 13.461831600;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 2.3136668000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 9.9959658000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 12.064981900;
+            when : "A&!B";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__xnor2";
+        cell_leakage_power : 9.4591120000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084310000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090610000;
+        }
+        pin ("B") {
+            capacitance : 0.0083560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082190000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0084920000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B) | (A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248760, 0.0040605460, 0.0115715500, 0.0329760700, 0.0939736600, 0.2678017000");
+                    values("0.0339171000, 0.0329484000, 0.0300021000, 0.0210213000, -0.005859700, -0.083552500, -0.305882900", \
+                        "0.0336729000, 0.0326990000, 0.0297607000, 0.0207970000, -0.006057500, -0.083770200, -0.306142200", \
+                        "0.0333209000, 0.0323514000, 0.0294137000, 0.0204431000, -0.006366300, -0.084148100, -0.306435200", \
+                        "0.0328490000, 0.0318453000, 0.0288373000, 0.0198286000, -0.006927500, -0.084621300, -0.306902200", \
+                        "0.0323198000, 0.0312828000, 0.0282470000, 0.0191973000, -0.007536800, -0.085060900, -0.307228500", \
+                        "0.0322500000, 0.0311026000, 0.0278545000, 0.0184908000, -0.007419700, -0.084765900, -0.306909200", \
+                        "0.0352964000, 0.0340693000, 0.0307936000, 0.0211505000, -0.005910900, -0.083479800, -0.304485700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248760, 0.0040605460, 0.0115715500, 0.0329760700, 0.0939736600, 0.2678017000");
+                    values("0.0078856000, 0.0092097000, 0.0128842000, 0.0227496000, 0.0497618000, 0.1270135000, 0.3469617000", \
+                        "0.0075843000, 0.0089370000, 0.0126305000, 0.0224370000, 0.0494822000, 0.1266866000, 0.3473099000", \
+                        "0.0069812000, 0.0083220000, 0.0119793000, 0.0218994000, 0.0489923000, 0.1261432000, 0.3465479000", \
+                        "0.0062663000, 0.0075868000, 0.0112486000, 0.0211992000, 0.0484686000, 0.1257650000, 0.3458516000", \
+                        "0.0062809000, 0.0074690000, 0.0108325000, 0.0208290000, 0.0480847000, 0.1256188000, 0.3441204000", \
+                        "0.0061465000, 0.0073979000, 0.0108936000, 0.0208091000, 0.0478741000, 0.1253383000, 0.3467946000", \
+                        "0.0075407000, 0.0086687000, 0.0121082000, 0.0217149000, 0.0494715000, 0.1274696000, 0.3481320000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248760, 0.0040605460, 0.0115715500, 0.0329760700, 0.0939736600, 0.2678017000");
+                    values("0.0330779000, 0.0318573000, 0.0283455000, 0.0183895000, -0.009620500, -0.088182500, -0.310945000", \
+                        "0.0328769000, 0.0316185000, 0.0281193000, 0.0181772000, -0.009826300, -0.088406500, -0.311231600", \
+                        "0.0321966000, 0.0310025000, 0.0275269000, 0.0176373000, -0.010271100, -0.088850100, -0.311596100", \
+                        "0.0310594000, 0.0298677000, 0.0265022000, 0.0168169000, -0.010863500, -0.089343800, -0.312111100", \
+                        "0.0299258000, 0.0287832000, 0.0254806000, 0.0160018000, -0.011337000, -0.089578000, -0.312333100", \
+                        "0.0292568000, 0.0281314000, 0.0248179000, 0.0153074000, -0.010971500, -0.088748100, -0.311333000", \
+                        "0.0317542000, 0.0306031000, 0.0272301000, 0.0176891000, -0.009422800, -0.087388800, -0.308719000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248760, 0.0040605460, 0.0115715500, 0.0329760700, 0.0939736600, 0.2678017000");
+                    values("0.0105736000, 0.0119464000, 0.0156039000, 0.0252801000, 0.0521857000, 0.1290507000, 0.3512553000", \
+                        "0.0102449000, 0.0116062000, 0.0152857000, 0.0249879000, 0.0518370000, 0.1290253000, 0.3471690000", \
+                        "0.0096370000, 0.0109803000, 0.0146146000, 0.0244060000, 0.0513980000, 0.1283942000, 0.3488342000", \
+                        "0.0088222000, 0.0101207000, 0.0136695000, 0.0235348000, 0.0508328000, 0.1279989000, 0.3499978000", \
+                        "0.0088057000, 0.0099808000, 0.0132028000, 0.0231798000, 0.0504370000, 0.1278478000, 0.3471384000", \
+                        "0.0095317000, 0.0108710000, 0.0143395000, 0.0241660000, 0.0512267000, 0.1281946000, 0.3480667000", \
+                        "0.0122953000, 0.0134874000, 0.0168397000, 0.0265934000, 0.0542087000, 0.1308469000, 0.3515176000");
+                }
+            }
+            max_capacitance : 0.0759990000;
+            max_transition : 1.4925250000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0815558000, 0.0883430000, 0.1073367000, 0.1580210000, 0.2975751000, 0.6883210000, 1.7967819000", \
+                        "0.0904862000, 0.0975491000, 0.1163906000, 0.1674693000, 0.3068841000, 0.6976686000, 1.8057579000", \
+                        "0.1122360000, 0.1194566000, 0.1384945000, 0.1896203000, 0.3294011000, 0.7203041000, 1.8285521000", \
+                        "0.1623262000, 0.1700462000, 0.1902261000, 0.2419254000, 0.3822253000, 0.7738783000, 1.8818322000", \
+                        "0.2670539000, 0.2780141000, 0.3053932000, 0.3733651000, 0.5286596000, 0.9220860000, 2.0321020000", \
+                        "0.4515130000, 0.4710478000, 0.5213932000, 0.6343269000, 0.8637664000, 1.3352506000, 2.4537867000", \
+                        "0.7102721000, 0.7488118000, 0.8449306000, 1.0674748000, 1.4921721000, 2.2273401000, 3.6066092000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1601816000, 0.1737834000, 0.2100475000, 0.3119582000, 0.5979561000, 1.4074726000, 3.7106609000", \
+                        "0.1672773000, 0.1800154000, 0.2168980000, 0.3189776000, 0.6049461000, 1.4143662000, 3.7177277000", \
+                        "0.1893579000, 0.2026511000, 0.2398925000, 0.3421173000, 0.6288932000, 1.4384571000, 3.7411665000", \
+                        "0.2496805000, 0.2628659000, 0.2998345000, 0.4026401000, 0.6900238000, 1.5009080000, 3.8031258000", \
+                        "0.3880723000, 0.4041133000, 0.4487693000, 0.5599318000, 0.8474618000, 1.6589482000, 3.9638381000", \
+                        "0.6436984000, 0.6724077000, 0.7443882000, 0.9106406000, 1.2747885000, 2.1052620000, 4.4112491000", \
+                        "1.0477629000, 1.1018181000, 1.2363647000, 1.5449491000, 2.1439484000, 3.2657634000, 5.6562968000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0584737000, 0.0667229000, 0.0897888000, 0.1542324000, 0.3380586000, 0.8585717000, 2.3461955000", \
+                        "0.0588631000, 0.0668798000, 0.0899501000, 0.1541488000, 0.3372785000, 0.8621175000, 2.3402740000", \
+                        "0.0587632000, 0.0669066000, 0.0900100000, 0.1545337000, 0.3379998000, 0.8583064000, 2.3396169000", \
+                        "0.0650173000, 0.0724044000, 0.0934881000, 0.1554650000, 0.3374913000, 0.8603200000, 2.3409064000", \
+                        "0.0960899000, 0.1055144000, 0.1293938000, 0.1910020000, 0.3543655000, 0.8593216000, 2.3451947000", \
+                        "0.1887113000, 0.2016203000, 0.2363262000, 0.3132158000, 0.4901521000, 0.9335982000, 2.3455431000", \
+                        "0.4138827000, 0.4355629000, 0.4976766000, 0.6185524000, 0.8627775000, 1.3519824000, 2.5896780000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1130883000, 0.1301337000, 0.1781275000, 0.3145580000, 0.7005845000, 1.8005685000, 4.9257083000", \
+                        "0.1131085000, 0.1299667000, 0.1783331000, 0.3141864000, 0.7014057000, 1.7998558000, 4.9275064000", \
+                        "0.1132070000, 0.1300047000, 0.1782472000, 0.3143897000, 0.7013738000, 1.8008555000, 4.9248801000", \
+                        "0.1132709000, 0.1301033000, 0.1780326000, 0.3141525000, 0.7014321000, 1.8012368000, 4.9242197000", \
+                        "0.1522249000, 0.1679336000, 0.2111908000, 0.3322786000, 0.7010935000, 1.8011154000, 4.9298889000", \
+                        "0.2669149000, 0.2872277000, 0.3405304000, 0.4752247000, 0.8040887000, 1.8108715000, 4.9256901000", \
+                        "0.5563560000, 0.5891900000, 0.6735438000, 0.8577623000, 1.2576350000, 2.1708155000, 4.9821085000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1746841000, 0.1829585000, 0.2043145000, 0.2573664000, 0.3962860000, 0.7864712000, 1.8907225000", \
+                        "0.1818032000, 0.1901228000, 0.2114304000, 0.2644889000, 0.4036970000, 0.7918572000, 1.8935691000", \
+                        "0.2047315000, 0.2129961000, 0.2343150000, 0.2873637000, 0.4264853000, 0.8149806000, 1.9179516000", \
+                        "0.2721410000, 0.2803772000, 0.3014549000, 0.3543256000, 0.4937453000, 0.8830114000, 1.9858646000", \
+                        "0.4385790000, 0.4472738000, 0.4694177000, 0.5241171000, 0.6646423000, 1.0536447000, 2.1569562000", \
+                        "0.7347900000, 0.7456161000, 0.7718448000, 0.8312656000, 0.9747125000, 1.3660817000, 2.4715037000", \
+                        "1.2214827000, 1.2374202000, 1.2749122000, 1.3517459000, 1.5060178000, 1.8967132000, 3.0016791000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1510630000, 0.1584328000, 0.1770533000, 0.2215750000, 0.3357682000, 0.6565590000, 1.5715754000", \
+                        "0.1588370000, 0.1662730000, 0.1848324000, 0.2293956000, 0.3439091000, 0.6657004000, 1.5871896000", \
+                        "0.1793453000, 0.1866998000, 0.2052487000, 0.2499567000, 0.3645289000, 0.6860276000, 1.6061846000", \
+                        "0.2323312000, 0.2397302000, 0.2582297000, 0.3032191000, 0.4186543000, 0.7407535000, 1.6553912000", \
+                        "0.3506690000, 0.3589172000, 0.3791553000, 0.4265459000, 0.5435870000, 0.8661750000, 1.7828977000", \
+                        "0.5596436000, 0.5706579000, 0.5959299000, 0.6497576000, 0.7713459000, 1.0958542000, 2.0129620000", \
+                        "0.8571476000, 0.8738545000, 0.9130720000, 0.9893919000, 1.1318346000, 1.4531691000, 2.3720741000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0451717000, 0.0534779000, 0.0751706000, 0.1369586000, 0.3173081000, 0.8374214000, 2.3223523000", \
+                        "0.0455057000, 0.0532999000, 0.0752218000, 0.1369312000, 0.3169121000, 0.8413226000, 2.3187774000", \
+                        "0.0455898000, 0.0534215000, 0.0752372000, 0.1370065000, 0.3167362000, 0.8398304000, 2.3184623000", \
+                        "0.0455572000, 0.0535937000, 0.0753179000, 0.1368561000, 0.3171441000, 0.8414163000, 2.3267661000", \
+                        "0.0516229000, 0.0594564000, 0.0806319000, 0.1403056000, 0.3178478000, 0.8399487000, 2.3171551000", \
+                        "0.0700811000, 0.0774978000, 0.0977582000, 0.1548710000, 0.3248453000, 0.8371150000, 2.3239926000", \
+                        "0.1133225000, 0.1221749000, 0.1437472000, 0.1960785000, 0.3489396000, 0.8470372000, 2.3260585000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0420855000, 0.0487822000, 0.0673971000, 0.1205857000, 0.2771093000, 0.7338462000, 2.0319766000", \
+                        "0.0417897000, 0.0486068000, 0.0673261000, 0.1208054000, 0.2771336000, 0.7348907000, 2.0404076000", \
+                        "0.0421008000, 0.0486477000, 0.0674868000, 0.1206929000, 0.2773994000, 0.7345163000, 2.0393387000", \
+                        "0.0425759000, 0.0492485000, 0.0678699000, 0.1209230000, 0.2772648000, 0.7350415000, 2.0388116000", \
+                        "0.0496681000, 0.0561521000, 0.0743940000, 0.1258422000, 0.2792407000, 0.7340055000, 2.0322191000", \
+                        "0.0687382000, 0.0761019000, 0.0932497000, 0.1410621000, 0.2863581000, 0.7361294000, 2.0379527000", \
+                        "0.1158874000, 0.1256089000, 0.1468646000, 0.1937805000, 0.3186129000, 0.7422606000, 2.0400950000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0619425000, 0.0684891000, 0.0866032000, 0.1361078000, 0.2731138000, 0.6605978000, 1.7626924000", \
+                        "0.0709407000, 0.0779002000, 0.0965621000, 0.1466587000, 0.2846278000, 0.6730438000, 1.7769721000", \
+                        "0.0919363000, 0.0991201000, 0.1187219000, 0.1700565000, 0.3082886000, 0.6962454000, 1.7986096000", \
+                        "0.1364910000, 0.1450998000, 0.1670852000, 0.2206865000, 0.3611834000, 0.7501548000, 1.8553693000", \
+                        "0.2204137000, 0.2343179000, 0.2677086000, 0.3428709000, 0.5042629000, 0.8977777000, 2.0024713000", \
+                        "0.3490606000, 0.3763586000, 0.4417056000, 0.5769553000, 0.8284312000, 1.3092398000, 2.4264357000", \
+                        "0.4818758000, 0.5409410000, 0.6749009000, 0.9475181000, 1.4203217000, 2.2024360000, 3.5857779000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1261428000, 0.1396381000, 0.1761842000, 0.2779510000, 0.5641669000, 1.3737204000, 3.6770975000", \
+                        "0.1302056000, 0.1431116000, 0.1802538000, 0.2826957000, 0.5690461000, 1.3789548000, 3.6804073000", \
+                        "0.1468504000, 0.1599684000, 0.1974476000, 0.3005191000, 0.5878456000, 1.4000775000, 3.7017567000", \
+                        "0.2055341000, 0.2188885000, 0.2560674000, 0.3592434000, 0.6451676000, 1.4587658000, 3.7604844000", \
+                        "0.3473956000, 0.3673912000, 0.4175349000, 0.5311350000, 0.8175042000, 1.6274708000, 3.9317567000", \
+                        "0.5829504000, 0.6178690000, 0.7054861000, 0.9046313000, 1.2967163000, 2.1120399000, 4.4133333000", \
+                        "0.9543726000, 1.0150402000, 1.1695239000, 1.5201055000, 2.2150304000, 3.4425025000, 5.8007346000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0370594000, 0.0457844000, 0.0689615000, 0.1338928000, 0.3182525000, 0.8395470000, 2.3172833000", \
+                        "0.0377583000, 0.0465296000, 0.0701233000, 0.1353272000, 0.3166425000, 0.8402719000, 2.3247491000", \
+                        "0.0383318000, 0.0468026000, 0.0702932000, 0.1354172000, 0.3180078000, 0.8395096000, 2.3178734000", \
+                        "0.0471859000, 0.0552960000, 0.0765252000, 0.1377092000, 0.3178269000, 0.8378586000, 2.3215647000", \
+                        "0.0802740000, 0.0900037000, 0.1153259000, 0.1792224000, 0.3379600000, 0.8384042000, 2.3158218000", \
+                        "0.1752543000, 0.1904568000, 0.2269639000, 0.3056269000, 0.4795075000, 0.9187234000, 2.3200701000", \
+                        "0.4158431000, 0.4396047000, 0.4991361000, 0.6235780000, 0.8615963000, 1.3575560000, 2.5720570000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1127853000, 0.1297913000, 0.1780119000, 0.3144411000, 0.7007017000, 1.8001340000, 4.9262447000", \
+                        "0.1125009000, 0.1297415000, 0.1779678000, 0.3141271000, 0.7014636000, 1.8009750000, 4.9260458000", \
+                        "0.1120981000, 0.1293903000, 0.1778243000, 0.3143561000, 0.7014027000, 1.7991657000, 4.9257283000", \
+                        "0.1168485000, 0.1324058000, 0.1774180000, 0.3139979000, 0.7010067000, 1.8018952000, 4.9259343000", \
+                        "0.1789664000, 0.1962072000, 0.2408188000, 0.3479511000, 0.7020480000, 1.8016593000, 4.9288400000", \
+                        "0.3235618000, 0.3514103000, 0.4189682000, 0.5651771000, 0.8646350000, 1.8153736000, 4.9226729000", \
+                        "0.5929569000, 0.6427456000, 0.7646934000, 1.0212872000, 1.4896852000, 2.3347742000, 4.9931197000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1786152000, 0.1855337000, 0.2044734000, 0.2536768000, 0.3895693000, 0.7773471000, 1.8840696000", \
+                        "0.1861035000, 0.1930568000, 0.2118256000, 0.2610607000, 0.3969927000, 0.7845786000, 1.8911948000", \
+                        "0.2074301000, 0.2147138000, 0.2336452000, 0.2833794000, 0.4194692000, 0.8068744000, 1.9150870000", \
+                        "0.2716203000, 0.2789237000, 0.2982398000, 0.3487961000, 0.4861258000, 0.8739744000, 1.9810857000", \
+                        "0.4208266000, 0.4290983000, 0.4503934000, 0.5045032000, 0.6443419000, 1.0333325000, 2.1402384000", \
+                        "0.6793659000, 0.6897248000, 0.7154781000, 0.7747639000, 0.9186252000, 1.3131443000, 2.4212734000", \
+                        "1.0956993000, 1.1113134000, 1.1486089000, 1.2265101000, 1.3858689000, 1.7778263000, 2.8918636000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.1420393000, 0.1495141000, 0.1679505000, 0.2119464000, 0.3256839000, 0.6483210000, 1.5633433000", \
+                        "0.1477128000, 0.1551726000, 0.1736460000, 0.2177421000, 0.3312889000, 0.6535926000, 1.5669565000", \
+                        "0.1671352000, 0.1745249000, 0.1929665000, 0.2372153000, 0.3514312000, 0.6720525000, 1.5930796000", \
+                        "0.2249151000, 0.2322389000, 0.2505727000, 0.2954197000, 0.4105881000, 0.7332790000, 1.6477937000", \
+                        "0.3428694000, 0.3510047000, 0.3711005000, 0.4179375000, 0.5343318000, 0.8567053000, 1.7817248000", \
+                        "0.5351175000, 0.5462563000, 0.5713015000, 0.6240454000, 0.7444592000, 1.0643422000, 1.9799768000", \
+                        "0.7972569000, 0.8148940000, 0.8539581000, 0.9310219000, 1.0685109000, 1.3852189000, 2.3031570000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0637864000, 0.0717931000, 0.0940401000, 0.1567889000, 0.3374990000, 0.8597895000, 2.3462094000", \
+                        "0.0634540000, 0.0714770000, 0.0940448000, 0.1567757000, 0.3374649000, 0.8599088000, 2.3461850000", \
+                        "0.0638429000, 0.0717085000, 0.0941069000, 0.1569251000, 0.3378296000, 0.8582776000, 2.3431932000", \
+                        "0.0644810000, 0.0722403000, 0.0948931000, 0.1569817000, 0.3376949000, 0.8582245000, 2.3444138000", \
+                        "0.0640138000, 0.0721335000, 0.0951483000, 0.1580680000, 0.3378483000, 0.8595588000, 2.3451172000", \
+                        "0.0751922000, 0.0833088000, 0.1049068000, 0.1634828000, 0.3370592000, 0.8555691000, 2.3426014000", \
+                        "0.1152870000, 0.1254291000, 0.1500783000, 0.2066063000, 0.3600828000, 0.8580999000, 2.3416928000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014248800, 0.0040605500, 0.0115716000, 0.0329761000, 0.0939737000, 0.2678020000");
+                    values("0.0421910000, 0.0487427000, 0.0674454000, 0.1209054000, 0.2769883000, 0.7345716000, 2.0375710000", \
+                        "0.0420982000, 0.0487851000, 0.0674271000, 0.1208463000, 0.2769636000, 0.7370477000, 2.0315531000", \
+                        "0.0420773000, 0.0488101000, 0.0674268000, 0.1210287000, 0.2773571000, 0.7357814000, 2.0389581000", \
+                        "0.0429690000, 0.0496840000, 0.0685360000, 0.1213023000, 0.2770766000, 0.7340074000, 2.0480762000", \
+                        "0.0511238000, 0.0571238000, 0.0752012000, 0.1265793000, 0.2802518000, 0.7337073000, 2.0380393000", \
+                        "0.0757441000, 0.0818268000, 0.0977027000, 0.1421074000, 0.2862805000, 0.7366136000, 2.0345148000", \
+                        "0.1270602000, 0.1354995000, 0.1547982000, 0.1984716000, 0.3170462000, 0.7426776000, 2.0422013000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__xnor2_4") {
+        leakage_power () {
+            value : 17.635665400;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 5.5653619000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 14.800736600;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 16.256359800;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xnor2";
+        cell_leakage_power : 13.564530000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0169470000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0163220000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0175720000;
+        }
+        pin ("B") {
+            capacitance : 0.0161920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0159160000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0164680000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B) | (A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
+                    values("-0.006059500, -0.007250400, -0.011195000, -0.024088100, -0.065577600, -0.196596000, -0.607801100", \
+                        "-0.006456800, -0.007582100, -0.011341500, -0.024025800, -0.065348500, -0.196308300, -0.607486800", \
+                        "-0.007368200, -0.008443100, -0.012027500, -0.024322400, -0.065292900, -0.196052800, -0.607201200", \
+                        "-0.008584500, -0.009706700, -0.013266300, -0.025337600, -0.065748700, -0.196091200, -0.607080700", \
+                        "-0.007970600, -0.009296900, -0.013432400, -0.026604000, -0.066664600, -0.196353800, -0.606826700", \
+                        "-0.007876100, -0.009245200, -0.013480300, -0.026639000, -0.068291500, -0.197864100, -0.607740000", \
+                        "-0.005083500, -0.006524300, -0.011018000, -0.024818800, -0.067069300, -0.198364300, -0.608667900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
+                    values("0.0169296000, 0.0184626000, 0.0231367000, 0.0368971000, 0.0779361000, 0.2084145000, 0.6136520000", \
+                        "0.0162252000, 0.0178097000, 0.0225385000, 0.0362720000, 0.0774211000, 0.2066992000, 0.6107078000", \
+                        "0.0150260000, 0.0165541000, 0.0212329000, 0.0350999000, 0.0764960000, 0.2058964000, 0.6139111000", \
+                        "0.0135497000, 0.0150482000, 0.0197127000, 0.0334917000, 0.0753715000, 0.2054295000, 0.6121709000", \
+                        "0.0134127000, 0.0147509000, 0.0188415000, 0.0327658000, 0.0743882000, 0.2060232000, 0.6152695000", \
+                        "0.0145840000, 0.0159922000, 0.0204119000, 0.0340802000, 0.0759240000, 0.2048064000, 0.6151221000", \
+                        "0.0195523000, 0.0209877000, 0.0249288000, 0.0384992000, 0.0810118000, 0.2116719000, 0.6185859000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
+                    values("0.0690202000, 0.0678853000, 0.0643112000, 0.0521501000, 0.0114393000, -0.119241900, -0.530350400", \
+                        "0.0686454000, 0.0675245000, 0.0638930000, 0.0516661000, 0.0110035000, -0.119587900, -0.530937200", \
+                        "0.0678279000, 0.0667091000, 0.0631407000, 0.0509450000, 0.0102873000, -0.120191800, -0.531635100", \
+                        "0.0669327000, 0.0657880000, 0.0621092000, 0.0498112000, 0.0092133000, -0.121266400, -0.532283500", \
+                        "0.0658118000, 0.0645975000, 0.0608837000, 0.0484815000, 0.0078905000, -0.122261200, -0.533030300", \
+                        "0.0654548000, 0.0641435000, 0.0601301000, 0.0471355000, 0.0078958000, -0.121833800, -0.532269000", \
+                        "0.0707559000, 0.0693049000, 0.0655082000, 0.0520561000, 0.0107850000, -0.119651700, -0.528183100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
+                    values("0.0118925000, 0.0134289000, 0.0181655000, 0.0320739000, 0.0733447000, 0.2015419000, 0.6064687000", \
+                        "0.0113290000, 0.0128838000, 0.0176739000, 0.0315923000, 0.0729106000, 0.2034984000, 0.6075804000", \
+                        "0.0102515000, 0.0117591000, 0.0164780000, 0.0304478000, 0.0719904000, 0.2015883000, 0.6056020000", \
+                        "0.0087960000, 0.0103279000, 0.0150412000, 0.0290743000, 0.0709878000, 0.2011754000, 0.6080148000", \
+                        "0.0089115000, 0.0097374000, 0.0139268000, 0.0280098000, 0.0698725000, 0.2002288000, 0.6041757000", \
+                        "0.0085356000, 0.0102949000, 0.0143834000, 0.0286486000, 0.0712036000, 0.2007821000, 0.6110734000", \
+                        "0.0114308000, 0.0127589000, 0.0169334000, 0.0306065000, 0.0729509000, 0.2052900000, 0.6099261000");
+                }
+            }
+            max_capacitance : 0.1327610000;
+            max_transition : 1.4867720000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0851259000, 0.0895104000, 0.1025105000, 0.1416084000, 0.2578618000, 0.6136005000, 1.7211265000", \
+                        "0.0937914000, 0.0982204000, 0.1112928000, 0.1504509000, 0.2665638000, 0.6226293000, 1.7305021000", \
+                        "0.1152122000, 0.1197096000, 0.1331661000, 0.1723631000, 0.2887454000, 0.6446355000, 1.7530429000", \
+                        "0.1634013000, 0.1684546000, 0.1827745000, 0.2228939000, 0.3400374000, 0.6966497000, 1.8046697000", \
+                        "0.2628695000, 0.2696352000, 0.2891611000, 0.3430314000, 0.4786246000, 0.8393933000, 1.9500084000", \
+                        "0.4322884000, 0.4447320000, 0.4809627000, 0.5731598000, 0.7803590000, 1.2297592000, 2.3546829000", \
+                        "0.6422621000, 0.6681036000, 0.7388119000, 0.9205895000, 1.3130023000, 2.0408502000, 3.4572607000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1760393000, 0.1849660000, 0.2110199000, 0.2922664000, 0.5418953000, 1.3162804000, 3.7340495000", \
+                        "0.1822234000, 0.1907909000, 0.2174509000, 0.2991158000, 0.5487634000, 1.3230027000, 3.7409866000", \
+                        "0.2035722000, 0.2119420000, 0.2395467000, 0.3214611000, 0.5722106000, 1.3466724000, 3.7664796000", \
+                        "0.2630924000, 0.2721071000, 0.2989727000, 0.3813302000, 0.6333460000, 1.4093962000, 3.8282573000", \
+                        "0.4047396000, 0.4159608000, 0.4489816000, 0.5393008000, 0.7910329000, 1.5678681000, 3.9888222000", \
+                        "0.6795529000, 0.6978753000, 0.7490520000, 0.8836124000, 1.2109083000, 2.0132898000, 4.4357606000", \
+                        "1.1415604000, 1.1752265000, 1.2707958000, 1.5212135000, 2.0671960000, 3.1763567000, 5.6898086000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0589833000, 0.0640303000, 0.0799174000, 0.1289679000, 0.2823334000, 0.7616051000, 2.2614339000", \
+                        "0.0591675000, 0.0642675000, 0.0801049000, 0.1289597000, 0.2822246000, 0.7619657000, 2.2619853000", \
+                        "0.0591256000, 0.0643581000, 0.0801099000, 0.1290626000, 0.2822362000, 0.7606178000, 2.2602384000", \
+                        "0.0653192000, 0.0698780000, 0.0842555000, 0.1310455000, 0.2820217000, 0.7603588000, 2.2659539000", \
+                        "0.0953230000, 0.1010166000, 0.1179195000, 0.1666987000, 0.3037699000, 0.7636035000, 2.2618081000", \
+                        "0.1859874000, 0.1946202000, 0.2188714000, 0.2821289000, 0.4369391000, 0.8524766000, 2.2691913000", \
+                        "0.4095739000, 0.4244559000, 0.4674008000, 0.5789434000, 0.7974435000, 1.2698151000, 2.5307294000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1208399000, 0.1319119000, 0.1661890000, 0.2737438000, 0.6098302000, 1.6550246000, 4.9304494000", \
+                        "0.1208810000, 0.1319714000, 0.1663863000, 0.2740669000, 0.6091468000, 1.6573205000, 4.9359112000", \
+                        "0.1209786000, 0.1317979000, 0.1665204000, 0.2739311000, 0.6095706000, 1.6584274000, 4.9365023000", \
+                        "0.1207158000, 0.1317504000, 0.1660941000, 0.2735496000, 0.6095476000, 1.6576295000, 4.9307447000", \
+                        "0.1564481000, 0.1669591000, 0.1990950000, 0.2938788000, 0.6120284000, 1.6589601000, 4.9339905000", \
+                        "0.2666826000, 0.2799702000, 0.3180494000, 0.4283204000, 0.7223039000, 1.6762729000, 4.9345443000", \
+                        "0.5552011000, 0.5765516000, 0.6346412000, 0.7850074000, 1.1440593000, 2.0424940000, 4.9846398000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1820877000, 0.1873371000, 0.2025259000, 0.2435092000, 0.3562877000, 0.6962433000, 1.7581905000", \
+                        "0.1892086000, 0.1944659000, 0.2096427000, 0.2505015000, 0.3632867000, 0.7037474000, 1.7610645000", \
+                        "0.2119986000, 0.2172461000, 0.2324732000, 0.2733718000, 0.3863161000, 0.7271206000, 1.7852788000", \
+                        "0.2796409000, 0.2848119000, 0.2998334000, 0.3405871000, 0.4534658000, 0.7938648000, 1.8512729000", \
+                        "0.4518142000, 0.4573710000, 0.4731980000, 0.5153089000, 0.6291038000, 0.9701812000, 2.0287869000", \
+                        "0.7682454000, 0.7751833000, 0.7944045000, 0.8411637000, 0.9603528000, 1.3036778000, 2.3642326000", \
+                        "1.3074044000, 1.3177309000, 1.3459349000, 1.4108079000, 1.5457535000, 1.8907456000, 2.9541716000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1558746000, 0.1610094000, 0.1754592000, 0.2132379000, 0.3151708000, 0.6279228000, 1.6067425000", \
+                        "0.1636329000, 0.1687821000, 0.1833038000, 0.2210736000, 0.3231508000, 0.6361918000, 1.6096534000", \
+                        "0.1835586000, 0.1886698000, 0.2030456000, 0.2409259000, 0.3436943000, 0.6557621000, 1.6336554000", \
+                        "0.2344236000, 0.2395538000, 0.2540138000, 0.2921558000, 0.3957782000, 0.7087799000, 1.6846873000", \
+                        "0.3473026000, 0.3530572000, 0.3686902000, 0.4092025000, 0.5156265000, 0.8291844000, 1.8082943000", \
+                        "0.5434020000, 0.5507913000, 0.5698902000, 0.6170065000, 0.7285784000, 1.0445798000, 2.0255182000", \
+                        "0.8023865000, 0.8136611000, 0.8437565000, 0.9118367000, 1.0454662000, 1.3658130000, 2.3497189000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0439832000, 0.0489239000, 0.0640852000, 0.1093287000, 0.2530421000, 0.7115374000, 2.1506570000", \
+                        "0.0444087000, 0.0492656000, 0.0637409000, 0.1093250000, 0.2529434000, 0.7099748000, 2.1469675000", \
+                        "0.0443952000, 0.0492989000, 0.0641085000, 0.1094842000, 0.2528683000, 0.7123420000, 2.1535800000", \
+                        "0.0444200000, 0.0493350000, 0.0642341000, 0.1094946000, 0.2528062000, 0.7148597000, 2.1499660000", \
+                        "0.0490995000, 0.0539587000, 0.0693481000, 0.1136154000, 0.2544953000, 0.7112933000, 2.1505935000", \
+                        "0.0687602000, 0.0734334000, 0.0880494000, 0.1300289000, 0.2634639000, 0.7128509000, 2.1456656000", \
+                        "0.1137854000, 0.1191044000, 0.1346509000, 0.1762155000, 0.2951694000, 0.7197450000, 2.1556128000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0434825000, 0.0479181000, 0.0615319000, 0.1036460000, 0.2388665000, 0.6736591000, 2.0438544000", \
+                        "0.0434617000, 0.0478410000, 0.0614572000, 0.1035840000, 0.2390700000, 0.6769553000, 2.0449387000", \
+                        "0.0433187000, 0.0478190000, 0.0615870000, 0.1036546000, 0.2388486000, 0.6750255000, 2.0428428000", \
+                        "0.0439861000, 0.0484125000, 0.0619801000, 0.1037823000, 0.2387132000, 0.6780647000, 2.0403761000", \
+                        "0.0510051000, 0.0550713000, 0.0686492000, 0.1092453000, 0.2414550000, 0.6747362000, 2.0441953000", \
+                        "0.0700941000, 0.0741012000, 0.0870718000, 0.1252960000, 0.2493359000, 0.6779755000, 2.0451631000", \
+                        "0.1196126000, 0.1252615000, 0.1415819000, 0.1805717000, 0.2859302000, 0.6838000000, 2.0467756000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0656489000, 0.0696442000, 0.0818002000, 0.1181013000, 0.2278416000, 0.5677012000, 1.6261246000", \
+                        "0.0736037000, 0.0779143000, 0.0905786000, 0.1275379000, 0.2381607000, 0.5774157000, 1.6344820000", \
+                        "0.0943892000, 0.0989220000, 0.1121442000, 0.1502822000, 0.2617993000, 0.6008696000, 1.6626484000", \
+                        "0.1378921000, 0.1431681000, 0.1585199000, 0.1998362000, 0.3133414000, 0.6540779000, 1.7141799000", \
+                        "0.2171242000, 0.2255162000, 0.2493760000, 0.3098270000, 0.4489972000, 0.7978643000, 1.8605675000", \
+                        "0.3308484000, 0.3466696000, 0.3937823000, 0.5079300000, 0.7354081000, 1.1920543000, 2.2728981000", \
+                        "0.4104726000, 0.4468786000, 0.5465586000, 0.7768024000, 1.2231337000, 1.9857223000, 3.3988994000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1431750000, 0.1518386000, 0.1788972000, 0.2598247000, 0.5097643000, 1.2838113000, 3.7025829000", \
+                        "0.1460668000, 0.1550575000, 0.1822015000, 0.2635794000, 0.5139044000, 1.2883629000, 3.7070504000", \
+                        "0.1614959000, 0.1707372000, 0.1983120000, 0.2811658000, 0.5317617000, 1.3096364000, 3.7257579000", \
+                        "0.2186309000, 0.2275968000, 0.2548433000, 0.3370695000, 0.5893307000, 1.3670307000, 3.7858783000", \
+                        "0.3696693000, 0.3821950000, 0.4186610000, 0.5121696000, 0.7602655000, 1.5377660000, 3.9570720000", \
+                        "0.6302663000, 0.6526175000, 0.7168687000, 0.8810230000, 1.2425447000, 2.0341371000, 4.4462665000", \
+                        "1.0702793000, 1.1046629000, 1.2150839000, 1.5030689000, 2.1408562000, 3.3627900000, 5.8550351000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0353060000, 0.0403530000, 0.0560220000, 0.1042467000, 0.2522315000, 0.7121627000, 2.1460504000", \
+                        "0.0357920000, 0.0409588000, 0.0567838000, 0.1047547000, 0.2526923000, 0.7122042000, 2.1508890000", \
+                        "0.0364033000, 0.0415386000, 0.0574858000, 0.1057350000, 0.2532531000, 0.7114933000, 2.1500866000", \
+                        "0.0451832000, 0.0501761000, 0.0649094000, 0.1091372000, 0.2532733000, 0.7117090000, 2.1541890000", \
+                        "0.0777989000, 0.0838268000, 0.1015340000, 0.1499259000, 0.2805056000, 0.7133338000, 2.1522033000", \
+                        "0.1716667000, 0.1812187000, 0.2078581000, 0.2756768000, 0.4229866000, 0.8172275000, 2.1512416000", \
+                        "0.4129219000, 0.4276945000, 0.4703281000, 0.5772264000, 0.7917185000, 1.2469724000, 2.4459215000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1204984000, 0.1315576000, 0.1659719000, 0.2740493000, 0.6089528000, 1.6577220000, 4.9317310000", \
+                        "0.1203734000, 0.1315991000, 0.1662060000, 0.2737229000, 0.6097600000, 1.6585692000, 4.9322288000", \
+                        "0.1201804000, 0.1313112000, 0.1661041000, 0.2741946000, 0.6095012000, 1.6591830000, 4.9313753000", \
+                        "0.1224708000, 0.1329023000, 0.1659043000, 0.2734478000, 0.6094021000, 1.6574621000, 4.9320357000", \
+                        "0.1858846000, 0.1965294000, 0.2292656000, 0.3113409000, 0.6136431000, 1.6581392000, 4.9349396000", \
+                        "0.3273406000, 0.3466973000, 0.3951185000, 0.5161014000, 0.7903512000, 1.6803282000, 4.9298379000", \
+                        "0.5980629000, 0.6308304000, 0.7228717000, 0.9376507000, 1.3784520000, 2.2203810000, 4.9939164000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1757339000, 0.1803080000, 0.1935170000, 0.2312839000, 0.3439712000, 0.6963149000, 1.8034702000", \
+                        "0.1830860000, 0.1874774000, 0.2009139000, 0.2388124000, 0.3515420000, 0.7036748000, 1.8095958000", \
+                        "0.2055533000, 0.2097749000, 0.2231977000, 0.2612996000, 0.3745953000, 0.7265133000, 1.8320735000", \
+                        "0.2706902000, 0.2751130000, 0.2888359000, 0.3278007000, 0.4422154000, 0.7945887000, 1.9014312000", \
+                        "0.4267422000, 0.4319620000, 0.4469380000, 0.4885765000, 0.6052475000, 0.9588796000, 2.0644284000", \
+                        "0.7055980000, 0.7122166000, 0.7308311000, 0.7782792000, 0.9004603000, 1.2600435000, 2.3667672000", \
+                        "1.1736874000, 1.1839429000, 1.2121104000, 1.2765389000, 1.4164029000, 1.7797798000, 2.8918590000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.1480348000, 0.1531161000, 0.1674076000, 0.2048437000, 0.3060993000, 0.6184989000, 1.5928983000", \
+                        "0.1535935000, 0.1587511000, 0.1731063000, 0.2104024000, 0.3120483000, 0.6234580000, 1.6003294000", \
+                        "0.1721583000, 0.1772624000, 0.1916027000, 0.2292210000, 0.3314845000, 0.6420575000, 1.6253365000", \
+                        "0.2287583000, 0.2338337000, 0.2481128000, 0.2861154000, 0.3895304000, 0.7021582000, 1.6779171000", \
+                        "0.3429757000, 0.3485112000, 0.3639788000, 0.4042990000, 0.5091378000, 0.8240571000, 1.8016433000", \
+                        "0.5233868000, 0.5307683000, 0.5508147000, 0.5964387000, 0.7058168000, 1.0170619000, 1.9977673000", \
+                        "0.7492321000, 0.7607701000, 0.7912277000, 0.8592657000, 0.9889892000, 1.2994560000, 2.2799882000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0632977000, 0.0685332000, 0.0839548000, 0.1317971000, 0.2824233000, 0.7619412000, 2.2602471000", \
+                        "0.0633772000, 0.0685549000, 0.0840204000, 0.1318680000, 0.2828850000, 0.7605734000, 2.2662332000", \
+                        "0.0634826000, 0.0685694000, 0.0840927000, 0.1318264000, 0.2826012000, 0.7605528000, 2.2601658000", \
+                        "0.0639359000, 0.0691441000, 0.0845960000, 0.1321621000, 0.2825526000, 0.7619576000, 2.2630151000", \
+                        "0.0627140000, 0.0678760000, 0.0841962000, 0.1327571000, 0.2822816000, 0.7616064000, 2.2638542000", \
+                        "0.0734676000, 0.0787186000, 0.0942076000, 0.1396227000, 0.2825783000, 0.7571327000, 2.2611608000", \
+                        "0.1172671000, 0.1244770000, 0.1397941000, 0.1887977000, 0.3148591000, 0.7609813000, 2.2594651000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
+                    values("0.0433569000, 0.0478902000, 0.0616049000, 0.1039103000, 0.2392430000, 0.6773093000, 2.0398703000", \
+                        "0.0433079000, 0.0477056000, 0.0615978000, 0.1039110000, 0.2387298000, 0.6754295000, 2.0387541000", \
+                        "0.0435124000, 0.0478915000, 0.0615109000, 0.1038663000, 0.2391472000, 0.6750388000, 2.0542319000", \
+                        "0.0444501000, 0.0487813000, 0.0623661000, 0.1044103000, 0.2389555000, 0.6781662000, 2.0405171000", \
+                        "0.0520407000, 0.0562328000, 0.0690552000, 0.1101557000, 0.2431600000, 0.6765758000, 2.0496997000", \
+                        "0.0761720000, 0.0799737000, 0.0923481000, 0.1268728000, 0.2496598000, 0.6798104000, 2.0441326000", \
+                        "0.1296819000, 0.1343739000, 0.1480715000, 0.1836234000, 0.2843081000, 0.6854401000, 2.0561144000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xnor3_1 */
+
+/* removed sky130_fd_sc_hd__xnor3_2 */
+
+/* removed sky130_fd_sc_hd__xnor3_4 */
+
+/* removed sky130_fd_sc_hd__xor2_1 */
+
+    cell ("sky130_fd_sc_hd__xor2_2") {
+        leakage_power () {
+            value : 6.8854407000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 10.624877900;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 6.2662910000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 5.4922511000;
+            when : "A&!B";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__xor2";
+        cell_leakage_power : 7.3172150000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0090560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087190000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0093920000;
+        }
+        pin ("B") {
+            capacitance : 0.0082820000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080220000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085420000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&!B) | (!A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387420, 0.0041399600, 0.0119126700, 0.0342785300, 0.0986359500, 0.2838234000");
+                    values("-0.003629800, -0.004836500, -0.008239300, -0.018034200, -0.046396400, -0.128492900, -0.365194200", \
+                        "-0.003968100, -0.005162400, -0.008534900, -0.018349900, -0.046709400, -0.128832700, -0.365582600", \
+                        "-0.004466200, -0.005643000, -0.008987300, -0.018729000, -0.047084800, -0.129168000, -0.365963200", \
+                        "-0.004967000, -0.006120600, -0.009472200, -0.019137900, -0.047382700, -0.129393000, -0.366138700", \
+                        "-0.005177000, -0.006345100, -0.009662700, -0.019387600, -0.047502500, -0.129397200, -0.366143800", \
+                        "-0.004771200, -0.005981400, -0.009420500, -0.019313400, -0.048075600, -0.129883500, -0.366476700", \
+                        "-0.003512800, -0.004746900, -0.008266200, -0.018361500, -0.047165300, -0.129982400, -0.366614700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387420, 0.0041399600, 0.0119126700, 0.0342785300, 0.0986359500, 0.2838234000");
+                    values("0.0297946000, 0.0309771000, 0.0344354000, 0.0443539000, 0.0727104000, 0.1541541000, 0.3884616000", \
+                        "0.0295460000, 0.0307709000, 0.0342809000, 0.0442127000, 0.0726257000, 0.1539859000, 0.3885896000", \
+                        "0.0292018000, 0.0304555000, 0.0339624000, 0.0440041000, 0.0724456000, 0.1539987000, 0.3887028000", \
+                        "0.0289226000, 0.0301568000, 0.0336746000, 0.0436927000, 0.0722769000, 0.1537901000, 0.3884240000", \
+                        "0.0286721000, 0.0299069000, 0.0333944000, 0.0433862000, 0.0718823000, 0.1535132000, 0.3882312000", \
+                        "0.0277934000, 0.0290104000, 0.0328869000, 0.0433349000, 0.0719550000, 0.1537383000, 0.3882548000", \
+                        "0.0286113000, 0.0298769000, 0.0331915000, 0.0430013000, 0.0717012000, 0.1535568000, 0.3886024000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387420, 0.0041399600, 0.0119126700, 0.0342785300, 0.0986359500, 0.2838234000");
+                    values("-0.000935100, -0.002110700, -0.005527500, -0.015332700, -0.043711800, -0.125856000, -0.362674500", \
+                        "-0.001158100, -0.002344600, -0.005763100, -0.015514900, -0.043885200, -0.126013600, -0.362831300", \
+                        "-0.001577800, -0.002756700, -0.006078900, -0.015803900, -0.044101400, -0.126206900, -0.362968400", \
+                        "-0.002046200, -0.003180300, -0.006600600, -0.016124500, -0.044389900, -0.126357300, -0.363136300", \
+                        "-0.002247000, -0.003443200, -0.006726300, -0.016366400, -0.044567300, -0.126461100, -0.363019600", \
+                        "-0.001349300, -0.002557300, -0.006057600, -0.016022800, -0.044770100, -0.126719300, -0.363336300", \
+                        "0.0010393000, -0.000143900, -0.003679600, -0.014103000, -0.043170700, -0.126092300, -0.363190300");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387420, 0.0041399600, 0.0119126700, 0.0342785300, 0.0986359500, 0.2838234000");
+                    values("0.0236322000, 0.0249250000, 0.0285615000, 0.0386162000, 0.0671707000, 0.1491083000, 0.3840739000", \
+                        "0.0233429000, 0.0246746000, 0.0283900000, 0.0384752000, 0.0672385000, 0.1490506000, 0.3832557000", \
+                        "0.0229769000, 0.0242646000, 0.0279881000, 0.0382751000, 0.0671282000, 0.1489716000, 0.3832186000", \
+                        "0.0226487000, 0.0239396000, 0.0275763000, 0.0378421000, 0.0667700000, 0.1489168000, 0.3841457000", \
+                        "0.0223231000, 0.0236032000, 0.0271741000, 0.0372942000, 0.0661277000, 0.1483608000, 0.3835523000", \
+                        "0.0215647000, 0.0228045000, 0.0262300000, 0.0370324000, 0.0659752000, 0.1481313000, 0.3833382000", \
+                        "0.0226351000, 0.0237656000, 0.0271365000, 0.0368842000, 0.0652344000, 0.1478894000, 0.3831864000");
+                }
+            }
+            max_capacitance : 0.0805760000;
+            max_transition : 1.4836580000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.2544435000, 0.2624557000, 0.2817825000, 0.3222572000, 0.4089631000, 0.6187608000, 1.2017463000", \
+                        "0.2601455000, 0.2682441000, 0.2873577000, 0.3286409000, 0.4149737000, 0.6244876000, 1.2069905000", \
+                        "0.2813031000, 0.2893769000, 0.3086175000, 0.3497757000, 0.4362387000, 0.6459991000, 1.2313640000", \
+                        "0.3407747000, 0.3488243000, 0.3680126000, 0.4087411000, 0.4957827000, 0.7055975000, 1.2889145000", \
+                        "0.4883058000, 0.4968795000, 0.5171811000, 0.5598536000, 0.6487617000, 0.8596434000, 1.4432687000", \
+                        "0.7720540000, 0.7827270000, 0.8072656000, 0.8593487000, 0.9620249000, 1.1824594000, 1.7695543000", \
+                        "1.2375282000, 1.2532871000, 1.2904507000, 1.3628156000, 1.4948124000, 1.7406280000, 2.3271662000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.1269909000, 0.1401474000, 0.1770767000, 0.2785315000, 0.5622521000, 1.3701751000, 3.6969474000", \
+                        "0.1355654000, 0.1487376000, 0.1857016000, 0.2873618000, 0.5711021000, 1.3795270000, 3.7032746000", \
+                        "0.1591321000, 0.1721881000, 0.2088746000, 0.3104491000, 0.5945863000, 1.4031394000, 3.7271399000", \
+                        "0.2204225000, 0.2331008000, 0.2690891000, 0.3707639000, 0.6547555000, 1.4671033000, 3.7903112000", \
+                        "0.3415244000, 0.3541053000, 0.3897722000, 0.4904797000, 0.7746238000, 1.5848146000, 3.9144803000", \
+                        "0.5492406000, 0.5628530000, 0.5988330000, 0.6980247000, 0.9840994000, 1.7917284000, 4.1203081000", \
+                        "0.8545241000, 0.8735467000, 0.9193215000, 1.0239807000, 1.3043118000, 2.1214992000, 4.4357344000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0422689000, 0.0474370000, 0.0617946000, 0.0969198000, 0.1876952000, 0.4528956000, 1.2533746000", \
+                        "0.0422466000, 0.0476801000, 0.0616281000, 0.0970232000, 0.1884385000, 0.4533779000, 1.2515190000", \
+                        "0.0422762000, 0.0476995000, 0.0615462000, 0.0970673000, 0.1883599000, 0.4537135000, 1.2527280000", \
+                        "0.0419604000, 0.0481012000, 0.0618275000, 0.0970796000, 0.1882434000, 0.4538609000, 1.2498301000", \
+                        "0.0471317000, 0.0525171000, 0.0659180000, 0.1009988000, 0.1905166000, 0.4535022000, 1.2498828000", \
+                        "0.0633894000, 0.0701776000, 0.0863979000, 0.1233609000, 0.2115213000, 0.4669924000, 1.2522683000", \
+                        "0.1056968000, 0.1146227000, 0.1345950000, 0.1760918000, 0.2640374000, 0.5004394000, 1.2649553000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0704396000, 0.0870065000, 0.1350247000, 0.2694620000, 0.6525124000, 1.7471792000, 4.8956981000", \
+                        "0.0704694000, 0.0870883000, 0.1349590000, 0.2698589000, 0.6522747000, 1.7506950000, 4.8947109000", \
+                        "0.0702031000, 0.0872931000, 0.1349530000, 0.2691334000, 0.6523525000, 1.7505770000, 4.8948046000", \
+                        "0.0702484000, 0.0868632000, 0.1342436000, 0.2691461000, 0.6518561000, 1.7491778000, 4.8959330000", \
+                        "0.0720303000, 0.0885011000, 0.1347930000, 0.2685468000, 0.6522908000, 1.7465289000, 4.8952749000", \
+                        "0.0844243000, 0.0984619000, 0.1409890000, 0.2700670000, 0.6539199000, 1.7418830000, 4.8948707000", \
+                        "0.1222698000, 0.1359075000, 0.1742443000, 0.2852976000, 0.6544419000, 1.7576248000, 4.8854085000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0768394000, 0.0836358000, 0.1024664000, 0.1523829000, 0.2905883000, 0.6798603000, 1.7951083000", \
+                        "0.0845142000, 0.0912703000, 0.1100349000, 0.1603380000, 0.2982745000, 0.6877993000, 1.8014974000", \
+                        "0.1053997000, 0.1123736000, 0.1312681000, 0.1817251000, 0.3197773000, 0.7094885000, 1.8232847000", \
+                        "0.1589475000, 0.1662534000, 0.1863592000, 0.2370543000, 0.3758088000, 0.7656449000, 1.8800064000", \
+                        "0.2691068000, 0.2801433000, 0.3089777000, 0.3765140000, 0.5315791000, 0.9228114000, 2.0377340000", \
+                        "0.4595789000, 0.4796070000, 0.5308132000, 0.6494120000, 0.8844988000, 1.3566102000, 2.4835738000", \
+                        "0.7342690000, 0.7737198000, 0.8705476000, 1.0995752000, 1.5376468000, 2.3001301000, 3.7011536000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.1623736000, 0.1751435000, 0.2105895000, 0.3107067000, 0.5948991000, 1.4086543000, 3.7463996000", \
+                        "0.1685520000, 0.1816687000, 0.2174631000, 0.3180175000, 0.6027816000, 1.4161600000, 3.7555217000", \
+                        "0.1902537000, 0.2035690000, 0.2396984000, 0.3407688000, 0.6259520000, 1.4398205000, 3.7786902000", \
+                        "0.2523014000, 0.2649564000, 0.3011237000, 0.4024044000, 0.6885196000, 1.5038230000, 3.8421724000", \
+                        "0.3913750000, 0.4086307000, 0.4521046000, 0.5620269000, 0.8483256000, 1.6644573000, 4.0053161000", \
+                        "0.6470204000, 0.6760598000, 0.7474008000, 0.9151234000, 1.2802068000, 2.1133075000, 4.4547215000", \
+                        "1.0318498000, 1.0884568000, 1.2271448000, 1.5428634000, 2.1563262000, 3.2992393000, 5.7204468000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0564962000, 0.0640473000, 0.0858798000, 0.1487173000, 0.3302169000, 0.8538815000, 2.3531426000", \
+                        "0.0564827000, 0.0640749000, 0.0860615000, 0.1486977000, 0.3297392000, 0.8544219000, 2.3520939000", \
+                        "0.0558005000, 0.0635689000, 0.0856133000, 0.1485952000, 0.3305032000, 0.8542310000, 2.3523601000", \
+                        "0.0634830000, 0.0702599000, 0.0899170000, 0.1499268000, 0.3302335000, 0.8512140000, 2.3562046000", \
+                        "0.0995360000, 0.1079458000, 0.1320365000, 0.1905885000, 0.3480569000, 0.8518226000, 2.3512667000", \
+                        "0.1967617000, 0.2094168000, 0.2434523000, 0.3230505000, 0.4971841000, 0.9317543000, 2.3550284000", \
+                        "0.4203037000, 0.4470889000, 0.5094348000, 0.6393733000, 0.8850407000, 1.3869599000, 2.6163789000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0999147000, 0.1163602000, 0.1639711000, 0.2983280000, 0.6826947000, 1.7823019000, 4.9427058000", \
+                        "0.1000276000, 0.1166239000, 0.1639986000, 0.2984717000, 0.6821982000, 1.7839157000, 4.9439701000", \
+                        "0.1000468000, 0.1163998000, 0.1640194000, 0.2985648000, 0.6827155000, 1.7826980000, 4.9478599000", \
+                        "0.1006646000, 0.1171331000, 0.1642171000, 0.2986170000, 0.6825424000, 1.7830268000, 4.9438205000", \
+                        "0.1359618000, 0.1520909000, 0.1954695000, 0.3153552000, 0.6832803000, 1.7840686000, 4.9456022000", \
+                        "0.2468658000, 0.2680154000, 0.3224240000, 0.4567917000, 0.7848374000, 1.7974322000, 4.9406421000", \
+                        "0.5356502000, 0.5688621000, 0.6538168000, 0.8441732000, 1.2438987000, 2.1576323000, 4.9958078000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.2158025000, 0.2238430000, 0.2429904000, 0.2832636000, 0.3689569000, 0.5785479000, 1.1623600000", \
+                        "0.2189674000, 0.2271423000, 0.2461024000, 0.2869144000, 0.3725839000, 0.5820031000, 1.1656005000", \
+                        "0.2351357000, 0.2433951000, 0.2622604000, 0.3030314000, 0.3892357000, 0.5985038000, 1.1828744000", \
+                        "0.2937683000, 0.3026611000, 0.3215275000, 0.3611939000, 0.4486725000, 0.6575581000, 1.2403898000", \
+                        "0.4521227000, 0.4604807000, 0.4803928000, 0.5235462000, 0.6133837000, 0.8245932000, 1.4072312000", \
+                        "0.7317154000, 0.7427333000, 0.7679405000, 0.8176193000, 0.9148445000, 1.1336927000, 1.7234042000", \
+                        "1.1782556000, 1.1940352000, 1.2320501000, 1.3023966000, 1.4232255000, 1.6562017000, 2.2326914000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.1512146000, 0.1632103000, 0.1971821000, 0.2924184000, 0.5701096000, 1.3782526000, 3.7134571000", \
+                        "0.1601920000, 0.1723887000, 0.2066410000, 0.3024566000, 0.5798654000, 1.3892605000, 3.7231915000", \
+                        "0.1810220000, 0.1932112000, 0.2281170000, 0.3258339000, 0.6053799000, 1.4133344000, 3.7473078000", \
+                        "0.2312437000, 0.2438628000, 0.2796209000, 0.3796460000, 0.6620656000, 1.4717331000, 3.8060799000", \
+                        "0.3268948000, 0.3398411000, 0.3765230000, 0.4784466000, 0.7637744000, 1.5775590000, 3.9101931000", \
+                        "0.4951246000, 0.5094219000, 0.5441288000, 0.6444585000, 0.9314189000, 1.7480231000, 4.0842241000", \
+                        "0.7258059000, 0.7470000000, 0.7968234000, 0.9054993000, 1.1887917000, 2.0032458000, 4.3436530000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0423811000, 0.0478284000, 0.0619277000, 0.0975979000, 0.1889946000, 0.4529931000, 1.2519265000", \
+                        "0.0422595000, 0.0474626000, 0.0623476000, 0.0977000000, 0.1887555000, 0.4537644000, 1.2479272000", \
+                        "0.0419643000, 0.0475607000, 0.0617510000, 0.0977928000, 0.1888225000, 0.4536472000, 1.2518113000", \
+                        "0.0425344000, 0.0480713000, 0.0619798000, 0.0975799000, 0.1881421000, 0.4528858000, 1.2552351000", \
+                        "0.0476320000, 0.0529743000, 0.0678625000, 0.1022651000, 0.1918047000, 0.4546356000, 1.2555053000", \
+                        "0.0718740000, 0.0773573000, 0.0897783000, 0.1214375000, 0.2078760000, 0.4676444000, 1.2531268000", \
+                        "0.1202806000, 0.1278977000, 0.1437876000, 0.1778115000, 0.2560497000, 0.4878133000, 1.2636153000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0989541000, 0.1157815000, 0.1634866000, 0.2985754000, 0.6820380000, 1.7839666000, 4.9431090000", \
+                        "0.0990166000, 0.1157824000, 0.1636343000, 0.2987755000, 0.6829293000, 1.7822491000, 4.9407891000", \
+                        "0.0988818000, 0.1158284000, 0.1635873000, 0.2987210000, 0.6818969000, 1.7833143000, 4.9433213000", \
+                        "0.0981037000, 0.1152867000, 0.1629566000, 0.2985327000, 0.6829481000, 1.7824737000, 4.9447237000", \
+                        "0.0863123000, 0.1029998000, 0.1519442000, 0.2906693000, 0.6792576000, 1.7835302000, 4.9453939000", \
+                        "0.0917250000, 0.1071046000, 0.1506050000, 0.2824495000, 0.6686335000, 1.7741068000, 4.9448223000", \
+                        "0.1329245000, 0.1494905000, 0.1893969000, 0.3004685000, 0.6667293000, 1.7703248000, 4.9268039000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0641263000, 0.0710513000, 0.0897649000, 0.1397413000, 0.2777128000, 0.6674599000, 1.7805422000", \
+                        "0.0705508000, 0.0773201000, 0.0960330000, 0.1462110000, 0.2842711000, 0.6736847000, 1.7876416000", \
+                        "0.0904477000, 0.0972666000, 0.1164183000, 0.1667570000, 0.3051526000, 0.6947974000, 1.8080673000", \
+                        "0.1484734000, 0.1566006000, 0.1770851000, 0.2268140000, 0.3638590000, 0.7539279000, 1.8686106000", \
+                        "0.2539172000, 0.2673305000, 0.3019827000, 0.3811002000, 0.5398442000, 0.9272516000, 2.0430615000", \
+                        "0.4212587000, 0.4449419000, 0.5059596000, 0.6471403000, 0.9234300000, 1.4210344000, 2.5332803000", \
+                        "0.6453669000, 0.6892756000, 0.8006092000, 1.0559478000, 1.5552697000, 2.4365416000, 3.9197530000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.1243457000, 0.1370531000, 0.1728905000, 0.2720801000, 0.5538602000, 1.3635632000, 3.6847820000", \
+                        "0.1300315000, 0.1435027000, 0.1798440000, 0.2797745000, 0.5625095000, 1.3728733000, 3.6973584000", \
+                        "0.1515026000, 0.1644895000, 0.2011368000, 0.3028796000, 0.5862618000, 1.3976095000, 3.7224590000", \
+                        "0.2123476000, 0.2254018000, 0.2616673000, 0.3623993000, 0.6478652000, 1.4572582000, 3.7874872000", \
+                        "0.3351974000, 0.3540747000, 0.4025317000, 0.5193003000, 0.8060173000, 1.6188250000, 3.9448609000", \
+                        "0.5369784000, 0.5726476000, 0.6605770000, 0.8501048000, 1.2355766000, 2.0655573000, 4.3977209000", \
+                        "0.8006174000, 0.8745650000, 1.0518517000, 1.4194940000, 2.0888804000, 3.2505394000, 5.6590008000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0567454000, 0.0642658000, 0.0859953000, 0.1488769000, 0.3301901000, 0.8542739000, 2.3525324000", \
+                        "0.0566201000, 0.0642151000, 0.0859117000, 0.1489029000, 0.3298920000, 0.8519716000, 2.3556805000", \
+                        "0.0548013000, 0.0624492000, 0.0853040000, 0.1488583000, 0.3302294000, 0.8532312000, 2.3524580000", \
+                        "0.0709682000, 0.0781239000, 0.0948921000, 0.1514368000, 0.3301085000, 0.8521396000, 2.3515994000", \
+                        "0.1227480000, 0.1333631000, 0.1605586000, 0.2202890000, 0.3603797000, 0.8518910000, 2.3545541000", \
+                        "0.2358927000, 0.2549059000, 0.3020064000, 0.3965451000, 0.5776076000, 0.9728051000, 2.3537221000", \
+                        "0.4727743000, 0.5089836000, 0.5970265000, 0.7683749000, 1.0773225000, 1.6209366000, 2.7287865000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0014387400, 0.0041399600, 0.0119127000, 0.0342785000, 0.0986359000, 0.2838230000");
+                    values("0.0725357000, 0.0884013000, 0.1355980000, 0.2697844000, 0.6529203000, 1.7496837000, 4.8971525000", \
+                        "0.0724248000, 0.0888458000, 0.1356722000, 0.2688902000, 0.6519998000, 1.7496785000, 4.8818674000", \
+                        "0.0726358000, 0.0889830000, 0.1354302000, 0.2690408000, 0.6518525000, 1.7495636000, 4.8821049000", \
+                        "0.0762180000, 0.0915615000, 0.1370114000, 0.2695716000, 0.6507960000, 1.7485775000, 4.8938720000", \
+                        "0.1157858000, 0.1322857000, 0.1766195000, 0.2920269000, 0.6533636000, 1.7463091000, 4.8943449000", \
+                        "0.2305627000, 0.2522303000, 0.3082106000, 0.4426380000, 0.7669270000, 1.7604529000, 4.8884248000", \
+                        "0.5282161000, 0.5610654000, 0.6457638000, 0.8377912000, 1.2399578000, 2.1486330000, 4.9362083000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__xor2_4") {
+        leakage_power () {
+            value : 11.699006200;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 15.930373500;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 8.0882074000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 10.194492400;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xor2";
+        cell_leakage_power : 11.478020000;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0175600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0169190000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0182010000;
+        }
+        pin ("B") {
+            capacitance : 0.0153560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0148010000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0159100000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&!B) | (!A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
+                    values("-0.007289400, -0.008638800, -0.012918900, -0.026365300, -0.068987200, -0.204097000, -0.630903300", \
+                        "-0.008012200, -0.009364400, -0.013622000, -0.027025200, -0.069752600, -0.204815800, -0.631721200", \
+                        "-0.008999300, -0.010320600, -0.014531300, -0.027821000, -0.070402700, -0.205556900, -0.632439900", \
+                        "-0.010042300, -0.011361300, -0.015529800, -0.028718400, -0.071072700, -0.206002900, -0.632813000", \
+                        "-0.010457000, -0.011790900, -0.015999300, -0.029227100, -0.071461900, -0.206047200, -0.632692100", \
+                        "-0.009925800, -0.011252300, -0.015578500, -0.029109400, -0.072645400, -0.207190000, -0.633364700", \
+                        "-0.007563300, -0.009023200, -0.013429500, -0.027142800, -0.070653000, -0.206841900, -0.633749800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
+                    values("0.0595201000, 0.0609154000, 0.0652909000, 0.0789242000, 0.1216098000, 0.2557422000, 0.6782954000", \
+                        "0.0590790000, 0.0604690000, 0.0648699000, 0.0785752000, 0.1213455000, 0.2553677000, 0.6781447000", \
+                        "0.0584088000, 0.0598227000, 0.0642920000, 0.0781205000, 0.1210533000, 0.2551670000, 0.6780642000", \
+                        "0.0578426000, 0.0592396000, 0.0636943000, 0.0775735000, 0.1206276000, 0.2550129000, 0.6782271000", \
+                        "0.0573178000, 0.0586806000, 0.0630881000, 0.0768096000, 0.1198105000, 0.2544120000, 0.6776694000", \
+                        "0.0554221000, 0.0568777000, 0.0622712000, 0.0766344000, 0.1196590000, 0.2546833000, 0.6773839000", \
+                        "0.0568613000, 0.0583001000, 0.0624570000, 0.0758588000, 0.1179202000, 0.2539394000, 0.6779437000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
+                    values("-0.001618700, -0.002926300, -0.007219200, -0.020725500, -0.063379800, -0.198602200, -0.625648700", \
+                        "-0.002089600, -0.003436300, -0.007629500, -0.021040900, -0.063771400, -0.198896600, -0.625861700", \
+                        "-0.002811300, -0.004198500, -0.008390000, -0.021702700, -0.064170000, -0.199258200, -0.626146100", \
+                        "-0.003802800, -0.005108200, -0.009106900, -0.022287700, -0.064695200, -0.199539500, -0.626326100", \
+                        "-0.004193900, -0.005544300, -0.009784100, -0.023052200, -0.065130500, -0.199736600, -0.626128000", \
+                        "-0.002631000, -0.003999600, -0.008349900, -0.022034300, -0.065754300, -0.200333400, -0.626809100", \
+                        "0.0016434000, 0.0003994000, -0.004308700, -0.018612000, -0.062532600, -0.199460200, -0.626695900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
+                    values("0.0444151000, 0.0459060000, 0.0503792000, 0.0642096000, 0.1075290000, 0.2422790000, 0.6642238000", \
+                        "0.0437720000, 0.0453320000, 0.0499577000, 0.0640401000, 0.1074925000, 0.2423107000, 0.6663598000", \
+                        "0.0429494000, 0.0445001000, 0.0492389000, 0.0634460000, 0.1068305000, 0.2423674000, 0.6643170000", \
+                        "0.0423131000, 0.0437643000, 0.0483334000, 0.0625957000, 0.1064554000, 0.2419165000, 0.6641718000", \
+                        "0.0416714000, 0.0430984000, 0.0475464000, 0.0615072000, 0.1050060000, 0.2407272000, 0.6644747000", \
+                        "0.0401138000, 0.0415404000, 0.0458865000, 0.0610956000, 0.1051705000, 0.2400987000, 0.6645519000", \
+                        "0.0419876000, 0.0433521000, 0.0475135000, 0.0609275000, 0.1035294000, 0.2398118000, 0.6645267000");
+                }
+            }
+            max_capacitance : 0.1400860000;
+            max_transition : 1.4948400000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.2736355000, 0.2784498000, 0.2917561000, 0.3242349000, 0.3970605000, 0.5775120000, 1.1101858000", \
+                        "0.2786329000, 0.2833574000, 0.2966269000, 0.3295985000, 0.4021470000, 0.5826622000, 1.1150100000", \
+                        "0.2989807000, 0.3038095000, 0.3175400000, 0.3501461000, 0.4230142000, 0.6035737000, 1.1358493000", \
+                        "0.3592541000, 0.3640349000, 0.3772965000, 0.4095567000, 0.4830483000, 0.6639400000, 1.1959070000", \
+                        "0.5114369000, 0.5164731000, 0.5303742000, 0.5637198000, 0.6388403000, 0.8208640000, 1.3537431000", \
+                        "0.8159753000, 0.8220971000, 0.8390524000, 0.8797548000, 0.9674720000, 1.1617824000, 1.6996979000", \
+                        "1.3474794000, 1.3565267000, 1.3809652000, 1.4382753000, 1.5513670000, 1.7770666000, 2.3237536000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1443017000, 0.1533591000, 0.1810508000, 0.2647346000, 0.5184344000, 1.3043153000, 3.7825503000", \
+                        "0.1528944000, 0.1617804000, 0.1897259000, 0.2736180000, 0.5263031000, 1.3154655000, 3.7851522000", \
+                        "0.1763053000, 0.1853238000, 0.2128980000, 0.2967759000, 0.5511099000, 1.3380483000, 3.8156807000", \
+                        "0.2357632000, 0.2446967000, 0.2717459000, 0.3552062000, 0.6100004000, 1.3974170000, 3.8751771000", \
+                        "0.3504172000, 0.3589396000, 0.3858255000, 0.4683559000, 0.7223322000, 1.5121006000, 3.9893595000", \
+                        "0.5448209000, 0.5539941000, 0.5808454000, 0.6626349000, 0.9164698000, 1.7041204000, 4.1835859000", \
+                        "0.8159157000, 0.8280872000, 0.8628629000, 0.9480989000, 1.1967047000, 1.9902455000, 4.4582986000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0457699000, 0.0489258000, 0.0580873000, 0.0842909000, 0.1562337000, 0.3745658000, 1.0982109000", \
+                        "0.0458161000, 0.0487460000, 0.0577309000, 0.0842959000, 0.1563848000, 0.3746400000, 1.0974618000", \
+                        "0.0459468000, 0.0487075000, 0.0583084000, 0.0841680000, 0.1563949000, 0.3745090000, 1.0971577000", \
+                        "0.0455482000, 0.0488239000, 0.0582592000, 0.0844597000, 0.1560080000, 0.3745832000, 1.0971836000", \
+                        "0.0496322000, 0.0528248000, 0.0619985000, 0.0883075000, 0.1578310000, 0.3750415000, 1.0986082000", \
+                        "0.0660223000, 0.0697033000, 0.0798545000, 0.1070581000, 0.1800187000, 0.3903558000, 1.0994773000", \
+                        "0.1113361000, 0.1163797000, 0.1298531000, 0.1609504000, 0.2361357000, 0.4317874000, 1.1129085000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0862610000, 0.0972253000, 0.1321159000, 0.2409351000, 0.5788921000, 1.6373786000, 4.9577182000", \
+                        "0.0859902000, 0.0973466000, 0.1322657000, 0.2409090000, 0.5776378000, 1.6406783000, 4.9629496000", \
+                        "0.0863141000, 0.0970283000, 0.1320378000, 0.2404468000, 0.5789800000, 1.6421934000, 4.9699453000", \
+                        "0.0859506000, 0.0967824000, 0.1318135000, 0.2410561000, 0.5794616000, 1.6381081000, 4.9580415000", \
+                        "0.0872856000, 0.0981898000, 0.1322857000, 0.2400803000, 0.5771885000, 1.6388876000, 4.9698849000", \
+                        "0.0974767000, 0.1071435000, 0.1381335000, 0.2423239000, 0.5775482000, 1.6330624000, 4.9676592000", \
+                        "0.1337284000, 0.1430184000, 0.1714063000, 0.2599825000, 0.5829884000, 1.6391746000, 4.9507798000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0837407000, 0.0878877000, 0.1001941000, 0.1373112000, 0.2478470000, 0.5888030000, 1.6546141000", \
+                        "0.0902158000, 0.0943344000, 0.1069773000, 0.1439585000, 0.2546900000, 0.5954278000, 1.6601098000", \
+                        "0.1102150000, 0.1144527000, 0.1271316000, 0.1643160000, 0.2751958000, 0.6163205000, 1.6818176000", \
+                        "0.1606105000, 0.1651298000, 0.1790835000, 0.2171852000, 0.3287654000, 0.6697797000, 1.7357571000", \
+                        "0.2642020000, 0.2709999000, 0.2909215000, 0.3440210000, 0.4748728000, 0.8207074000, 1.8880915000", \
+                        "0.4377615000, 0.4501012000, 0.4861233000, 0.5784755000, 0.7865013000, 1.2269004000, 2.3154341000", \
+                        "0.6613641000, 0.6864672000, 0.7561162000, 0.9337128000, 1.3310731000, 2.0671249000, 3.4668639000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1817605000, 0.1903577000, 0.2162782000, 0.2949529000, 0.5403071000, 1.3074978000, 3.7187444000", \
+                        "0.1876013000, 0.1957385000, 0.2218655000, 0.3012778000, 0.5468280000, 1.3140915000, 3.7258276000", \
+                        "0.2089138000, 0.2171377000, 0.2436047000, 0.3235366000, 0.5700516000, 1.3378630000, 3.7499359000", \
+                        "0.2703492000, 0.2788526000, 0.3049169000, 0.3852557000, 0.6324136000, 1.4012571000, 3.8141788000", \
+                        "0.4156673000, 0.4261662000, 0.4567671000, 0.5453504000, 0.7928611000, 1.5626221000, 3.9762393000", \
+                        "0.6934621000, 0.7101749000, 0.7589422000, 0.8930540000, 1.2169066000, 2.0133704000, 4.4282134000", \
+                        "1.1427402000, 1.1756209000, 1.2742559000, 1.5282062000, 2.0781774000, 3.1838969000, 5.7001679000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0577611000, 0.0623648000, 0.0771054000, 0.1233838000, 0.2694838000, 0.7316777000, 2.1874158000", \
+                        "0.0576637000, 0.0623797000, 0.0770194000, 0.1233393000, 0.2691782000, 0.7313571000, 2.1870528000", \
+                        "0.0572727000, 0.0620158000, 0.0767547000, 0.1232510000, 0.2693893000, 0.7308416000, 2.1871756000", \
+                        "0.0651460000, 0.0694143000, 0.0822934000, 0.1257516000, 0.2692937000, 0.7308818000, 2.1843503000", \
+                        "0.1007841000, 0.1061905000, 0.1223769000, 0.1680778000, 0.2946350000, 0.7330210000, 2.1839028000", \
+                        "0.1976643000, 0.2052390000, 0.2313702000, 0.2917317000, 0.4415056000, 0.8325587000, 2.1928666000", \
+                        "0.4261704000, 0.4404380000, 0.4836180000, 0.5876672000, 0.8137909000, 1.2767247000, 2.4879301000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1140883000, 0.1249386000, 0.1586689000, 0.2636743000, 0.5920273000, 1.6192633000, 4.8662554000", \
+                        "0.1141943000, 0.1248191000, 0.1587546000, 0.2637812000, 0.5915823000, 1.6224948000, 4.8594782000", \
+                        "0.1139386000, 0.1248582000, 0.1587693000, 0.2636702000, 0.5917603000, 1.6221819000, 4.8598849000", \
+                        "0.1147921000, 0.1253881000, 0.1589429000, 0.2640750000, 0.5917382000, 1.6216730000, 4.8541273000", \
+                        "0.1478254000, 0.1583510000, 0.1895438000, 0.2823659000, 0.5932516000, 1.6222876000, 4.8607052000", \
+                        "0.2566873000, 0.2691808000, 0.3076635000, 0.4152871000, 0.7025598000, 1.6391579000, 4.8533129000", \
+                        "0.5465453000, 0.5676982000, 0.6266022000, 0.7817129000, 1.1342455000, 2.0054027000, 4.9185958000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.2312349000, 0.2360129000, 0.2490798000, 0.2813678000, 0.3533263000, 0.5338451000, 1.0665109000", \
+                        "0.2343772000, 0.2390413000, 0.2522589000, 0.2842490000, 0.3567963000, 0.5372782000, 1.0698052000", \
+                        "0.2511380000, 0.2559573000, 0.2691781000, 0.3014603000, 0.3739815000, 0.5542165000, 1.0861116000", \
+                        "0.3105680000, 0.3153373000, 0.3284558000, 0.3608160000, 0.4337645000, 0.6145207000, 1.1469070000", \
+                        "0.4760686000, 0.4810069000, 0.4946776000, 0.5284689000, 0.6039123000, 0.7842221000, 1.3169398000", \
+                        "0.7841687000, 0.7906886000, 0.8081466000, 0.8483055000, 0.9315135000, 1.1228785000, 1.6515959000", \
+                        "1.2974377000, 1.3071719000, 1.3334465000, 1.3922352000, 1.5025960000, 1.7109523000, 2.2408075000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1732652000, 0.1811593000, 0.2061412000, 0.2810612000, 0.5185540000, 1.2787903000, 3.6859404000", \
+                        "0.1820287000, 0.1900695000, 0.2151298000, 0.2906252000, 0.5285724000, 1.2889895000, 3.6963381000", \
+                        "0.2021905000, 0.2104423000, 0.2357101000, 0.3127791000, 0.5533737000, 1.3128377000, 3.7199308000", \
+                        "0.2494274000, 0.2577531000, 0.2838569000, 0.3630217000, 0.6071063000, 1.3695630000, 3.7763166000", \
+                        "0.3398599000, 0.3484197000, 0.3748242000, 0.4575919000, 0.7043283000, 1.4704128000, 3.8780139000", \
+                        "0.4972153000, 0.5061779000, 0.5332036000, 0.6128784000, 0.8607447000, 1.6319501000, 4.0418571000", \
+                        "0.6967240000, 0.7096808000, 0.7451521000, 0.8332623000, 1.0780613000, 1.8461119000, 4.2602308000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0455521000, 0.0484962000, 0.0586978000, 0.0846527000, 0.1568945000, 0.3747828000, 1.0944867000", \
+                        "0.0455898000, 0.0487190000, 0.0586772000, 0.0848738000, 0.1569807000, 0.3748918000, 1.0946233000", \
+                        "0.0457749000, 0.0488761000, 0.0579990000, 0.0849766000, 0.1563304000, 0.3746733000, 1.0970787000", \
+                        "0.0452615000, 0.0492300000, 0.0582752000, 0.0847877000, 0.1562876000, 0.3749354000, 1.0977094000", \
+                        "0.0508001000, 0.0539184000, 0.0633069000, 0.0890819000, 0.1599956000, 0.3756185000, 1.0947449000", \
+                        "0.0785329000, 0.0803000000, 0.0885996000, 0.1115847000, 0.1774797000, 0.3903632000, 1.0994847000", \
+                        "0.1301758000, 0.1345714000, 0.1459158000, 0.1717913000, 0.2322741000, 0.4191874000, 1.1115227000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1135485000, 0.1244070000, 0.1583199000, 0.2637464000, 0.5923108000, 1.6220019000, 4.8627848000", \
+                        "0.1133777000, 0.1243757000, 0.1583346000, 0.2636986000, 0.5919088000, 1.6219974000, 4.8586073000", \
+                        "0.1133398000, 0.1242702000, 0.1583048000, 0.2637415000, 0.5927164000, 1.6226576000, 4.8578324000", \
+                        "0.1129590000, 0.1239431000, 0.1579284000, 0.2633297000, 0.5928016000, 1.6227597000, 4.8572706000", \
+                        "0.0992008000, 0.1104052000, 0.1450825000, 0.2545081000, 0.5874272000, 1.6224349000, 4.8604982000", \
+                        "0.1023555000, 0.1122545000, 0.1431576000, 0.2454493000, 0.5755271000, 1.6113789000, 4.8572653000", \
+                        "0.1445626000, 0.1552889000, 0.1837860000, 0.2681703000, 0.5745431000, 1.6033963000, 4.8461781000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0676891000, 0.0717748000, 0.0842601000, 0.1214954000, 0.2319211000, 0.5726472000, 1.6373224000", \
+                        "0.0735202000, 0.0777240000, 0.0903152000, 0.1274948000, 0.2381778000, 0.5785137000, 1.6453069000", \
+                        "0.0932673000, 0.0970069000, 0.1096659000, 0.1470292000, 0.2581583000, 0.5990734000, 1.6646160000", \
+                        "0.1501748000, 0.1551660000, 0.1697109000, 0.2067494000, 0.3175445000, 0.6585533000, 1.7246289000", \
+                        "0.2505466000, 0.2589731000, 0.2833346000, 0.3462798000, 0.4871928000, 0.8280512000, 1.8950066000", \
+                        "0.4015556000, 0.4164381000, 0.4600113000, 0.5725036000, 0.8219744000, 1.3026301000, 2.3776277000", \
+                        "0.5830067000, 0.6063354000, 0.6858458000, 0.8902578000, 1.3424921000, 2.2013311000, 3.7264973000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.1392594000, 0.1480239000, 0.1745491000, 0.2551353000, 0.5065462000, 1.2924420000, 3.7686325000", \
+                        "0.1445427000, 0.1533637000, 0.1809144000, 0.2630090000, 0.5147607000, 1.3014029000, 3.7804857000", \
+                        "0.1650108000, 0.1740453000, 0.2014592000, 0.2853149000, 0.5394482000, 1.3252260000, 3.8048724000", \
+                        "0.2256218000, 0.2344477000, 0.2610868000, 0.3440479000, 0.5997358000, 1.3891356000, 3.8696126000", \
+                        "0.3537330000, 0.3658382000, 0.4007579000, 0.4976132000, 0.7551818000, 1.5466284000, 4.0242671000", \
+                        "0.5809361000, 0.6029549000, 0.6630810000, 0.8187772000, 1.1709186000, 1.9896267000, 4.4683701000", \
+                        "0.9151377000, 0.9582229000, 1.0837304000, 1.3911130000, 1.9991588000, 3.1500069000, 5.7251599000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0579880000, 0.0626248000, 0.0771214000, 0.1235430000, 0.2695405000, 0.7318581000, 2.1874180000", \
+                        "0.0578811000, 0.0624885000, 0.0770312000, 0.1232758000, 0.2696799000, 0.7308900000, 2.1860707000", \
+                        "0.0562037000, 0.0609334000, 0.0762111000, 0.1232374000, 0.2690872000, 0.7319406000, 2.1842432000", \
+                        "0.0719720000, 0.0764260000, 0.0889539000, 0.1283344000, 0.2691353000, 0.7307856000, 2.1842597000", \
+                        "0.1246306000, 0.1310678000, 0.1492775000, 0.1961998000, 0.3132301000, 0.7327638000, 2.1833932000", \
+                        "0.2410149000, 0.2525909000, 0.2839309000, 0.3615213000, 0.5304276000, 0.8871197000, 2.1905959000", \
+                        "0.4829088000, 0.5057110000, 0.5662223000, 0.7099673000, 0.9908606000, 1.5237877000, 2.6218692000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
+                    values("0.0871078000, 0.0980874000, 0.1323663000, 0.2401858000, 0.5781181000, 1.6392144000, 4.9560702000", \
+                        "0.0868953000, 0.0979206000, 0.1325827000, 0.2396705000, 0.5805361000, 1.6418342000, 4.9702096000", \
+                        "0.0872199000, 0.0983538000, 0.1325385000, 0.2401797000, 0.5765807000, 1.6359812000, 4.9574367000", \
+                        "0.0898690000, 0.1003650000, 0.1337453000, 0.2411100000, 0.5785444000, 1.6399609000, 4.9607016000", \
+                        "0.1282332000, 0.1390364000, 0.1713055000, 0.2659518000, 0.5810338000, 1.6385510000, 4.9625508000", \
+                        "0.2417467000, 0.2555512000, 0.2957245000, 0.4044096000, 0.7026617000, 1.6518350000, 4.9653162000", \
+                        "0.5407953000, 0.5620064000, 0.6211390000, 0.7792905000, 1.1456859000, 2.0391315000, 5.0063163000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xor3_1 */
+
+/* removed sky130_fd_sc_hd__xor3_2 */
+
+/* removed sky130_fd_sc_hd__xor3_4 */
+
+}
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/openphysyn.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/openphysyn.def
new file mode 100644
index 0000000..bcc1c02
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/openphysyn.def
@@ -0,0 +1,372 @@
+VERSION 5.8 ;
+NAMESCASESENSITIVE ON ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 33982 58782 ) N ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 32943 58569 ) N ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33540 58350 ) N ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 29021 58642 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 29304 59555 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 31647 57666 ) N ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 25577 53207 ) N ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 32680 57382 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32942 58459 ) N ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 33931 59218 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 33211 60027 ) N ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 31387 57777 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 32151 58657 ) N ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 31924 60523 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 28519 50891 ) N ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 37053 51967 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 43096 55358 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 29413 54064 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33472 55158 ) N ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 37025 56954 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36608 58509 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 44611 55720 ) N ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 35833 55699 ) N ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 25988 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 35202 49313 ) N ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 38777 54444 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 37703 57246 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35661 56915 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38860 55899 ) N ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 42292 52456 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 48697 46783 ) N ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47316 48933 ) N ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40573 60335 ) N ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43785 52936 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33511 57023 ) N ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 32440 58248 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 34916 56657 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37904 54604 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 35243 57421 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34399 58110 ) N ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36922 56141 ) N ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36375 57142 ) N ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 35406 58216 ) N ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 31625 59005 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32900 58750 ) N ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 31455 58810 ) N ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 35504 59595 ) N ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 47769 52694 ) N ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 47815 56463 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 48317 59203 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 32455 61145 ) N ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 46712 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 36205 61406 ) N ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34568 59408 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36802 58694 ) N ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37331 58389 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 35677 58300 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 38665 58073 ) N ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37412 58105 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8303 30991 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 16517 46799 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 32379 58695 ) N ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 33394 59661 ) N ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31326 58987 ) N ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 34034 59617 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35680 60306 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 39800 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43289 62560 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 51615 53726 ) N ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32470 59458 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 35398 59301 ) N ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36569 58531 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36619 58137 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36094 58082 ) N ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 32509 58014 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34990 57554 ) N ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34049 58422 ) N ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33255 58918 ) N ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 46958 62560 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 41612 53648 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 43450 58204 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34843 58095 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34375 59004 ) N ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33640 59416 ) N ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32697 59845 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32739 59737 ) N ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 16194 58914 ) N ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40336 61545 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 30895 60802 ) N ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27467 59997 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30795 57446 ) N ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 31810 58523 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37956 57564 ) N ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 35602 57655 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 29478 60022 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 37323 58028 ) N ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36848 57843 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37105 58050 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36831 58493 ) N ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 36756 58260 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32290 59079 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 29778 58989 ) N ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 29825 61693 ) N ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 15126 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20782 61358 ) N ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18954 58039 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+END PINS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/replace.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/replace.def
new file mode 100644
index 0000000..eae85a0
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/placement/replace.def
@@ -0,0 +1,371 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 33982 58782 ) N ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 32943 58569 ) N ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33540 58350 ) N ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 29021 58642 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 29304 59555 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 31647 57666 ) N ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 25577 53207 ) N ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 32680 57382 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32942 58459 ) N ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 33931 59218 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 33211 60027 ) N ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 31387 57777 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 32151 58657 ) N ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 31924 60523 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 28519 50891 ) N ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 37053 51967 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 43096 55358 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 29413 54064 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33472 55158 ) N ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 37025 56954 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36608 58509 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 44611 55720 ) N ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 35833 55699 ) N ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 25988 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 35202 49313 ) N ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 38777 54444 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 37703 57246 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35661 56915 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38860 55899 ) N ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 42292 52456 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 48697 46783 ) N ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47316 48933 ) N ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40573 60335 ) N ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43785 52936 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33511 57023 ) N ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 32440 58248 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 34916 56657 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37904 54604 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 35243 57421 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34399 58110 ) N ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36922 56141 ) N ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36375 57142 ) N ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 35406 58216 ) N ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 31625 59005 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32900 58750 ) N ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 31455 58810 ) N ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 35504 59595 ) N ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 47769 52694 ) N ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 47815 56463 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 48317 59203 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 32455 61145 ) N ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 46712 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 36205 61406 ) N ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34568 59408 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36802 58694 ) N ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37331 58389 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 35677 58300 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 38665 58073 ) N ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37412 58105 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8303 30991 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 16517 46799 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 32379 58695 ) N ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 33394 59661 ) N ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31326 58987 ) N ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 34034 59617 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35680 60306 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 39800 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 43289 62560 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 51615 53726 ) N ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32470 59458 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 35398 59301 ) N ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36569 58531 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36619 58137 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36094 58082 ) N ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 32509 58014 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34990 57554 ) N ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34049 58422 ) N ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33255 58918 ) N ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 46958 62560 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 41612 53648 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 43450 58204 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34843 58095 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34375 59004 ) N ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 33640 59416 ) N ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32697 59845 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32739 59737 ) N ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 16194 58914 ) N ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40336 61545 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 30895 60802 ) N ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27467 59997 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30795 57446 ) N ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 31810 58523 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37956 57564 ) N ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 35602 57655 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 29478 60022 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 37323 58028 ) N ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36848 57843 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 37105 58050 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36831 58493 ) N ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 36756 58260 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32290 59079 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 29778 58989 ) N ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 29825 61693 ) N ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 15126 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20782 61358 ) N ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18954 58039 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 26 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+END PINS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/addspacers.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/addspacers.def
new file mode 100644
index 0000000..bf7c16b
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/addspacers.def
@@ -0,0 +1,776 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+VIAS 3 ;
+    - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150  + LAYERS met1 via met2  + CUTSPACING 170 170  + ENCLOSURE 245 165 55 165  + ROWCOL 1 4  ;
+    - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200  + LAYERS met2 via2 met3  + CUTSPACING 200 200  + ENCLOSURE 40 140 100 65  + ROWCOL 1 4  ;
+    - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200  + LAYERS met3 via3 met4  + CUTSPACING 200 200  + ENCLOSURE 100 60 100 140  + ROWCOL 1 4  ;
+END VIAS
+COMPONENTS 388 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+    - FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+    - FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+    - FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+    - FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+    - FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+    - FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+    - FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+    - FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+    - FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+    - FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+    - FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+    - FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+    - FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+    - FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+    - FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+    - FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+    - FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+    - FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+    - FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+    - FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+    - FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+    - FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+    - FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+    - FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+    - FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+    - FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+    - FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+    - FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+    - FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+    - FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+    - FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+    - FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+    - FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+    - FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+    - FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+    - FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+    - FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+    - FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+    - FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+    - FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+    - FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+    - FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+    - FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+    - FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+    - FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+    - FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+    - FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+    - FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+    - FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+    - FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+    - FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+    - FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+    - FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+    - FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+    - FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+    - FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+    - FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+    - FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+    - FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+    - FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+    - FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+    - FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+    - FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+    - FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+    - FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+    - FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+    - FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+    - FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+    - FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+    - FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+    - FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+    - FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+    - FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+    - FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+    - FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+    - FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+    - FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+    - FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+    - FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+    - FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+    - FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+    - FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+    - FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+    - FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+    - FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+    - FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+    - FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+    - FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+    - FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+    - FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+    - FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+    - FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+    - FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+    - FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+    - FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+    - FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+    - FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+    - FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+    - FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+    - FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+    - FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+    - FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+    - FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+    - FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+    - FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+    - FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+    - FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+    - FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+    - FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+    - FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+    - FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+    - FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+    - FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+    - FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+    - FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+    - FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+    - FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+    - FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+    - FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+    - FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+    - FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+    - FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+    - FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+    - FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+    - FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+    - FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+    - FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+    - FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+    - FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+    - FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+    - FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+    - FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+    - FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+    - FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+    - FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+    - FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+    - FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+    - FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+    - FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+    - FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+    - FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+    - FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+    - FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+    - FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+    - FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+    - FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+    - FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+    - FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+    - FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+    - FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+    - FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+    - FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+    - FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+    - FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+    - FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+    - FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+    - FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+    - FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+    - FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+    - FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+    - FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+    - FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+    - FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+    - FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+    - FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+    - FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+    - FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+    - FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+    - FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+    - FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+    - FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+    - FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+    - FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+    - FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+    - FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+    - FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+    - FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+    - FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+    - FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+    - FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+    - FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+    - FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+    - FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+    - FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+    - FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+    - FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+    - FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+    - FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+    - FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+    - FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+    - FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+    - FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+    - FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+    - FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+    - FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+    - FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+    - FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+    - FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+    - FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+    - FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+    - FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+    - FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+    - FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+    - FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+    - FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+    - FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+    - FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+    - FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+    - FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+PINS 28 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 14873 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+    - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24226 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+END PINS
+SPECIALNETS 2 ;
+    - VPWR ( PIN VPWR ) + USE POWER
+      + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) ;
+    - VGND ( PIN VGND ) + USE GROUND
+      + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) ;
+END SPECIALNETS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
new file mode 100644
index 0000000..4b416ca
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.def
@@ -0,0 +1,567 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+VIAS 3 ;
+    - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150  + LAYERS met1 via met2  + CUTSPACING 170 170  + ENCLOSURE 245 165 55 165  + ROWCOL 1 4  ;
+    - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200  + LAYERS met2 via2 met3  + CUTSPACING 200 200  + ENCLOSURE 40 140 100 65  + ROWCOL 1 4  ;
+    - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200  + LAYERS met3 via3 met4  + CUTSPACING 200 200  + ENCLOSURE 100 60 100 140  + ROWCOL 1 4  ;
+END VIAS
+COMPONENTS 179 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+END COMPONENTS
+PINS 28 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 14873 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+    - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24226 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+END PINS
+SPECIALNETS 2 ;
+    - VPWR ( PIN VPWR ) + USE POWER
+      + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) ;
+    - VGND ( PIN VGND ) + USE GROUND
+      + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) ;
+END SPECIALNETS
+NETS 121 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.guide b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.guide
new file mode 100644
index 0000000..5ba073e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.guide
@@ -0,0 +1,1284 @@
+_000_
+(
+13799 48299 20699 55199 li1
+13799 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+27599 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+)
+_001_
+(
+48299 34499 55199 41399 li1
+48299 34499 55199 41399 met1
+48299 34499 55199 55199 met2
+48299 48299 55199 55199 met1
+48299 48299 55199 55199 li1
+)
+_002_
+(
+48299 41399 55199 48299 li1
+48299 41399 55199 48299 met1
+48299 27599 55199 48299 met2
+48299 27599 67489 34499 met1
+55199 27599 67489 34499 li1
+48299 48299 55199 55199 li1
+48299 48299 55199 55199 met1
+48299 48299 55199 68999 met2
+48299 62099 55199 68999 met1
+48299 62099 55199 68999 li1
+48299 41399 55199 55199 met2
+)
+_003_
+(
+48299 41399 55199 48299 li1
+48299 41399 55199 48299 met1
+48299 27599 55199 48299 met2
+48299 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+48299 41399 55199 68999 met2
+48299 62099 55199 68999 met1
+48299 62099 55199 68999 li1
+)
+_004_
+(
+13799 55199 20699 62099 li1
+13799 55199 34499 62099 met1
+27599 41399 34499 62099 met2
+27599 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+)
+_005_
+(
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+34499 20699 41399 27599 met1
+34499 20699 41399 27599 li1
+34499 34499 41399 48299 met2
+34499 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+)
+_006_
+(
+34499 20699 41399 27599 li1
+34499 20699 48299 27599 met1
+41399 20699 48299 27599 li1
+)
+_007_
+(
+20699 48299 27599 55199 li1
+20699 48299 48299 55199 met1
+41399 20699 48299 55199 met2
+41399 20699 48299 27599 met1
+41399 20699 48299 27599 li1
+)
+_008_
+(
+13799 34499 20699 41399 li1
+13799 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+27599 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+)
+_009_
+(
+6899 27599 20699 34499 met1
+13799 27599 20699 34499 li1
+6899 41399 20699 48299 met1
+13799 41399 20699 48299 li1
+6899 41399 13799 48299 met1
+6899 41399 13799 62099 met2
+6899 55199 13799 62099 met1
+6899 55199 13799 62099 li1
+6899 27599 13799 48299 met2
+6899 27599 13799 34499 met1
+6899 27599 13799 34499 li1
+)
+_010_
+(
+20699 27599 27599 34499 li1
+20699 27599 27599 34499 met1
+20699 13799 27599 34499 met2
+20699 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+13799 41399 20699 48299 li1
+13799 41399 27599 48299 met1
+20699 41399 27599 62099 met2
+20699 55199 34499 62099 met1
+27599 55199 34499 62099 li1
+20699 27599 27599 48299 met2
+)
+_011_
+(
+27599 27599 34499 34499 li1
+27599 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_012_
+(
+20699 34499 27599 41399 li1
+20699 34499 27599 41399 met1
+20699 34499 27599 48299 met2
+20699 41399 27599 48299 met1
+20699 41399 27599 48299 li1
+)
+_013_
+(
+20699 41399 27599 48299 li1
+20699 41399 34499 48299 met1
+27599 41399 34499 55199 met2
+27599 48299 34499 55199 met1
+27599 48299 34499 55199 li1
+)
+_014_
+(
+20699 41399 27599 48299 li1
+20699 41399 41399 48299 met1
+34499 27599 41399 48299 met2
+34499 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_015_
+(
+6899 62099 13799 68999 li1
+6899 62099 13799 68999 met1
+6899 62099 13799 68999 met2
+6899 62099 41399 68999 met3
+34499 27599 41399 68999 met4
+34499 27599 41399 34499 met3
+34499 27599 41399 34499 met2
+34499 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_016_
+(
+41399 27599 48299 34499 met1
+41399 13799 48299 34499 met2
+41399 13799 48299 20699 met1
+41399 13799 48299 20699 li1
+34499 55199 41399 62099 li1
+34499 55199 48299 62099 met1
+41399 27599 48299 62099 met2
+41399 27599 67489 34499 met1
+55199 27599 67489 34499 li1
+)
+_017_
+(
+41399 27599 48299 34499 met1
+41399 13799 48299 34499 met2
+41399 13799 48299 20699 met1
+41399 13799 48299 20699 li1
+34499 55199 41399 62099 li1
+34499 55199 48299 62099 met1
+41399 27599 48299 62099 met2
+41399 27599 67489 34499 met1
+55199 27599 67489 34499 li1
+)
+_018_
+(
+27599 20699 34499 27599 li1
+27599 20699 41399 27599 met1
+34499 20699 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+)
+_019_
+(
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 13799 41399 41399 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+34499 34499 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+)
+_020_
+(
+20699 27599 27599 34499 li1
+20699 27599 41399 34499 met1
+34499 13799 41399 34499 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+)
+_021_
+(
+13799 27599 20699 34499 li1
+13799 27599 27599 34499 met1
+20699 27599 27599 34499 li1
+)
+_022_
+(
+6899 34499 13799 41399 li1
+6899 34499 34499 41399 met1
+27599 34499 34499 48299 met2
+27599 41399 34499 48299 met1
+27599 41399 34499 48299 li1
+)
+_023_
+(
+6899 34499 13799 41399 li1
+6899 34499 27599 41399 met1
+20699 20699 27599 41399 met2
+20699 20699 34499 27599 met1
+27599 20699 34499 27599 li1
+)
+_024_
+(
+20699 27599 27599 34499 li1
+20699 27599 34499 34499 met1
+27599 20699 34499 34499 met2
+27599 20699 34499 27599 met1
+27599 20699 34499 27599 li1
+)
+_025_
+(
+48299 34499 55199 41399 li1
+48299 34499 55199 41399 met1
+48299 20699 55199 41399 met2
+48299 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+)
+_026_
+(
+48299 41399 55199 48299 li1
+48299 41399 55199 48299 met1
+48299 20699 55199 48299 met2
+48299 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+)
+_027_
+(
+6899 34499 13799 41399 li1
+6899 34499 13799 41399 met1
+6899 34499 13799 41399 met2
+6899 34499 41399 41399 met3
+34499 13799 41399 41399 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+)
+_028_
+(
+20699 20699 27599 27599 li1
+20699 20699 34499 27599 met1
+27599 13799 34499 27599 met2
+27599 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+)
+_029_
+(
+6899 27599 13799 34499 li1
+6899 27599 27599 34499 met1
+20699 20699 27599 34499 met2
+20699 20699 27599 27599 met1
+20699 20699 27599 27599 li1
+)
+_030_
+(
+13799 27599 20699 34499 li1
+13799 27599 34499 34499 met1
+27599 13799 34499 34499 met2
+27599 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+)
+_031_
+(
+13799 55199 20699 62099 li1
+13799 55199 34499 62099 met1
+27599 13799 34499 62099 met2
+27599 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+)
+_032_
+(
+27599 13799 34499 20699 li1
+27599 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+)
+_033_
+(
+41399 13799 48299 20699 li1
+41399 13799 55199 20699 met1
+48299 13799 55199 62099 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+)
+_034_
+(
+34499 62099 41399 68999 li1
+34499 62099 55199 68999 met1
+48299 55199 55199 68999 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+)
+_035_
+(
+34499 34499 41399 41399 li1
+34499 34499 55199 41399 met1
+48299 20699 55199 41399 met2
+48299 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+)
+_036_
+(
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 13799 41399 41399 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+)
+_037_
+(
+41399 13799 48299 20699 li1
+41399 13799 48299 20699 met1
+41399 13799 48299 48299 met2
+41399 41399 48299 48299 met1
+41399 41399 48299 48299 li1
+)
+_038_
+(
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 34499 41399 48299 met2
+34499 41399 48299 48299 met1
+41399 41399 48299 48299 li1
+)
+_039_
+(
+13799 20699 20699 27599 li1
+13799 20699 34499 27599 met1
+27599 20699 34499 62099 met2
+27599 55199 34499 62099 met1
+27599 55199 34499 62099 li1
+)
+_040_
+(
+6899 48299 13799 55199 li1
+6899 48299 34499 55199 met1
+27599 48299 34499 62099 met2
+27599 55199 34499 62099 met1
+27599 55199 34499 62099 li1
+)
+_041_
+(
+20699 41399 27599 48299 li1
+20699 41399 34499 48299 met1
+27599 41399 34499 55199 met2
+27599 48299 48299 55199 met1
+41399 48299 48299 55199 li1
+)
+_042_
+(
+27599 27599 34499 34499 li1
+27599 27599 34499 34499 met1
+27599 27599 34499 55199 met2
+27599 48299 48299 55199 met1
+41399 48299 48299 55199 li1
+)
+_043_
+(
+6899 41399 13799 48299 li1
+6899 41399 34499 48299 met1
+27599 34499 34499 48299 met2
+27599 34499 34499 41399 met1
+27599 34499 34499 41399 li1
+)
+_044_
+(
+13799 41399 27599 48299 met1
+20699 41399 27599 48299 li1
+6899 48299 13799 55199 li1
+6899 48299 34499 55199 met1
+27599 48299 34499 55199 li1
+13799 48299 20699 55199 met1
+13799 48299 20699 62099 met2
+13799 55199 20699 62099 met1
+13799 55199 20699 62099 li1
+13799 41399 20699 55199 met2
+13799 41399 20699 48299 met1
+13799 41399 20699 48299 li1
+)
+_045_
+(
+6899 41399 13799 48299 li1
+6899 41399 27599 48299 met1
+6899 55199 13799 62099 li1
+6899 55199 27599 62099 met1
+20699 55199 27599 68999 met2
+20699 62099 41399 68999 met1
+34499 62099 41399 68999 li1
+20699 48299 27599 55199 li1
+20699 48299 27599 55199 met1
+20699 41399 27599 55199 met2
+20699 41399 27599 48299 met1
+20699 41399 27599 48299 li1
+20699 48299 27599 62099 met2
+)
+_046_
+(
+27599 41399 34499 48299 li1
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+27599 41399 55199 48299 met1
+48299 41399 55199 48299 li1
+6899 48299 13799 55199 li1
+6899 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+)
+_047_
+(
+20699 34499 27599 41399 met1
+20699 27599 27599 41399 met2
+20699 27599 27599 34499 met1
+20699 27599 27599 34499 li1
+20699 34499 34499 41399 met1
+27599 34499 34499 41399 li1
+13799 41399 20699 48299 li1
+13799 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+13799 48299 20699 55199 li1
+13799 48299 27599 55199 met1
+20699 41399 27599 48299 met1
+20699 34499 27599 48299 met2
+20699 48299 27599 55199 li1
+20699 48299 27599 55199 met1
+20699 41399 27599 55199 met2
+)
+_048_
+(
+27599 34499 34499 41399 li1
+27599 34499 41399 41399 met1
+34499 34499 41399 48299 met2
+34499 41399 55199 48299 met1
+48299 41399 55199 48299 li1
+)
+_049_
+(
+13799 41399 20699 48299 li1
+13799 41399 41399 48299 met1
+34499 41399 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+6899 41399 13799 48299 li1
+6899 41399 20699 48299 met1
+)
+_050_
+(
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+6899 41399 13799 48299 li1
+6899 41399 34499 48299 met1
+34499 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+27599 41399 41399 48299 met1
+34499 41399 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+)
+_051_
+(
+13799 41399 20699 48299 li1
+13799 41399 27599 48299 met1
+20699 41399 27599 48299 li1
+34499 55199 41399 62099 li1
+34499 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+20699 41399 34499 48299 met1
+27599 41399 34499 62099 met2
+27599 55199 41399 62099 met1
+)
+_052_
+(
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+27599 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+20699 55199 27599 62099 li1
+20699 55199 34499 62099 met1
+27599 55199 34499 68999 met2
+27599 62099 41399 68999 met1
+34499 62099 41399 68999 li1
+27599 41399 34499 62099 met2
+)
+_053_
+(
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+13799 41399 20699 48299 li1
+13799 41399 27599 48299 met1
+27599 41399 48299 48299 met1
+41399 41399 48299 48299 li1
+20699 41399 27599 48299 met1
+20699 41399 27599 62099 met2
+20699 55199 27599 62099 met1
+20699 55199 27599 62099 li1
+20699 41399 34499 48299 met1
+)
+_054_
+(
+13799 27599 20699 34499 li1
+13799 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+)
+_055_
+(
+13799 27599 20699 34499 li1
+13799 27599 55199 34499 met1
+41399 48299 48299 55199 li1
+41399 48299 55199 55199 met1
+48299 48299 55199 62099 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+48299 27599 55199 55199 met2
+48299 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+)
+_056_
+(
+34499 27599 41399 34499 li1
+34499 27599 41399 34499 met1
+34499 27599 41399 55199 met2
+34499 48299 48299 55199 met1
+41399 48299 48299 55199 li1
+41399 48299 48299 55199 met1
+41399 48299 48299 62099 met2
+41399 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+)
+_057_
+(
+6899 48299 13799 55199 li1
+6899 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+27599 41399 34499 48299 met1
+27599 41399 34499 48299 li1
+)
+_058_
+(
+41399 41399 48299 48299 li1
+41399 41399 48299 48299 met1
+41399 27599 48299 48299 met2
+41399 27599 48299 34499 met1
+41399 27599 48299 34499 li1
+34499 41399 41399 48299 li1
+34499 41399 48299 48299 met1
+41399 41399 48299 62099 met2
+34499 55199 48299 62099 met1
+34499 55199 41399 62099 li1
+)
+_059_
+(
+41399 41399 48299 48299 li1
+41399 41399 48299 48299 met1
+41399 27599 48299 48299 met2
+34499 27599 48299 34499 met1
+34499 27599 41399 34499 li1
+34499 41399 41399 48299 li1
+34499 41399 48299 48299 met1
+41399 41399 48299 62099 met2
+41399 55199 48299 62099 met1
+41399 55199 48299 62099 li1
+)
+_060_
+(
+6899 34499 13799 41399 li1
+6899 34499 34499 41399 met1
+27599 34499 34499 48299 met2
+27599 41399 34499 48299 met1
+27599 41399 34499 48299 li1
+27599 41399 55199 48299 met1
+48299 41399 55199 48299 li1
+)
+_061_
+(
+48299 34499 55199 41399 li1
+48299 34499 55199 41399 met1
+48299 34499 55199 48299 met2
+48299 41399 55199 48299 met1
+48299 41399 55199 48299 li1
+13799 62099 20699 68999 li1
+13799 62099 34499 68999 met1
+27599 34499 34499 68999 met2
+27599 34499 34499 41399 met1
+27599 34499 34499 41399 li1
+27599 34499 55199 41399 met1
+)
+_062_
+(
+41399 27599 67489 34499 met1
+55199 27599 67489 34499 li1
+27599 34499 34499 41399 li1
+27599 34499 48299 41399 met1
+41399 34499 48299 62099 met2
+41399 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+41399 27599 48299 41399 met2
+41399 27599 48299 34499 met1
+41399 27599 48299 34499 li1
+)
+_063_
+(
+41399 27599 48299 34499 li1
+41399 27599 48299 34499 met1
+41399 27599 48299 41399 met2
+41399 34499 48299 41399 met1
+41399 34499 48299 41399 li1
+)
+_064_
+(
+20699 41399 27599 48299 li1
+20699 41399 41399 48299 met1
+34499 27599 41399 48299 met2
+34499 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_065_
+(
+34499 48299 41399 55199 li1
+34499 48299 41399 55199 met1
+34499 27599 41399 55199 met2
+34499 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_066_
+(
+34499 27599 41399 34499 li1
+34499 27599 48299 34499 met1
+41399 27599 48299 41399 met2
+41399 34499 48299 41399 met1
+41399 34499 48299 41399 li1
+)
+_067_
+(
+41399 34499 48299 41399 li1
+41399 34499 67489 41399 met1
+55199 34499 67489 41399 li1
+)
+_068_
+(
+48299 27599 55199 34499 li1
+)
+_069_
+(
+41399 27599 48299 34499 li1
+41399 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+)
+_070_
+(
+20699 34499 27599 41399 li1
+20699 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+27599 27599 41399 34499 met1
+34499 27599 41399 34499 li1
+)
+_071_
+(
+20699 34499 27599 41399 li1
+20699 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+)
+_072_
+(
+20699 34499 27599 41399 li1
+20699 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+34499 20699 41399 27599 met1
+34499 20699 41399 27599 li1
+)
+_073_
+(
+6899 34499 13799 41399 li1
+6899 34499 34499 41399 met1
+27599 34499 34499 41399 li1
+27599 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+34499 20699 41399 27599 met1
+34499 20699 41399 27599 li1
+)
+_074_
+(
+6899 41399 13799 48299 li1
+6899 41399 34499 48299 met1
+27599 41399 34499 48299 li1
+)
+_075_
+(
+13799 34499 20699 41399 li1
+13799 34499 67489 41399 met1
+55199 34499 67489 41399 li1
+)
+_076_
+(
+34499 20699 41399 27599 li1
+34499 20699 41399 27599 met1
+34499 13799 41399 27599 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+34499 20699 48299 27599 met1
+41399 20699 48299 55199 met2
+41399 48299 55199 55199 met1
+48299 48299 55199 55199 li1
+)
+_077_
+(
+6899 41399 13799 48299 li1
+6899 41399 27599 48299 met1
+20699 27599 27599 48299 met2
+20699 27599 27599 34499 met1
+20699 27599 27599 34499 li1
+)
+_078_
+(
+20699 34499 27599 41399 li1
+20699 34499 34499 41399 met1
+27599 34499 34499 48299 met2
+27599 41399 41399 48299 met1
+34499 41399 41399 48299 li1
+)
+cbitin
+(
+6899 55199 27599 62099 met1
+20699 55199 27599 68999 met2
+20699 62099 27599 68999 met1
+20699 62099 27599 68999 li1
+6899 55199 13799 62099 met1
+6899 55199 13799 78209 met2
+)
+cbitout
+(
+-1 13799 6899 20699 met1
+-1 13799 6899 55199 met2
+-1 48299 13799 55199 met1
+6899 48299 13799 55199 li1
+20699 48299 27599 55199 li1
+20699 48299 27599 55199 met1
+20699 48299 27599 62099 met2
+20699 55199 27599 62099 met1
+20699 55199 27599 62099 li1
+6899 48299 27599 55199 met1
+-1 -1 6899 20699 met2
+)
+cfg.cnfg\[0\]
+(
+27599 34499 34499 41399 li1
+27599 34499 34499 41399 met1
+27599 20699 34499 41399 met2
+27599 20699 34499 27599 met1
+27599 20699 34499 27599 li1
+13799 55199 20699 62099 met1
+13799 48299 20699 62099 met2
+13799 48299 20699 55199 met1
+13799 48299 20699 55199 li1
+6899 55199 13799 62099 li1
+6899 55199 20699 62099 met1
+27599 55199 34499 62099 met1
+27599 55199 34499 68999 met2
+27599 62099 34499 68999 met1
+27599 62099 34499 68999 li1
+27599 34499 34499 62099 met2
+13799 55199 27599 62099 met1
+20699 55199 27599 62099 li1
+20699 55199 34499 62099 met1
+)
+cfg.cnfg\[1\]
+(
+27599 27599 34499 34499 li1
+27599 27599 34499 34499 met1
+27599 20699 34499 34499 met2
+27599 20699 34499 27599 met1
+27599 20699 34499 27599 li1
+6899 41399 13799 48299 li1
+6899 41399 34499 48299 met1
+13799 55199 20699 62099 li1
+13799 55199 34499 62099 met1
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 48299 34499 55199 li1
+27599 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+27599 55199 34499 62099 li1
+27599 55199 34499 62099 met1
+27599 48299 34499 62099 met2
+)
+confclk
+(
+6899 55199 27599 62099 met1
+20699 55199 27599 62099 li1
+13799 55199 20699 62099 li1
+13799 55199 20699 62099 met1
+13799 55199 20699 68999 met2
+13799 62099 20699 68999 met1
+13799 62099 20699 68999 li1
+6899 55199 13799 62099 met1
+6899 55199 13799 78209 met2
+)
+dempty
+(
+13799 13799 34499 20699 met1
+27599 13799 34499 34499 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+13799 13799 20699 20699 met1
+13799 -1 20699 20699 met2
+)
+din[0]
+(
+27599 55199 48299 62099 met1
+41399 27599 48299 62099 met2
+41399 27599 48299 34499 met1
+41399 27599 48299 34499 li1
+27599 55199 34499 62099 met1
+27599 55199 34499 78209 met2
+)
+din[1]
+(
+41399 48299 55199 55199 met1
+48299 48299 55199 62099 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+41399 48299 48299 55199 met1
+41399 48299 48299 55199 met2
+41399 48299 67489 55199 met3
+)
+dout[0]
+(
+48299 27599 55199 34499 li1
+48299 27599 55199 34499 met1
+48299 27599 55199 41399 met2
+48299 34499 67489 41399 met1
+55199 34499 67489 41399 li1
+41399 20699 55199 27599 met1
+48299 20699 55199 34499 met2
+41399 20699 48299 27599 met1
+41399 20699 48299 27599 met2
+41399 20699 67489 27599 met3
+)
+dout[1]
+(
+34499 55199 41399 62099 li1
+34499 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+48299 55199 55199 62099 met1
+48299 55199 55199 78209 met2
+)
+hempty
+(
+41399 41399 48299 48299 li1
+41399 41399 48299 48299 met1
+41399 34499 48299 48299 met2
+41399 34499 55199 41399 met1
+48299 34499 55199 41399 li1
+6899 48299 13799 55199 li1
+6899 48299 27599 55199 met1
+20699 48299 27599 62099 met2
+20699 48299 48299 55199 met1
+41399 41399 48299 55199 met2
+20699 55199 27599 78209 met2
+)
+hfsm.clear
+(
+20699 20699 27599 27599 li1
+20699 20699 27599 27599 met1
+20699 13799 27599 27599 met2
+20699 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+6899 27599 13799 34499 li1
+6899 27599 13799 34499 met1
+6899 27599 13799 55199 met2
+6899 48299 13799 55199 met1
+6899 48299 13799 55199 li1
+20699 27599 27599 34499 li1
+20699 27599 27599 34499 met1
+20699 20699 27599 34499 met2
+6899 27599 27599 34499 met1
+)
+hfsm.in\[1\]
+(
+20699 20699 27599 27599 li1
+20699 20699 27599 27599 met1
+20699 13799 27599 27599 met2
+20699 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+20699 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+20699 55199 27599 62099 li1
+20699 55199 27599 62099 met1
+20699 20699 27599 62099 met2
+)
+hfsm.lin\[0\]
+(
+27599 13799 34499 20699 li1
+27599 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+13799 20699 20699 27599 li1
+13799 20699 27599 27599 met1
+34499 48299 41399 55199 li1
+34499 48299 48299 55199 met1
+41399 48299 48299 55199 li1
+20699 20699 27599 27599 met1
+20699 13799 27599 27599 met2
+20699 13799 34499 20699 met1
+20699 34499 27599 41399 li1
+20699 34499 27599 41399 met1
+20699 20699 27599 55199 met2
+20699 48299 41399 55199 met1
+)
+hfsm.lin\[1\]
+(
+13799 20699 20699 27599 li1
+13799 20699 27599 27599 met1
+6899 34499 13799 41399 li1
+6899 34499 27599 41399 met1
+34499 48299 41399 55199 li1
+34499 48299 41399 55199 met1
+34499 48299 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+20699 34499 27599 41399 met1
+20699 20699 27599 41399 met2
+20699 20699 27599 27599 met1
+20699 20699 27599 27599 li1
+20699 34499 41399 41399 met1
+34499 34499 41399 55199 met2
+)
+hfsm.lmatch\[0\]
+(
+20699 27599 27599 34499 li1
+20699 27599 27599 34499 met1
+20699 20699 27599 34499 met2
+20699 20699 34499 27599 met1
+27599 20699 34499 27599 li1
+13799 41399 20699 48299 li1
+13799 41399 27599 48299 met1
+20699 41399 27599 55199 met2
+20699 48299 34499 55199 met1
+27599 48299 34499 55199 li1
+20699 27599 27599 48299 met2
+)
+hfsm.lmatch\[1\]
+(
+20699 20699 27599 27599 li1
+20699 20699 27599 27599 met1
+20699 13799 27599 27599 met2
+20699 13799 34499 20699 met1
+27599 13799 34499 20699 li1
+20699 41399 27599 48299 li1
+20699 41399 27599 48299 met1
+20699 41399 27599 62099 met2
+20699 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+20699 34499 27599 41399 li1
+20699 34499 27599 41399 met1
+20699 20699 27599 48299 met2
+)
+hfsm.nlmempty
+(
+27599 41399 34499 48299 li1
+27599 41399 34499 48299 met1
+27599 27599 34499 48299 met2
+27599 27599 34499 34499 met1
+27599 27599 34499 34499 li1
+6899 41399 13799 48299 li1
+6899 41399 34499 48299 met1
+27599 41399 34499 62099 met2
+27599 55199 34499 62099 met1
+27599 55199 34499 62099 li1
+)
+lempty
+(
+6899 27599 13799 34499 li1
+6899 27599 20699 34499 met1
+13799 6899 20699 34499 met2
+-1 6899 20699 13799 met3
+)
+lin[0]
+(
+6899 41399 13799 48299 li1
+6899 41399 20699 48299 met1
+13799 34499 20699 48299 met2
+-1 34499 20699 41399 met3
+)
+lin[1]
+(
+13799 62099 20699 68999 met1
+13799 27599 20699 68999 met2
+13799 27599 20699 34499 met1
+13799 27599 20699 34499 li1
+13799 62099 20699 68999 met2
+-1 62099 20699 68999 met3
+)
+lout[0]
+(
+6899 55199 13799 62099 li1
+6899 55199 48299 62099 met1
+41399 13799 48299 62099 met2
+41399 13799 48299 20699 met1
+41399 13799 48299 20699 li1
+34499 55199 41399 62099 met1
+34499 55199 41399 78209 met2
+)
+lout[1]
+(
+6899 55199 13799 62099 li1
+6899 55199 20699 62099 met1
+13799 55199 20699 62099 li1
+41399 62099 55199 68999 met1
+48299 62099 55199 68999 li1
+41399 62099 48299 68999 met1
+41399 62099 48299 78209 met2
+13799 55199 48299 62099 met1
+41399 55199 48299 68999 met2
+41399 68999 48299 78209 met2
+41399 68999 67489 78209 met3
+)
+rempty
+(
+41399 6899 48299 13799 met1
+41399 6899 48299 41399 met2
+41399 34499 55199 41399 met1
+48299 34499 55199 41399 li1
+41399 6899 48299 13799 met2
+41399 6899 67489 13799 met3
+)
+reset
+(
+6899 55199 13799 62099 met1
+6899 48299 13799 62099 met2
+6899 48299 13799 55199 met1
+6899 48299 13799 55199 li1
+6899 55199 13799 68999 met2
+6899 62099 41399 68999 met1
+34499 62099 41399 68999 li1
+-1 55199 13799 62099 met1
+-1 55199 6899 78209 met2
+)
+rin[0]
+(
+41399 34499 55199 41399 met1
+48299 27599 55199 41399 met2
+48299 27599 67489 34499 met1
+55199 27599 67489 34499 li1
+41399 34499 48299 41399 met1
+41399 34499 48299 41399 met2
+41399 34499 67489 41399 met3
+)
+rin[1]
+(
+48299 55199 55199 62099 met1
+48299 55199 55199 68999 met2
+48299 62099 55199 68999 met1
+48299 62099 55199 68999 li1
+48299 55199 55199 78209 met2
+)
+rout[0]
+(
+41399 55199 55199 62099 met1
+48299 27599 55199 62099 met2
+48299 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+6899 62099 13799 68999 li1
+6899 62099 48299 68999 met1
+41399 55199 48299 68999 met2
+41399 55199 48299 62099 met1
+41399 55199 48299 78209 met2
+)
+rout[1]
+(
+48299 55199 55199 62099 met1
+48299 55199 55199 68999 met2
+48299 62099 55199 68999 met1
+48299 62099 55199 68999 li1
+27599 55199 34499 62099 li1
+27599 55199 67489 62099 met1
+55199 55199 67489 78209 met2
+)
+uempty
+(
+13799 55199 20699 62099 met1
+13799 55199 20699 68999 met2
+13799 62099 20699 68999 met1
+13799 62099 20699 68999 li1
+13799 55199 20699 78209 met2
+)
+uin[0]
+(
+27599 13799 34499 20699 met1
+27599 13799 34499 41399 met2
+27599 34499 34499 41399 met1
+27599 34499 34499 41399 li1
+27599 -1 34499 20699 met2
+)
+uin[1]
+(
+48299 34499 55199 41399 li1
+48299 34499 55199 41399 met1
+48299 34499 55199 62099 met2
+48299 55199 67489 62099 met1
+55199 55199 67489 78209 met2
+)
+uout[0]
+(
+48299 20699 55199 27599 met1
+48299 13799 55199 27599 met2
+41399 13799 55199 20699 met1
+34499 20699 41399 27599 li1
+34499 20699 55199 27599 met1
+48299 27599 55199 34499 li1
+48299 27599 55199 34499 met1
+48299 27599 55199 48299 met2
+48299 41399 55199 48299 met1
+48299 41399 55199 48299 li1
+48299 20699 55199 34499 met2
+41399 13799 48299 20699 met1
+41399 -1 48299 20699 met2
+)
+uout[1]
+(
+48299 41399 55199 48299 li1
+48299 41399 55199 48299 met1
+48299 41399 55199 62099 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+48299 41399 67489 48299 met1
+55199 -1 67489 48299 met2
+)
+vempty
+(
+20699 41399 27599 48299 met1
+20699 27599 27599 48299 met2
+20699 27599 27599 34499 met1
+20699 27599 27599 34499 li1
+13799 41399 20699 48299 li1
+13799 41399 27599 48299 met1
+20699 41399 27599 62099 met2
+20699 55199 34499 62099 met1
+27599 55199 34499 78209 met2
+)
+vfsm.clear
+(
+13799 34499 20699 41399 li1
+13799 34499 20699 41399 met1
+13799 27599 20699 41399 met2
+13799 27599 20699 34499 met1
+13799 27599 20699 34499 li1
+13799 48299 20699 55199 li1
+13799 48299 20699 55199 met1
+13799 48299 20699 68999 met2
+13799 62099 41399 68999 met1
+34499 62099 41399 68999 li1
+13799 34499 20699 55199 met2
+)
+vfsm.in\[1\]
+(
+48299 27599 55199 34499 li1
+48299 27599 55199 34499 met1
+48299 20699 55199 34499 met2
+48299 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+41399 55199 48299 62099 li1
+41399 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+48299 55199 55199 62099 met1
+48299 27599 55199 62099 met2
+)
+vfsm.lin\[0\]
+(
+34499 27599 41399 34499 met1
+34499 13799 41399 34499 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+34499 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+13799 34499 20699 41399 li1
+13799 34499 20699 41399 met1
+13799 34499 20699 48299 met2
+13799 41399 20699 48299 met1
+13799 41399 20699 48299 li1
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 34499 41399 55199 met2
+34499 48299 41399 55199 met1
+34499 48299 41399 55199 li1
+34499 27599 41399 41399 met2
+13799 34499 41399 41399 met1
+)
+vfsm.lin\[1\]
+(
+34499 27599 41399 34499 met1
+34499 13799 41399 34499 met2
+34499 13799 41399 20699 met1
+34499 13799 41399 20699 li1
+34499 27599 55199 34499 met1
+48299 27599 55199 34499 li1
+13799 34499 20699 41399 li1
+13799 34499 41399 41399 met1
+34499 48299 41399 55199 li1
+34499 48299 41399 55199 met1
+34499 48299 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+34499 34499 41399 41399 li1
+34499 34499 41399 41399 met1
+34499 27599 41399 55199 met2
+)
+vfsm.lmatch\[0\]
+(
+34499 27599 41399 34499 met1
+34499 20699 41399 34499 met2
+34499 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+34499 27599 41399 41399 met2
+34499 34499 41399 41399 met1
+13799 34499 20699 41399 li1
+13799 34499 41399 41399 met1
+20699 27599 27599 34499 li1
+20699 27599 41399 34499 met1
+34499 34499 41399 55199 met2
+34499 48299 41399 55199 met1
+34499 48299 41399 55199 li1
+)
+vfsm.lmatch\[1\]
+(
+41399 20699 55199 27599 met1
+48299 20699 55199 27599 li1
+13799 48299 20699 55199 li1
+13799 48299 20699 55199 met1
+13799 41399 20699 55199 met2
+13799 41399 20699 48299 met1
+13799 41399 20699 48299 li1
+34499 48299 41399 55199 met1
+34499 48299 41399 62099 met2
+34499 55199 41399 62099 met1
+34499 55199 41399 62099 li1
+34499 48299 48299 55199 met1
+41399 20699 48299 55199 met2
+41399 20699 48299 27599 met1
+41399 20699 48299 27599 li1
+13799 48299 41399 55199 met1
+)
+vfsm.nlmempty
+(
+34499 13799 41399 20699 li1
+34499 13799 41399 20699 met1
+34499 13799 41399 48299 met2
+34499 41399 48299 48299 met1
+41399 41399 48299 48299 li1
+48299 48299 55199 55199 li1
+48299 48299 55199 55199 met1
+48299 48299 55199 62099 met2
+48299 55199 55199 62099 met1
+48299 55199 55199 62099 li1
+41399 41399 55199 48299 met1
+48299 41399 55199 55199 met2
+)
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.guide b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.guide
new file mode 100644
index 0000000..c5d904e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.guide
@@ -0,0 +1,1202 @@
+cbitin
+(
+20699 62099 27599 68999 li1
+6899 55199 27599 62099 met1
+20699 62099 27599 68999 met1
+6899 55199 13799 78210 met2
+20699 55199 27599 68999 met2
+)
+cbitout
+(
+6899 48299 13799 55199 li1
+20699 48299 27599 55199 li1
+20699 55199 27599 62099 li1
+0 48299 13799 55199 met1
+6899 48299 27599 55199 met1
+20699 55199 27599 62099 met1
+0 0 6899 20699 met2
+0 13799 6899 55199 met2
+20699 48299 27599 62099 met2
+)
+confclk
+(
+13799 55199 20699 62099 li1
+13799 62099 20699 68999 li1
+20699 55199 27599 62099 li1
+6899 55199 20699 62099 met1
+13799 55199 27599 62099 met1
+13799 62099 20699 68999 met1
+6899 55199 13799 78210 met2
+13799 55199 20699 68999 met2
+)
+dempty
+(
+27599 27599 34499 34499 li1
+13799 13799 34499 20699 met1
+27599 27599 34499 34499 met1
+13799 0 20699 20699 met2
+27599 13799 34499 34499 met2
+)
+din[0]
+(
+41399 27599 48299 34499 li1
+41399 27599 48299 34499 met1
+27599 55199 48299 62099 met1
+27599 55199 34499 78210 met2
+41399 27599 48299 62099 met2
+)
+din[1]
+(
+48299 55199 55199 62099 li1
+48299 55199 55199 62099 met1
+48299 48299 55199 62099 met2
+48299 48299 67490 55199 met3
+)
+dout[0]
+(
+48299 27599 55199 34499 li1
+55199 34499 67490 41399 li1
+48299 27599 55199 34499 met1
+48299 34499 67490 41399 met1
+48299 20699 55199 34499 met2
+48299 27599 55199 41399 met2
+48299 20699 67490 27599 met3
+)
+dout[1]
+(
+34499 55199 41399 62099 li1
+48299 55199 55199 62099 li1
+34499 55199 55199 62099 met1
+48299 55199 55199 78210 met2
+)
+hempty
+(
+6899 48299 13799 55199 li1
+41399 41399 48299 48299 li1
+48299 34499 55199 41399 li1
+41399 34499 55199 41399 met1
+41399 41399 48299 48299 met1
+6899 48299 27599 55199 met1
+20699 48299 48299 55199 met1
+20699 48299 27599 78210 met2
+41399 34499 48299 48299 met2
+41399 41399 48299 55199 met2
+)
+lempty
+(
+6899 27599 13799 34499 li1
+6899 27599 20699 34499 met1
+13799 6899 20699 34499 met2
+0 6899 20699 13799 met3
+)
+lin[0]
+(
+6899 41399 13799 48299 li1
+6899 41399 20699 48299 met1
+13799 34499 20699 48299 met2
+0 34499 20699 41399 met3
+)
+lin[1]
+(
+13799 27599 20699 34499 li1
+13799 27599 20699 34499 met1
+13799 27599 20699 68999 met2
+0 62099 20699 68999 met3
+)
+lout[0]
+(
+6899 55199 13799 62099 li1
+41399 13799 48299 20699 li1
+41399 13799 48299 20699 met1
+6899 55199 41399 62099 met1
+34499 55199 48299 62099 met1
+34499 55199 41399 78210 met2
+41399 13799 48299 62099 met2
+)
+lout[1]
+(
+6899 55199 13799 62099 li1
+13799 55199 20699 62099 li1
+48299 62099 55199 68999 li1
+6899 55199 20699 62099 met1
+13799 55199 48299 62099 met1
+41399 62099 55199 68999 met1
+41399 55199 48299 68999 met2
+41399 62099 48299 78210 met2
+41399 68999 67490 78210 met3
+)
+rempty
+(
+48299 34499 55199 41399 li1
+41399 34499 55199 41399 met1
+41399 6899 48299 41399 met2
+41399 6899 67490 13799 met3
+)
+reset
+(
+6899 48299 13799 55199 li1
+34499 62099 41399 68999 li1
+6899 48299 13799 55199 met1
+0 55199 13799 62099 met1
+6899 62099 41399 68999 met1
+0 55199 6899 78210 met2
+6899 48299 13799 62099 met2
+6899 55199 13799 68999 met2
+)
+rin[0]
+(
+55199 27599 67490 34499 li1
+48299 27599 67490 34499 met1
+48299 27599 55199 41399 met2
+48299 34499 67490 41399 met3
+)
+rin[1]
+(
+48299 62099 55199 68999 li1
+48299 62099 55199 68999 met1
+48299 62099 55199 78210 met2
+)
+rout[0]
+(
+6899 62099 13799 68999 li1
+48299 27599 55199 34499 li1
+48299 27599 55199 34499 met1
+41399 55199 55199 62099 met1
+6899 62099 48299 68999 met1
+41399 55199 48299 68999 met2
+41399 62099 48299 78210 met2
+48299 27599 55199 62099 met2
+)
+rout[1]
+(
+27599 55199 34499 62099 li1
+48299 62099 55199 68999 li1
+27599 55199 55199 62099 met1
+48299 55199 67490 62099 met1
+48299 62099 55199 68999 met1
+48299 55199 55199 68999 met2
+55199 55199 67490 78210 met2
+)
+uempty
+(
+13799 62099 20699 68999 li1
+13799 62099 20699 68999 met1
+13799 62099 20699 78210 met2
+)
+uin[0]
+(
+27599 34499 34499 41399 li1
+27599 34499 34499 41399 met1
+27599 0 34499 20699 met2
+27599 13799 34499 41399 met2
+)
+uin[1]
+(
+48299 34499 55199 41399 li1
+48299 34499 55199 41399 met1
+48299 55199 67490 62099 met1
+48299 34499 55199 62099 met2
+55199 55199 67490 78210 met2
+)
+uout[0]
+(
+34499 20699 41399 27599 li1
+48299 27599 55199 34499 li1
+48299 41399 55199 48299 li1
+41399 13799 55199 20699 met1
+34499 20699 55199 27599 met1
+48299 27599 55199 34499 met1
+48299 41399 55199 48299 met1
+41399 0 48299 20699 met2
+48299 13799 55199 27599 met2
+48299 20699 55199 34499 met2
+48299 27599 55199 48299 met2
+)
+uout[1]
+(
+48299 41399 55199 48299 li1
+48299 55199 55199 62099 li1
+48299 41399 67490 48299 met1
+48299 55199 55199 62099 met1
+48299 41399 55199 62099 met2
+55199 0 67490 48299 met2
+)
+vempty
+(
+13799 41399 20699 48299 li1
+20699 27599 27599 34499 li1
+20699 27599 27599 34499 met1
+13799 41399 27599 48299 met1
+20699 55199 34499 62099 met1
+20699 27599 27599 48299 met2
+20699 41399 27599 62099 met2
+27599 55199 34499 78210 met2
+)
+_000_
+(
+13799 48299 20699 55199 li1
+34499 41399 41399 48299 li1
+27599 41399 41399 48299 met1
+13799 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+)
+_001_
+(
+48299 34499 55199 41399 li1
+48299 48299 55199 55199 li1
+48299 34499 55199 41399 met1
+48299 48299 55199 55199 met1
+48299 34499 55199 55199 met2
+)
+_002_
+(
+48299 41399 55199 48299 li1
+48299 48299 55199 55199 li1
+48299 62099 55199 68999 li1
+55199 27599 67490 34499 li1
+48299 27599 67490 34499 met1
+48299 41399 55199 48299 met1
+48299 48299 55199 55199 met1
+48299 62099 55199 68999 met1
+48299 27599 55199 48299 met2
+48299 41399 55199 55199 met2
+48299 48299 55199 68999 met2
+)
+_003_
+(
+48299 27599 55199 34499 li1
+48299 41399 55199 48299 li1
+48299 62099 55199 68999 li1
+48299 27599 55199 34499 met1
+48299 41399 55199 48299 met1
+48299 62099 55199 68999 met1
+48299 27599 55199 48299 met2
+48299 41399 55199 68999 met2
+)
+_004_
+(
+13799 55199 20699 62099 li1
+34499 41399 41399 48299 li1
+27599 41399 41399 48299 met1
+13799 55199 34499 62099 met1
+27599 41399 34499 62099 met2
+)
+_005_
+(
+34499 20699 41399 27599 li1
+34499 34499 41399 41399 li1
+34499 20699 41399 27599 met1
+34499 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+)
+_006_
+(
+34499 20699 41399 27599 li1
+41399 20699 48299 27599 li1
+34499 20699 48299 27599 met1
+)
+_007_
+(
+20699 48299 27599 55199 li1
+41399 20699 48299 27599 li1
+41399 20699 48299 27599 met1
+20699 48299 48299 55199 met1
+41399 20699 48299 55199 met2
+)
+_008_
+(
+13799 34499 20699 41399 li1
+48299 27599 55199 34499 li1
+27599 27599 55199 34499 met1
+13799 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+)
+_009_
+(
+6899 27599 13799 34499 li1
+6899 55199 13799 62099 li1
+13799 27599 20699 34499 li1
+13799 41399 20699 48299 li1
+6899 27599 20699 34499 met1
+6899 41399 20699 48299 met1
+6899 55199 13799 62099 met1
+6899 27599 13799 48299 met2
+6899 41399 13799 62099 met2
+)
+_010_
+(
+13799 41399 20699 48299 li1
+20699 27599 27599 34499 li1
+27599 13799 34499 20699 li1
+27599 55199 34499 62099 li1
+20699 13799 34499 20699 met1
+20699 27599 27599 34499 met1
+13799 41399 27599 48299 met1
+20699 55199 34499 62099 met1
+20699 13799 27599 34499 met2
+20699 27599 27599 48299 met2
+20699 41399 27599 62099 met2
+)
+_011_
+(
+27599 27599 34499 34499 li1
+34499 27599 41399 34499 li1
+27599 27599 41399 34499 met1
+)
+_012_
+(
+20699 34499 27599 41399 li1
+20699 41399 27599 48299 li1
+20699 34499 27599 41399 met1
+20699 41399 27599 48299 met1
+20699 34499 27599 48299 met2
+)
+_013_
+(
+20699 41399 27599 48299 li1
+27599 48299 34499 55199 li1
+20699 41399 34499 48299 met1
+27599 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+)
+_014_
+(
+20699 41399 27599 48299 li1
+34499 27599 41399 34499 li1
+34499 27599 41399 34499 met1
+20699 41399 41399 48299 met1
+34499 27599 41399 48299 met2
+)
+_015_
+(
+6899 62099 13799 68999 li1
+34499 27599 41399 34499 li1
+34499 27599 41399 34499 met1
+6899 62099 13799 68999 met1
+6899 62099 13799 68999 met2
+34499 27599 41399 34499 met2
+34499 27599 41399 34499 met3
+6899 62099 41399 68999 met3
+34499 27599 41399 68999 met4
+)
+_016_
+(
+34499 55199 41399 62099 li1
+41399 13799 48299 20699 li1
+55199 27599 67490 34499 li1
+41399 13799 48299 20699 met1
+41399 27599 67490 34499 met1
+34499 55199 48299 62099 met1
+41399 13799 48299 34499 met2
+41399 27599 48299 62099 met2
+)
+_017_
+(
+34499 55199 41399 62099 li1
+41399 13799 48299 20699 li1
+55199 27599 67490 34499 li1
+41399 13799 48299 20699 met1
+41399 27599 67490 34499 met1
+34499 55199 48299 62099 met1
+41399 13799 48299 34499 met2
+41399 27599 48299 62099 met2
+)
+_018_
+(
+27599 20699 34499 27599 li1
+34499 55199 41399 62099 li1
+27599 20699 41399 27599 met1
+34499 55199 41399 62099 met1
+34499 20699 41399 62099 met2
+)
+_019_
+(
+34499 13799 41399 20699 li1
+34499 34499 41399 41399 li1
+34499 55199 41399 62099 li1
+34499 13799 41399 20699 met1
+34499 34499 41399 41399 met1
+34499 55199 41399 62099 met1
+34499 13799 41399 41399 met2
+34499 34499 41399 62099 met2
+)
+_020_
+(
+20699 27599 27599 34499 li1
+34499 13799 41399 20699 li1
+34499 13799 41399 20699 met1
+20699 27599 41399 34499 met1
+34499 13799 41399 34499 met2
+)
+_021_
+(
+13799 27599 20699 34499 li1
+20699 27599 27599 34499 li1
+13799 27599 27599 34499 met1
+)
+_022_
+(
+6899 34499 13799 41399 li1
+27599 41399 34499 48299 li1
+6899 34499 34499 41399 met1
+27599 41399 34499 48299 met1
+27599 34499 34499 48299 met2
+)
+_023_
+(
+6899 34499 13799 41399 li1
+27599 20699 34499 27599 li1
+20699 20699 34499 27599 met1
+6899 34499 27599 41399 met1
+20699 20699 27599 41399 met2
+)
+_024_
+(
+20699 27599 27599 34499 li1
+27599 20699 34499 27599 li1
+27599 20699 34499 27599 met1
+20699 27599 34499 34499 met1
+27599 20699 34499 34499 met2
+)
+_025_
+(
+48299 20699 55199 27599 li1
+48299 34499 55199 41399 li1
+48299 20699 55199 27599 met1
+48299 34499 55199 41399 met1
+48299 20699 55199 41399 met2
+)
+_026_
+(
+48299 20699 55199 27599 li1
+48299 41399 55199 48299 li1
+48299 20699 55199 27599 met1
+48299 41399 55199 48299 met1
+48299 20699 55199 48299 met2
+)
+_027_
+(
+6899 34499 13799 41399 li1
+34499 13799 41399 20699 li1
+34499 13799 41399 20699 met1
+6899 34499 13799 41399 met1
+6899 34499 13799 41399 met2
+34499 13799 41399 41399 met2
+6899 34499 41399 41399 met3
+)
+_028_
+(
+20699 20699 27599 27599 li1
+34499 13799 41399 20699 li1
+27599 13799 41399 20699 met1
+20699 20699 34499 27599 met1
+27599 13799 34499 27599 met2
+)
+_029_
+(
+6899 27599 13799 34499 li1
+20699 20699 27599 27599 li1
+20699 20699 27599 27599 met1
+6899 27599 27599 34499 met1
+20699 20699 27599 34499 met2
+)
+_030_
+(
+13799 27599 20699 34499 li1
+27599 13799 34499 20699 li1
+27599 13799 34499 20699 met1
+13799 27599 34499 34499 met1
+27599 13799 34499 34499 met2
+)
+_031_
+(
+13799 55199 20699 62099 li1
+27599 13799 34499 20699 li1
+27599 13799 34499 20699 met1
+13799 55199 34499 62099 met1
+27599 13799 34499 62099 met2
+)
+_032_
+(
+27599 13799 34499 20699 li1
+34499 13799 41399 20699 li1
+27599 13799 41399 20699 met1
+)
+_033_
+(
+41399 13799 48299 20699 li1
+48299 55199 55199 62099 li1
+41399 13799 55199 20699 met1
+48299 55199 55199 62099 met1
+48299 13799 55199 62099 met2
+)
+_034_
+(
+34499 62099 41399 68999 li1
+48299 55199 55199 62099 li1
+48299 55199 55199 62099 met1
+34499 62099 55199 68999 met1
+48299 55199 55199 68999 met2
+)
+_035_
+(
+34499 34499 41399 41399 li1
+48299 20699 55199 27599 li1
+48299 20699 55199 27599 met1
+34499 34499 55199 41399 met1
+48299 20699 55199 41399 met2
+)
+_036_
+(
+34499 13799 41399 20699 li1
+34499 34499 41399 41399 li1
+34499 13799 41399 20699 met1
+34499 34499 41399 41399 met1
+34499 13799 41399 41399 met2
+)
+_037_
+(
+41399 13799 48299 20699 li1
+41399 41399 48299 48299 li1
+41399 13799 48299 20699 met1
+41399 41399 48299 48299 met1
+41399 13799 48299 48299 met2
+)
+_038_
+(
+34499 34499 41399 41399 li1
+41399 41399 48299 48299 li1
+34499 34499 41399 41399 met1
+34499 41399 48299 48299 met1
+34499 34499 41399 48299 met2
+)
+_039_
+(
+13799 20699 20699 27599 li1
+27599 55199 34499 62099 li1
+13799 20699 34499 27599 met1
+27599 55199 34499 62099 met1
+27599 20699 34499 62099 met2
+)
+_040_
+(
+6899 48299 13799 55199 li1
+27599 55199 34499 62099 li1
+6899 48299 34499 55199 met1
+27599 55199 34499 62099 met1
+27599 48299 34499 62099 met2
+)
+_041_
+(
+20699 41399 27599 48299 li1
+41399 48299 48299 55199 li1
+20699 41399 34499 48299 met1
+27599 48299 48299 55199 met1
+27599 41399 34499 55199 met2
+)
+_042_
+(
+27599 27599 34499 34499 li1
+41399 48299 48299 55199 li1
+27599 27599 34499 34499 met1
+27599 48299 48299 55199 met1
+27599 27599 34499 55199 met2
+)
+_043_
+(
+6899 41399 13799 48299 li1
+27599 34499 34499 41399 li1
+27599 34499 34499 41399 met1
+6899 41399 34499 48299 met1
+27599 34499 34499 48299 met2
+)
+_044_
+(
+6899 48299 13799 55199 li1
+13799 41399 20699 48299 li1
+13799 55199 20699 62099 li1
+20699 41399 27599 48299 li1
+27599 48299 34499 55199 li1
+13799 41399 27599 48299 met1
+6899 48299 20699 55199 met1
+13799 48299 34499 55199 met1
+13799 55199 20699 62099 met1
+13799 41399 20699 55199 met2
+13799 48299 20699 62099 met2
+)
+_045_
+(
+6899 41399 13799 48299 li1
+6899 55199 13799 62099 li1
+20699 41399 27599 48299 li1
+20699 48299 27599 55199 li1
+34499 62099 41399 68999 li1
+6899 41399 27599 48299 met1
+20699 48299 27599 55199 met1
+6899 55199 27599 62099 met1
+20699 62099 41399 68999 met1
+20699 41399 27599 55199 met2
+20699 48299 27599 62099 met2
+20699 55199 27599 68999 met2
+)
+_046_
+(
+6899 48299 13799 55199 li1
+27599 27599 34499 34499 li1
+27599 41399 34499 48299 li1
+48299 41399 55199 48299 li1
+27599 27599 34499 34499 met1
+27599 41399 55199 48299 met1
+6899 48299 34499 55199 met1
+27599 27599 34499 48299 met2
+27599 41399 34499 55199 met2
+)
+_047_
+(
+13799 41399 20699 48299 li1
+13799 48299 20699 55199 li1
+20699 27599 27599 34499 li1
+20699 48299 27599 55199 li1
+27599 34499 34499 41399 li1
+34499 41399 41399 48299 li1
+20699 27599 27599 34499 met1
+20699 34499 34499 41399 met1
+13799 41399 27599 48299 met1
+20699 41399 41399 48299 met1
+13799 48299 27599 55199 met1
+20699 27599 27599 41399 met2
+20699 34499 27599 48299 met2
+20699 41399 27599 55199 met2
+)
+_048_
+(
+27599 34499 34499 41399 li1
+48299 41399 55199 48299 li1
+27599 34499 41399 41399 met1
+34499 41399 55199 48299 met1
+34499 34499 41399 48299 met2
+)
+_049_
+(
+6899 41399 13799 48299 li1
+13799 41399 20699 48299 li1
+34499 55199 41399 62099 li1
+6899 41399 20699 48299 met1
+13799 41399 41399 48299 met1
+34499 55199 41399 62099 met1
+34499 41399 41399 62099 met2
+)
+_050_
+(
+6899 41399 13799 48299 li1
+27599 27599 34499 34499 li1
+34499 55199 41399 62099 li1
+48299 55199 55199 62099 li1
+27599 27599 34499 34499 met1
+6899 41399 34499 48299 met1
+27599 41399 41399 48299 met1
+34499 55199 55199 62099 met1
+27599 27599 34499 48299 met2
+34499 41399 41399 62099 met2
+)
+_051_
+(
+13799 41399 20699 48299 li1
+20699 41399 27599 48299 li1
+34499 55199 41399 62099 li1
+48299 55199 55199 62099 li1
+13799 41399 27599 48299 met1
+20699 41399 34499 48299 met1
+27599 55199 41399 62099 met1
+34499 55199 55199 62099 met1
+27599 41399 34499 62099 met2
+)
+_052_
+(
+20699 55199 27599 62099 li1
+27599 27599 34499 34499 li1
+34499 41399 41399 48299 li1
+34499 62099 41399 68999 li1
+27599 27599 34499 34499 met1
+27599 41399 41399 48299 met1
+20699 55199 34499 62099 met1
+27599 62099 41399 68999 met1
+27599 27599 34499 48299 met2
+27599 41399 34499 62099 met2
+27599 55199 34499 68999 met2
+)
+_053_
+(
+13799 41399 20699 48299 li1
+20699 55199 27599 62099 li1
+27599 27599 34499 34499 li1
+41399 41399 48299 48299 li1
+27599 27599 34499 34499 met1
+13799 41399 27599 48299 met1
+20699 41399 34499 48299 met1
+27599 41399 48299 48299 met1
+20699 55199 27599 62099 met1
+20699 41399 27599 62099 met2
+27599 27599 34499 48299 met2
+)
+_054_
+(
+13799 27599 20699 34499 li1
+27599 27599 34499 34499 li1
+13799 27599 34499 34499 met1
+)
+_055_
+(
+13799 27599 20699 34499 li1
+41399 48299 48299 55199 li1
+48299 27599 55199 34499 li1
+48299 55199 55199 62099 li1
+13799 27599 55199 34499 met1
+41399 48299 55199 55199 met1
+48299 55199 55199 62099 met1
+48299 27599 55199 55199 met2
+48299 48299 55199 62099 met2
+)
+_056_
+(
+34499 27599 41399 34499 li1
+41399 48299 48299 55199 li1
+48299 55199 55199 62099 li1
+34499 27599 41399 34499 met1
+34499 48299 48299 55199 met1
+41399 55199 55199 62099 met1
+34499 27599 41399 55199 met2
+41399 48299 48299 62099 met2
+)
+_057_
+(
+6899 48299 13799 55199 li1
+27599 41399 34499 48299 li1
+27599 41399 34499 48299 met1
+6899 48299 34499 55199 met1
+27599 41399 34499 55199 met2
+)
+_058_
+(
+34499 41399 41399 48299 li1
+34499 55199 41399 62099 li1
+41399 27599 48299 34499 li1
+41399 41399 48299 48299 li1
+41399 27599 48299 34499 met1
+34499 41399 48299 48299 met1
+34499 55199 48299 62099 met1
+41399 27599 48299 48299 met2
+41399 41399 48299 62099 met2
+)
+_059_
+(
+34499 27599 41399 34499 li1
+34499 41399 41399 48299 li1
+41399 41399 48299 48299 li1
+41399 55199 48299 62099 li1
+34499 27599 48299 34499 met1
+34499 41399 48299 48299 met1
+41399 55199 48299 62099 met1
+41399 27599 48299 48299 met2
+41399 41399 48299 62099 met2
+)
+_060_
+(
+6899 34499 13799 41399 li1
+27599 41399 34499 48299 li1
+48299 41399 55199 48299 li1
+6899 34499 34499 41399 met1
+27599 41399 55199 48299 met1
+27599 34499 34499 48299 met2
+)
+_061_
+(
+13799 62099 20699 68999 li1
+27599 34499 34499 41399 li1
+48299 34499 55199 41399 li1
+48299 41399 55199 48299 li1
+27599 34499 55199 41399 met1
+48299 41399 55199 48299 met1
+13799 62099 34499 68999 met1
+27599 34499 34499 68999 met2
+48299 34499 55199 48299 met2
+)
+_062_
+(
+27599 34499 34499 41399 li1
+41399 27599 48299 34499 li1
+48299 55199 55199 62099 li1
+55199 27599 67490 34499 li1
+41399 27599 67490 34499 met1
+27599 34499 48299 41399 met1
+41399 55199 55199 62099 met1
+41399 27599 48299 41399 met2
+41399 34499 48299 62099 met2
+)
+_063_
+(
+41399 27599 48299 34499 li1
+41399 34499 48299 41399 li1
+41399 27599 48299 34499 met1
+41399 34499 48299 41399 met1
+41399 27599 48299 41399 met2
+)
+_064_
+(
+20699 41399 27599 48299 li1
+34499 27599 41399 34499 li1
+34499 27599 41399 34499 met1
+20699 41399 41399 48299 met1
+34499 27599 41399 48299 met2
+)
+_065_
+(
+34499 27599 41399 34499 li1
+34499 48299 41399 55199 li1
+34499 27599 41399 34499 met1
+34499 48299 41399 55199 met1
+34499 27599 41399 55199 met2
+)
+_066_
+(
+34499 27599 41399 34499 li1
+41399 34499 48299 41399 li1
+34499 27599 48299 34499 met1
+41399 34499 48299 41399 met1
+41399 27599 48299 41399 met2
+)
+_067_
+(
+41399 34499 48299 41399 li1
+55199 34499 67490 41399 li1
+41399 34499 67490 41399 met1
+)
+_068_
+(
+48299 27599 55199 34499 li1
+)
+_069_
+(
+41399 27599 48299 34499 li1
+)
+_070_
+(
+20699 34499 27599 41399 li1
+34499 27599 41399 34499 li1
+27599 27599 41399 34499 met1
+20699 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+)
+_071_
+(
+20699 34499 27599 41399 li1
+27599 27599 34499 34499 li1
+27599 27599 34499 34499 met1
+20699 34499 34499 41399 met1
+27599 27599 34499 41399 met2
+)
+_072_
+(
+20699 34499 27599 41399 li1
+34499 20699 41399 27599 li1
+34499 20699 41399 27599 met1
+20699 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+)
+_073_
+(
+6899 34499 13799 41399 li1
+27599 34499 34499 41399 li1
+34499 20699 41399 27599 li1
+34499 20699 41399 27599 met1
+6899 34499 34499 41399 met1
+27599 34499 41399 41399 met1
+34499 20699 41399 41399 met2
+)
+_074_
+(
+6899 41399 13799 48299 li1
+27599 41399 34499 48299 li1
+6899 41399 34499 48299 met1
+)
+_075_
+(
+13799 34499 20699 41399 li1
+55199 34499 67490 41399 li1
+13799 34499 67490 41399 met1
+)
+_076_
+(
+34499 13799 41399 20699 li1
+34499 20699 41399 27599 li1
+48299 48299 55199 55199 li1
+34499 13799 41399 20699 met1
+34499 20699 48299 27599 met1
+41399 48299 55199 55199 met1
+34499 13799 41399 27599 met2
+41399 20699 48299 55199 met2
+)
+_077_
+(
+6899 41399 13799 48299 li1
+20699 27599 27599 34499 li1
+20699 27599 27599 34499 met1
+6899 41399 27599 48299 met1
+20699 27599 27599 48299 met2
+)
+_078_
+(
+20699 34499 27599 41399 li1
+34499 41399 41399 48299 li1
+20699 34499 34499 41399 met1
+27599 41399 41399 48299 met1
+27599 34499 34499 48299 met2
+)
+cfg.cnfg\[0\]
+(
+6899 55199 13799 62099 li1
+13799 48299 20699 55199 li1
+20699 55199 27599 62099 li1
+27599 20699 34499 27599 li1
+27599 34499 34499 41399 li1
+27599 62099 34499 68999 li1
+27599 20699 34499 27599 met1
+27599 34499 34499 41399 met1
+13799 48299 20699 55199 met1
+6899 55199 20699 62099 met1
+13799 55199 27599 62099 met1
+20699 55199 34499 62099 met1
+27599 62099 34499 68999 met1
+13799 48299 20699 62099 met2
+27599 20699 34499 41399 met2
+27599 34499 34499 62099 met2
+27599 55199 34499 68999 met2
+)
+cfg.cnfg\[1\]
+(
+6899 41399 13799 48299 li1
+13799 55199 20699 62099 li1
+27599 20699 34499 27599 li1
+27599 27599 34499 34499 li1
+27599 48299 34499 55199 li1
+27599 55199 34499 62099 li1
+27599 20699 34499 27599 met1
+27599 27599 34499 34499 met1
+6899 41399 34499 48299 met1
+27599 48299 34499 55199 met1
+13799 55199 34499 62099 met1
+27599 20699 34499 34499 met2
+27599 27599 34499 48299 met2
+27599 41399 34499 55199 met2
+27599 48299 34499 62099 met2
+)
+hfsm.clear
+(
+6899 27599 13799 34499 li1
+6899 48299 13799 55199 li1
+20699 20699 27599 27599 li1
+20699 27599 27599 34499 li1
+27599 13799 34499 20699 li1
+20699 13799 34499 20699 met1
+20699 20699 27599 27599 met1
+6899 27599 27599 34499 met1
+6899 48299 13799 55199 met1
+6899 27599 13799 55199 met2
+20699 13799 27599 27599 met2
+20699 20699 27599 34499 met2
+)
+hfsm.in\[1\]
+(
+20699 20699 27599 27599 li1
+20699 55199 27599 62099 li1
+27599 13799 34499 20699 li1
+34499 55199 41399 62099 li1
+20699 13799 34499 20699 met1
+20699 20699 27599 27599 met1
+20699 55199 41399 62099 met1
+20699 13799 27599 27599 met2
+20699 20699 27599 62099 met2
+)
+hfsm.lin\[0\]
+(
+13799 20699 20699 27599 li1
+20699 34499 27599 41399 li1
+27599 13799 34499 20699 li1
+34499 13799 41399 20699 li1
+34499 48299 41399 55199 li1
+41399 48299 48299 55199 li1
+20699 13799 34499 20699 met1
+27599 13799 41399 20699 met1
+13799 20699 27599 27599 met1
+20699 34499 27599 41399 met1
+20699 48299 41399 55199 met1
+34499 48299 48299 55199 met1
+20699 13799 27599 27599 met2
+20699 20699 27599 41399 met2
+20699 34499 27599 55199 met2
+)
+hfsm.lin\[1\]
+(
+6899 34499 13799 41399 li1
+13799 20699 20699 27599 li1
+20699 20699 27599 27599 li1
+34499 48299 41399 55199 li1
+34499 55199 41399 62099 li1
+13799 20699 27599 27599 met1
+6899 34499 27599 41399 met1
+20699 34499 41399 41399 met1
+34499 48299 41399 55199 met1
+34499 55199 41399 62099 met1
+20699 20699 27599 41399 met2
+34499 34499 41399 55199 met2
+34499 48299 41399 62099 met2
+)
+hfsm.lmatch\[0\]
+(
+13799 41399 20699 48299 li1
+20699 27599 27599 34499 li1
+27599 20699 34499 27599 li1
+27599 48299 34499 55199 li1
+20699 20699 34499 27599 met1
+20699 27599 27599 34499 met1
+13799 41399 27599 48299 met1
+20699 48299 34499 55199 met1
+20699 20699 27599 34499 met2
+20699 27599 27599 48299 met2
+20699 41399 27599 55199 met2
+)
+hfsm.lmatch\[1\]
+(
+20699 20699 27599 27599 li1
+20699 34499 27599 41399 li1
+20699 41399 27599 48299 li1
+27599 13799 34499 20699 li1
+34499 55199 41399 62099 li1
+20699 13799 34499 20699 met1
+20699 20699 27599 27599 met1
+20699 34499 27599 41399 met1
+20699 41399 27599 48299 met1
+20699 55199 41399 62099 met1
+20699 13799 27599 27599 met2
+20699 20699 27599 41399 met2
+20699 34499 27599 48299 met2
+20699 41399 27599 62099 met2
+)
+hfsm.nlmempty
+(
+6899 41399 13799 48299 li1
+27599 27599 34499 34499 li1
+27599 41399 34499 48299 li1
+27599 55199 34499 62099 li1
+27599 27599 34499 34499 met1
+6899 41399 34499 48299 met1
+27599 55199 34499 62099 met1
+27599 27599 34499 48299 met2
+27599 41399 34499 62099 met2
+)
+vfsm.clear
+(
+13799 27599 20699 34499 li1
+13799 34499 20699 41399 li1
+13799 48299 20699 55199 li1
+34499 62099 41399 68999 li1
+13799 27599 20699 34499 met1
+13799 34499 20699 41399 met1
+13799 48299 20699 55199 met1
+13799 62099 41399 68999 met1
+13799 27599 20699 41399 met2
+13799 34499 20699 55199 met2
+13799 48299 20699 68999 met2
+)
+vfsm.in\[1\]
+(
+41399 55199 48299 62099 li1
+48299 20699 55199 27599 li1
+48299 27599 55199 34499 li1
+48299 20699 55199 27599 met1
+48299 27599 55199 34499 met1
+41399 55199 55199 62099 met1
+48299 20699 55199 34499 met2
+48299 27599 55199 62099 met2
+)
+vfsm.lin\[0\]
+(
+13799 34499 20699 41399 li1
+13799 41399 20699 48299 li1
+34499 13799 41399 20699 li1
+34499 34499 41399 41399 li1
+34499 48299 41399 55199 li1
+48299 27599 55199 34499 li1
+34499 13799 41399 20699 met1
+34499 27599 55199 34499 met1
+13799 34499 41399 41399 met1
+13799 41399 20699 48299 met1
+34499 48299 41399 55199 met1
+13799 34499 20699 48299 met2
+34499 13799 41399 34499 met2
+34499 27599 41399 41399 met2
+34499 34499 41399 55199 met2
+)
+vfsm.lin\[1\]
+(
+13799 34499 20699 41399 li1
+34499 13799 41399 20699 li1
+34499 34499 41399 41399 li1
+34499 48299 41399 55199 li1
+34499 55199 41399 62099 li1
+48299 27599 55199 34499 li1
+34499 13799 41399 20699 met1
+34499 27599 55199 34499 met1
+13799 34499 41399 41399 met1
+34499 48299 41399 55199 met1
+34499 55199 41399 62099 met1
+34499 13799 41399 34499 met2
+34499 27599 41399 41399 met2
+34499 34499 41399 55199 met2
+34499 48299 41399 62099 met2
+)
+vfsm.lmatch\[0\]
+(
+13799 34499 20699 41399 li1
+20699 27599 27599 34499 li1
+34499 48299 41399 55199 li1
+48299 20699 55199 27599 li1
+34499 20699 55199 27599 met1
+20699 27599 41399 34499 met1
+13799 34499 41399 41399 met1
+34499 48299 41399 55199 met1
+34499 20699 41399 34499 met2
+34499 27599 41399 41399 met2
+34499 34499 41399 55199 met2
+)
+vfsm.lmatch\[1\]
+(
+13799 41399 20699 48299 li1
+13799 48299 20699 55199 li1
+34499 55199 41399 62099 li1
+41399 20699 48299 27599 li1
+48299 20699 55199 27599 li1
+41399 20699 55199 27599 met1
+13799 41399 20699 48299 met1
+13799 48299 41399 55199 met1
+34499 48299 48299 55199 met1
+34499 55199 41399 62099 met1
+13799 41399 20699 55199 met2
+34499 48299 41399 62099 met2
+41399 20699 48299 55199 met2
+)
+vfsm.nlmempty
+(
+34499 13799 41399 20699 li1
+41399 41399 48299 48299 li1
+48299 48299 55199 55199 li1
+48299 55199 55199 62099 li1
+34499 13799 41399 20699 met1
+34499 41399 48299 48299 met1
+41399 41399 55199 48299 met1
+48299 48299 55199 55199 met1
+48299 55199 55199 62099 met1
+34499 13799 41399 48299 met2
+48299 41399 55199 55199 met2
+48299 48299 55199 62099 met2
+)
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.param b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.param
new file mode 100644
index 0000000..6677ac5
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.param
@@ -0,0 +1,10 @@
+lef:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
+def:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/addspacers.def
+guide:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/fastroute.guide
+output:/project/openlane/morphle_ycell/runs/morphle_ycell/results/routing/ycell.def
+outputTA:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute_TA.def
+outputguide:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute.guide
+outputDRC:/project/openlane/morphle_ycell/runs/morphle_ycell/reports/routing/tritonRoute.drc
+outputMaze:/project/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute_maze.log
+threads:4
+verbose:1
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute_TA.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute_TA.def
new file mode 100644
index 0000000..f0aa563
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/tritonRoute_TA.def
@@ -0,0 +1,1518 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0
+ ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0
+ ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+
+VIAS 5 ;
+- via2_FR 
++ RECT met2 ( -140 -185 ) ( 140 185 ) 
++ RECT via2 ( -100 -100 ) ( 100 100 ) 
++ RECT met3 ( -165 -165 ) ( 165 165 ) 
+ 
+ ;
+- via4_FR 
++ RECT met4 ( -590 -590 ) ( 590 590 ) 
++ RECT via4 ( -400 -400 ) ( 400 400 ) 
++ RECT met5 ( -710 -710 ) ( 710 710 ) 
+ 
+ ;
+- via_1600x480 
+ 
++ VIARULE M1M2_PR
+  + CUTSIZE 150 150
+  + LAYERS met1 via met2
+  + CUTSPACING 170 170
+  + ENCLOSURE 245 165 55 165
+  + ROWCOL 1 4
+ ;
+- via2_1600x480 
+ 
++ VIARULE M2M3_PR
+  + CUTSIZE 200 200
+  + LAYERS met2 via2 met3
+  + CUTSPACING 200 200
+  + ENCLOSURE 40 140 100 65
+  + ROWCOL 1 4
+ ;
+- via3_1600x480 
+ 
++ VIARULE M3M4_PR
+  + CUTSIZE 200 200
+  + LAYERS met3 via3 met4
+  + CUTSPACING 200 200
+  + ENCLOSURE 100 60 100 140
+  + ROWCOL 1 4
+ ;
+END VIAS
+
+COMPONENTS 388 ;
+- _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+- _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+- _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+- _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+- _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+- _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+- _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+- _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+- _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+- _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+- _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+- _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+- _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+- _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+- _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+- _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+- _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+- _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+- _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+- _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+- _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+- _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+- _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+- _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+- _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+- _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+- _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+- _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+- _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+- _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+- _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+- _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+- _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+- _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+- _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+- _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+- _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+- _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+- _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+- _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+- _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+- _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+- _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+- _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+- _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+- _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+- _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+- _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+- _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+- _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+- _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+- _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+- _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+- _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+- _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+- _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+- _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+- _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+- _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+- _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+- _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+- _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+- _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+- _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+- _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+- _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+- _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+- _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+- _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+- _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+- _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+- _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+- _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+- _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+- _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+- _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+- _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+- _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+- _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+- _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+- _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+- _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+- _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+- _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+- _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+- _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+- _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+- _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+- _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+- _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+- _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+- _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+- _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+- _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+- _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+- _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+- _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+- _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+- _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+- _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+- _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+- _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+- _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+- _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+- _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+- _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+- PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+- PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+- PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+- PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+- PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+- PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+- PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+- PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+- PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+- PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+- PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+- PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+- PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+- PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+- PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+- PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+- PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+- PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+- PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+- PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+- PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+- PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+- PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+- PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+- PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+- PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+- PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+- PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+- PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+- PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+- PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+- PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+- PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+- FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+- FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+- FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+- FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+- FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+- FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+- FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+- FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+- FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+- FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+- FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+- FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+- FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+- FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+- FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+- FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+- FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+- FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+- FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+- FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+- FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+- FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+- FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+- FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+- FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+- FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+- FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+- FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+- FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+- FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+- FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+- FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+- FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+- FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+- FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+- FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+- FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+- FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+- FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+- FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+- FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+- FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+- FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+- FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+- FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+- FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+- FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+- FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+- FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+- FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+- FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+- FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+- FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+- FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+- FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+- FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+- FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+- FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+- FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+- FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+- FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+- FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+- FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+- FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+- FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+- FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+- FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+- FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+- FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+- FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+- FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+- FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+- FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+- FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+- FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+- FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+- FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+- FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+- FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+- FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+- FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+- FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+- FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+- FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+- FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+- FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+- FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+- FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+- FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+- FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+- FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+- FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+- FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+- FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+- FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+- FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+- FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+- FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+- FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+- FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+- FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+- FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+- FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+- FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+- FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+- FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+- FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+- FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+- FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+- FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+- FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+- FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+- FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+- FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+- FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+- FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+- FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+- FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+- FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+- FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+- FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+- FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+- FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+- FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+- FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+- FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+- FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+- FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+- FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+- FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+- FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+- FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+- FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+- FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+- FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+- FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+- FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+- FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+- FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+- FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+- FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+- FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+- FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+- FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+- FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+- FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+- FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+- FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+- FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+- FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+- FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+- FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+- FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+- FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+- FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+- FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+- FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+- FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+- FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+- FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+- FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+- FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+- FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+- FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+- FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+- FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+- FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+- FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+- FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+- FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+- FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+- FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+- FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+- FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+- FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+- FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+- FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+- FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+- FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+- FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+- FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+- FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+- FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+- FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+- FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+- FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+- FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+- FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+- FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+- FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+- FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+- FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+- FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+- FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+- FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+- FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+- FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+- FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+- FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+- FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+- FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+- FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+- FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+- FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+- FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+- FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+- FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+- FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+
+PINS 28 ;
+- cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 12650 76210 ) N ;
+- cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 6670 2000 ) N ;
+- confclk + NET confclk + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 7590 76210 ) N ;
+- dempty + NET dempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 20010 2000 ) N ;
+- din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 76210 ) N ;
+- din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 54740 ) N ;
+- dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 23460 ) N ;
+- dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 48990 76210 ) N ;
+- hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 23230 76210 ) N ;
+- lempty + NET lempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 13260 ) N ;
+- lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 39100 ) N ;
+- lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 2000 64940 ) N ;
+- lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 38870 76210 ) N ;
+- lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 70380 ) N ;
+- rempty + NET rempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 7820 ) N ;
+- reset + NET reset + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 2530 76210 ) N ;
+- rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met3 ( -2000 -300 ) ( 2000 300 )
+  + PLACED ( 65490 39100 ) N ;
+- rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 54510 76210 ) N ;
+- rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 43930 76210 ) N ;
+- rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 59570 76210 ) N ;
+- uempty + NET uempty + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 17710 76210 ) N ;
+- uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 33350 2000 ) N ;
+- uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 64630 76210 ) N ;
+- uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 47150 2000 ) N ;
+- uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 60490 2000 ) N ;
+- vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL 
+  + LAYER met2 ( -140 -2000 ) ( 140 2000 )
+  + PLACED ( 28290 76210 ) N ;
+- VPWR + NET VPWR + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 14873 38080 ) N + SPECIAL ;
+- VGND + NET VGND + DIRECTION INPUT + USE SIGNAL 
+  + LAYER met4 ( -800 -27440 ) ( 800 27440 )
+  + FIXED ( 24226 38080 ) N + SPECIAL ;
+END PINS
+
+SPECIALNETS 2 ;
+- VPWR ( PIN VPWR ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) 
+  + USE POWER ;
+- VGND ( PIN VGND ) 
+  + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480 
+    NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480 
+    NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480 
+    NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480 
+    NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 ) 
+    NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 ) 
+    NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) 
+  + USE GROUND ;
+END SPECIALNETS
+
+NETS 121 ;
+- cbitin ( PIN cbitin ) ( _182_ D ) 
+  + ROUTED met1 ( 7130 62050 ) ( 21850 62050 )
+    NEW met1 ( 21850 63750 ) ( 21851 63750 )
+    NEW met2 ( 7130 62050 ) ( 7130 73604 )
+    NEW met2 ( 21850 62050 ) ( 21850 62390 )
++ USE SIGNAL ;
+- cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) 
+  + ROUTED met1 ( 12190 50490 ) ( 24150 50490 )
+    NEW met1 ( 6670 52870 ) ( 12190 52870 )
+    NEW met1 ( 23230 57630 ) ( 25990 57630 )
+    NEW met2 ( 230 3449 ) ( 230 17249 )
+    NEW met2 ( 6670 17249 ) ( 6670 52870 )
+    NEW met2 ( 23230 52870 ) ( 23230 57630 )
++ USE SIGNAL ;
+- confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) 
+  + ROUTED met1 ( 8050 58650 ) ( 20930 58650 )
+    NEW met1 ( 19090 63750 ) ( 20470 63750 )
+    NEW met2 ( 8050 58310 ) ( 8050 73604 )
+    NEW met2 ( 19090 58310 ) ( 19090 63750 )
++ USE SIGNAL ;
+- dempty ( PIN dempty ) ( _093_ A ) 
+  + ROUTED met1 ( 15870 17510 ) ( 34270 17510 )
+    NEW met1 ( 27830 33830 ) ( 34270 33830 )
+    NEW met2 ( 15870 3449 ) ( 15870 17170 )
+    NEW met2 ( 34270 17170 ) ( 34270 34170 )
++ USE SIGNAL ;
+- din[0] ( PIN din[0] ) ( _111_ A ) 
+  + ROUTED met1 ( 41630 28730 ) ( 41631 28730 )
+    NEW met1 ( 28290 58650 ) ( 41630 58650 )
+    NEW met2 ( 28290 58650 ) ( 28290 73604 )
+    NEW met2 ( 41630 28730 ) ( 41630 58650 )
++ USE SIGNAL ;
+- din[1] ( PIN din[1] ) ( _100_ B1 ) 
+  + ROUTED met1 ( 48530 58990 ) ( 51290 58990 )
+    NEW met2 ( 48530 49980 ) ( 48530 55590 )
+    NEW met3 ( 48530 49980 ) ( 61344 49980 )
++ USE SIGNAL ;
+- dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) 
+  + ROUTED met1 ( 48530 34170 ) ( 50370 34170 )
+    NEW met1 ( 48530 38590 ) ( 56350 38590 )
+    NEW met2 ( 48530 34170 ) ( 48530 38590 )
+    NEW met2 ( 50370 20740 ) ( 50370 34170 )
+    NEW met3 ( 50370 20740 ) ( 61344 20740 )
++ USE SIGNAL ;
+- dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) 
+  + ROUTED met1 ( 36570 57970 ) ( 53590 57970 )
+    NEW met2 ( 53590 57970 ) ( 53590 73604 )
++ USE SIGNAL ;
+- hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) 
+  + ROUTED met1 ( 45310 39610 ) ( 48530 39610 )
+    NEW met1 ( 42090 44030 ) ( 45310 44030 )
+    NEW met1 ( 7130 49810 ) ( 20930 49810 )
+    NEW met1 ( 20930 53550 ) ( 42090 53550 )
+    NEW met2 ( 20930 49470 ) ( 20930 73604 )
+    NEW met2 ( 42090 44030 ) ( 42090 53550 )
+    NEW met2 ( 45310 39610 ) ( 45310 44030 )
++ USE SIGNAL ;
+- lempty ( PIN lempty ) ( _138_ A ) 
+  + ROUTED met1 ( 8970 31110 ) ( 16790 31110 )
+    NEW met2 ( 16790 7140 ) ( 16790 31110 )
+    NEW met3 ( 3449 7140 ) ( 16790 7140 )
++ USE SIGNAL ;
+- lin[0] ( PIN lin[0] ) ( _139_ A ) 
+  + ROUTED met1 ( 13110 47430 ) ( 16330 47430 )
+    NEW met2 ( 16330 35020 ) ( 16330 47430 )
+    NEW met3 ( 3449 35020 ) ( 16330 35020 )
++ USE SIGNAL ;
+- lin[1] ( PIN lin[1] ) ( _165_ B ) 
+  + ROUTED met1 ( 14030 28730 ) ( 15870 28730 )
+    NEW met2 ( 15870 28730 ) ( 15870 62220 )
+    NEW met3 ( 3449 62220 ) ( 15870 62220 )
++ USE SIGNAL ;
+- lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) 
+  + ROUTED met1 ( 44390 19890 ) ( 47610 19890 )
+    NEW met1 ( 12190 55250 ) ( 34730 55250 )
+    NEW met1 ( 34730 58990 ) ( 47610 58990 )
+    NEW met2 ( 34730 55930 ) ( 34730 73604 )
+    NEW met2 ( 47610 19890 ) ( 47610 58990 )
++ USE SIGNAL ;
+- lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) 
+  + ROUTED met1 ( 12650 55590 ) ( 14030 55590 )
+    NEW met1 ( 14030 59330 ) ( 45310 59330 )
+    NEW met1 ( 45310 63070 ) ( 49450 63070 )
+    NEW met2 ( 45310 61370 ) ( 45310 63070 )
+    NEW met2 ( 48070 63070 ) ( 48070 69020 )
+    NEW met3 ( 48070 69020 ) ( 61344 69020 )
++ USE SIGNAL ;
+- rempty ( PIN rempty ) ( _126_ A ) 
+  + ROUTED met1 ( 48070 39270 ) ( 49450 39270 )
+    NEW met2 ( 48070 7140 ) ( 48070 39610 )
+    NEW met3 ( 48070 7140 ) ( 61344 7140 )
++ USE SIGNAL ;
+- reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) 
+  + ROUTED met1 ( 7590 50150 ) ( 7591 50150 )
+    NEW met1 ( 230 61710 ) ( 10350 61710 )
+    NEW met1 ( 10350 64090 ) ( 38410 64090 )
+    NEW met2 ( 230 62050 ) ( 230 73604 )
+    NEW met2 ( 7590 50150 ) ( 7590 62050 )
+    NEW met2 ( 10350 62050 ) ( 10350 63750 )
++ USE SIGNAL ;
+- rin[0] ( PIN rin[0] ) ( _147_ A ) 
+  + ROUTED met1 ( 54970 28730 ) ( 55430 28730 )
+    NEW met2 ( 54970 28730 ) ( 54970 35020 )
+    NEW met3 ( 54970 35020 ) ( 61344 35020 )
++ USE SIGNAL ;
+- rin[1] ( PIN rin[1] ) ( _130_ B1 ) 
+  + ROUTED met1 ( 51290 63750 ) ( 51291 63750 )
+    NEW met2 ( 51290 63750 ) ( 51290 73604 )
++ USE SIGNAL ;
+- rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) 
+  + ROUTED met1 ( 54050 32130 ) ( 54051 32130 )
+    NEW met1 ( 44850 58650 ) ( 54050 58650 )
+    NEW met1 ( 8050 64770 ) ( 44850 64770 )
+    NEW met2 ( 41630 63070 ) ( 41630 73604 )
+    NEW met2 ( 44850 58650 ) ( 44850 63070 )
+    NEW met2 ( 54050 31110 ) ( 54050 58650 )
++ USE SIGNAL ;
+- rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) 
+  + ROUTED met1 ( 33350 61710 ) ( 54050 61710 )
+    NEW met1 ( 54050 62050 ) ( 55430 62050 )
+    NEW met1 ( 54050 63750 ) ( 54051 63750 )
+    NEW met2 ( 54050 61030 ) ( 54050 63750 )
+    NEW met2 ( 55430 62050 ) ( 55430 73604 )
++ USE SIGNAL ;
+- uempty ( PIN uempty ) ( _102_ A ) 
+  + ROUTED met1 ( 14030 63750 ) ( 15870 63750 )
+    NEW met2 ( 15870 63750 ) ( 15870 73604 )
++ USE SIGNAL ;
+- uin[0] ( PIN uin[0] ) ( _103_ A ) 
+  + ROUTED met1 ( 30590 38590 ) ( 30591 38590 )
+    NEW met2 ( 27830 3449 ) ( 27830 17249 )
+    NEW met2 ( 30590 17249 ) ( 30590 39270 )
++ USE SIGNAL ;
+- uin[1] ( PIN uin[1] ) ( _157_ B ) 
+  + ROUTED met1 ( 51750 36550 ) ( 51751 36550 )
+    NEW met1 ( 51750 58990 ) ( 55890 58990 )
+    NEW met2 ( 51750 36550 ) ( 51750 58990 )
+    NEW met2 ( 55890 58990 ) ( 55890 73604 )
++ USE SIGNAL ;
+- uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) 
+  + ROUTED met1 ( 43930 17510 ) ( 48530 17510 )
+    NEW met1 ( 38870 26010 ) ( 48530 26010 )
+    NEW met1 ( 48530 30770 ) ( 52210 30770 )
+    NEW met1 ( 52210 41990 ) ( 52670 41990 )
+    NEW met2 ( 43930 3449 ) ( 43930 17510 )
+    NEW met2 ( 48530 17510 ) ( 48530 30770 )
+    NEW met2 ( 52210 30770 ) ( 52210 41990 )
++ USE SIGNAL ;
+- uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) 
+  + ROUTED met1 ( 50830 45050 ) ( 55430 45050 )
+    NEW met1 ( 48990 55590 ) ( 50830 55590 )
+    NEW met2 ( 50830 45050 ) ( 50830 55590 )
+    NEW met2 ( 55430 3449 ) ( 55430 45050 )
++ USE SIGNAL ;
+- vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) 
+  + ROUTED met1 ( 26910 34170 ) ( 26911 34170 )
+    NEW met1 ( 16790 43010 ) ( 26910 43010 )
+    NEW met1 ( 22770 62050 ) ( 28750 62050 )
+    NEW met2 ( 22770 41650 ) ( 22770 58990 )
+    NEW met2 ( 26910 34170 ) ( 26910 41650 )
+    NEW met2 ( 28750 58990 ) ( 28750 73604 )
++ USE SIGNAL ;
+- _000_ ( _132_ B ) ( _124_ X ) 
+  + ROUTED met1 ( 31050 41990 ) ( 35190 41990 )
+    NEW met1 ( 15410 49470 ) ( 31050 49470 )
+    NEW met2 ( 31050 41990 ) ( 31050 49470 )
++ USE SIGNAL ;
+- _001_ ( _127_ A ) ( _126_ X ) 
+  + ROUTED met1 ( 49910 38930 ) ( 49911 38930 )
+    NEW met1 ( 49910 52870 ) ( 53130 52870 )
+    NEW met2 ( 49910 38590 ) ( 49910 52870 )
++ USE SIGNAL ;
+- _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) 
+  + ROUTED met1 ( 50370 31110 ) ( 55430 31110 )
+    NEW met1 ( 50370 47430 ) ( 50371 47430 )
+    NEW met1 ( 50370 52530 ) ( 54970 52530 )
+    NEW met1 ( 52210 63410 ) ( 54970 63410 )
+    NEW met2 ( 50370 31110 ) ( 50370 52530 )
+    NEW met2 ( 54970 52530 ) ( 54970 63750 )
++ USE SIGNAL ;
+- _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) 
+  + ROUTED met1 ( 54510 28730 ) ( 54511 28730 )
+    NEW met1 ( 51290 46750 ) ( 54510 46750 )
+    NEW met1 ( 54510 63750 ) ( 54511 63750 )
+    NEW met2 ( 54510 28730 ) ( 54510 63750 )
++ USE SIGNAL ;
+- _004_ ( _132_ C ) ( _131_ Y ) 
+  + ROUTED met1 ( 30590 42670 ) ( 34730 42670 )
+    NEW met1 ( 14490 60350 ) ( 30590 60350 )
+    NEW met2 ( 30590 41990 ) ( 30590 60350 )
++ USE SIGNAL ;
+- _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) 
+  + ROUTED met1 ( 34730 23290 ) ( 37950 23290 )
+    NEW met1 ( 34730 41310 ) ( 37030 41310 )
+    NEW met2 ( 34730 23290 ) ( 34730 41310 )
++ USE SIGNAL ;
+- _006_ ( _134_ B ) ( _133_ Y ) 
+  + ROUTED met1 ( 38410 22610 ) ( 44850 22610 )
++ USE SIGNAL ;
+- _007_ ( _135_ B ) ( _134_ Y ) 
+  + ROUTED met1 ( 44390 24990 ) ( 44391 24990 )
+    NEW met1 ( 20930 48450 ) ( 44390 48450 )
+    NEW met2 ( 44390 24990 ) ( 44390 52870 )
++ USE SIGNAL ;
+- _008_ ( _137_ B ) ( _136_ Y ) 
+  + ROUTED met1 ( 30130 28050 ) ( 49910 28050 )
+    NEW met1 ( 16790 36890 ) ( 30130 36890 )
+    NEW met2 ( 30130 28050 ) ( 30130 36550 )
++ USE SIGNAL ;
+- _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) 
+  + ROUTED met1 ( 9890 30430 ) ( 14950 30430 )
+    NEW met1 ( 9890 46750 ) ( 14030 46750 )
+    NEW met1 ( 13570 55930 ) ( 13571 55930 )
+    NEW met2 ( 9890 30430 ) ( 9890 47430 )
+    NEW met2 ( 13570 47430 ) ( 13570 55930 )
++ USE SIGNAL ;
+- _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) 
+  + ROUTED met1 ( 27370 18530 ) ( 29210 18530 )
+    NEW met1 ( 27370 28730 ) ( 27371 28730 )
+    NEW met1 ( 14950 46750 ) ( 27370 46750 )
+    NEW met1 ( 25530 57970 ) ( 30130 57970 )
+    NEW met2 ( 25530 46750 ) ( 25530 55930 )
+    NEW met2 ( 27370 17850 ) ( 27370 46750 )
++ USE SIGNAL ;
+- _011_ ( _144_ A ) ( _140_ X ) 
+  + ROUTED met1 ( 28750 29070 ) ( 35650 29070 )
++ USE SIGNAL ;
+- _012_ ( _143_ B ) ( _141_ X ) 
+  + ROUTED met1 ( 23230 41310 ) ( 25990 41310 )
+    NEW met1 ( 20930 42670 ) ( 23230 42670 )
+    NEW met2 ( 23230 38590 ) ( 23230 43010 )
++ USE SIGNAL ;
+- _013_ ( _143_ C ) ( _142_ X ) 
+  + ROUTED met1 ( 20930 42330 ) ( 33350 42330 )
+    NEW met1 ( 31510 50150 ) ( 33350 50150 )
+    NEW met2 ( 33350 41990 ) ( 33350 50150 )
++ USE SIGNAL ;
+- _014_ ( _144_ B ) ( _143_ X ) 
+  + ROUTED met1 ( 36570 31790 ) ( 36571 31790 )
+    NEW met1 ( 22770 41650 ) ( 36570 41650 )
+    NEW met2 ( 36570 31110 ) ( 36570 41650 )
++ USE SIGNAL ;
+- _015_ ( _145_ A ) ( _144_ X ) 
+  + ROUTED met1 ( 37490 30430 ) ( 41170 30430 )
+    NEW met1 ( 7130 63750 ) ( 7590 63750 )
+    NEW met2 ( 7590 63750 ) ( 7590 64260 )
+    NEW met2 ( 41170 30430 ) ( 41170 30940 )
+    NEW met3 ( 38180 30940 ) ( 41170 30940 )
+    NEW met3 ( 7590 64260 ) ( 38180 64260 )
+    NEW met4 ( 38180 30940 ) ( 38180 64260 )
++ USE SIGNAL ;
+- _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) 
+  + ROUTED met1 ( 43470 20230 ) ( 45310 20230 )
+    NEW met1 ( 45310 31450 ) ( 55890 31450 )
+    NEW met1 ( 40250 55930 ) ( 46690 55930 )
+    NEW met2 ( 45310 20230 ) ( 45310 30770 )
+    NEW met2 ( 46690 30770 ) ( 46690 55930 )
++ USE SIGNAL ;
+- _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) 
+  + ROUTED met1 ( 42550 20570 ) ( 45770 20570 )
+    NEW met1 ( 45770 27710 ) ( 61870 27710 )
+    NEW met1 ( 40710 55590 ) ( 45770 55590 )
+    NEW met2 ( 45770 20230 ) ( 45770 55590 )
++ USE SIGNAL ;
+- _018_ ( _149_ C1 ) ( _148_ Y ) 
+  + ROUTED met1 ( 28290 24990 ) ( 35650 24990 )
+    NEW met1 ( 35650 55930 ) ( 38410 55930 )
+    NEW met2 ( 35650 24990 ) ( 35650 55930 )
++ USE SIGNAL ;
+- _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) 
+  + ROUTED met1 ( 37490 20230 ) ( 37491 20230 )
+    NEW met1 ( 37490 38930 ) ( 38410 38930 )
+    NEW met1 ( 35650 55250 ) ( 37490 55250 )
+    NEW met2 ( 37490 20230 ) ( 37490 55250 )
++ USE SIGNAL ;
+- _020_ ( _151_ B ) ( _150_ Y ) 
+  + ROUTED met1 ( 37030 19550 ) ( 37031 19550 )
+    NEW met1 ( 22770 31110 ) ( 37030 31110 )
+    NEW met2 ( 37030 19550 ) ( 37030 31110 )
++ USE SIGNAL ;
+- _021_ ( _152_ B ) ( _151_ Y ) 
+  + ROUTED met1 ( 14950 32130 ) ( 22310 32130 )
++ USE SIGNAL ;
+- _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) 
+  + ROUTED met1 ( 9890 39950 ) ( 27830 39950 )
+    NEW met1 ( 27830 46750 ) ( 28290 46750 )
+    NEW met2 ( 27830 36550 ) ( 27830 46750 )
++ USE SIGNAL ;
+- _023_ ( _155_ B ) ( _154_ Y ) 
+  + ROUTED met1 ( 25530 23970 ) ( 31970 23970 )
+    NEW met1 ( 9430 41310 ) ( 25530 41310 )
+    NEW met2 ( 25530 23290 ) ( 25530 38930 )
++ USE SIGNAL ;
+- _024_ ( _156_ B ) ( _155_ Y ) 
+  + ROUTED met1 ( 32430 22610 ) ( 32431 22610 )
+    NEW met1 ( 22310 28390 ) ( 32430 28390 )
+    NEW met2 ( 32430 22610 ) ( 32430 28730 )
++ USE SIGNAL ;
+- _025_ ( _159_ A ) ( _157_ X ) 
+  + ROUTED met1 ( 50830 25330 ) ( 50831 25330 )
+    NEW met1 ( 50830 35870 ) ( 52670 35870 )
+    NEW met2 ( 50830 25330 ) ( 50830 35870 )
++ USE SIGNAL ;
+- _026_ ( _159_ B ) ( _158_ Y ) 
+  + ROUTED met1 ( 49910 25670 ) ( 53590 25670 )
+    NEW met1 ( 50830 41650 ) ( 53590 41650 )
+    NEW met2 ( 53590 25330 ) ( 53590 41650 )
++ USE SIGNAL ;
+- _027_ ( _161_ B ) ( _160_ Y ) 
+  + ROUTED met1 ( 35190 17850 ) ( 35191 17850 )
+    NEW met1 ( 10350 35870 ) ( 10351 35870 )
+    NEW met2 ( 10350 35870 ) ( 10350 36380 )
+    NEW met2 ( 35190 17850 ) ( 35190 36380 )
+    NEW met3 ( 10350 37060 ) ( 35190 37060 )
++ USE SIGNAL ;
+- _028_ ( _162_ B ) ( _161_ Y ) 
+  + ROUTED met1 ( 31510 17170 ) ( 35650 17170 )
+    NEW met1 ( 25990 23290 ) ( 31510 23290 )
+    NEW met2 ( 31510 17170 ) ( 31510 23290 )
++ USE SIGNAL ;
+- _029_ ( _164_ B ) ( _163_ Y ) 
+  + ROUTED met1 ( 22310 24990 ) ( 22311 24990 )
+    NEW met1 ( 8970 33490 ) ( 22310 33490 )
+    NEW met2 ( 22310 24990 ) ( 22310 34170 )
++ USE SIGNAL ;
+- _030_ ( _168_ A ) ( _165_ Y ) 
+  + ROUTED met1 ( 28290 20230 ) ( 31970 20230 )
+    NEW met1 ( 14490 33150 ) ( 31970 33150 )
+    NEW met2 ( 31970 20230 ) ( 31970 27710 )
++ USE SIGNAL ;
+- _031_ ( _168_ B ) ( _167_ X ) 
+  + ROUTED met1 ( 27830 20570 ) ( 29670 20570 )
+    NEW met1 ( 14490 55590 ) ( 29670 55590 )
+    NEW met2 ( 29670 20230 ) ( 29670 55590 )
++ USE SIGNAL ;
+- _032_ ( _170_ B ) ( _169_ Y ) 
+  + ROUTED met1 ( 29670 16830 ) ( 35190 16830 )
++ USE SIGNAL ;
+- _033_ ( _172_ D ) ( _171_ Y ) 
+  + ROUTED met1 ( 41630 17170 ) ( 51290 17170 )
+    NEW met1 ( 51290 58310 ) ( 51291 58310 )
+    NEW met2 ( 51290 17170 ) ( 51290 58310 )
++ USE SIGNAL ;
+- _034_ ( _173_ C1 ) ( _172_ Y ) 
+  + ROUTED met1 ( 48530 57630 ) ( 48531 57630 )
+    NEW met1 ( 37950 63750 ) ( 48530 63750 )
+    NEW met2 ( 48530 57630 ) ( 48530 63750 )
++ USE SIGNAL ;
+- _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) 
+  + ROUTED met1 ( 48990 22270 ) ( 49910 22270 )
+    NEW met1 ( 39330 36210 ) ( 48990 36210 )
+    NEW met2 ( 48990 22270 ) ( 48990 36550 )
++ USE SIGNAL ;
+- _036_ ( _176_ B ) ( _175_ Y ) 
+  + ROUTED met1 ( 38870 15130 ) ( 41170 15130 )
+    NEW met1 ( 37490 34850 ) ( 38870 34850 )
+    NEW met2 ( 38870 14790 ) ( 38870 35870 )
++ USE SIGNAL ;
+- _037_ ( _178_ A ) ( _176_ Y ) 
+  + ROUTED met1 ( 41630 14110 ) ( 46230 14110 )
+    NEW met1 ( 42550 41990 ) ( 46230 41990 )
+    NEW met2 ( 46230 14110 ) ( 46230 41990 )
++ USE SIGNAL ;
+- _038_ ( _178_ B ) ( _177_ X ) 
+  + ROUTED met1 ( 39330 38930 ) ( 39331 38930 )
+    NEW met1 ( 39330 42330 ) ( 44850 42330 )
+    NEW met2 ( 39330 38590 ) ( 39330 41990 )
++ USE SIGNAL ;
+- _039_ ( _180_ C ) ( _179_ Y ) 
+  + ROUTED met1 ( 16330 26350 ) ( 29210 26350 )
+    NEW met1 ( 29210 55930 ) ( 29211 55930 )
+    NEW met2 ( 29210 24990 ) ( 29210 55930 )
++ USE SIGNAL ;
+- _040_ ( _181_ C ) ( _180_ Y ) 
+  + ROUTED met1 ( 7130 53890 ) ( 31050 53890 )
+    NEW met1 ( 31050 55590 ) ( 31051 55590 )
+    NEW met2 ( 31050 50490 ) ( 31050 55590 )
++ USE SIGNAL ;
+- _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) 
+  + ROUTED met1 ( 21850 44030 ) ( 34270 44030 )
+    NEW met1 ( 34270 49470 ) ( 43010 49470 )
+    NEW met2 ( 34270 44030 ) ( 34270 50150 )
++ USE SIGNAL ;
+- _042_ ( _081_ B ) ( _080_ Y ) 
+  + ROUTED met1 ( 33810 34170 ) ( 33811 34170 )
+    NEW met1 ( 33810 49810 ) ( 43930 49810 )
+    NEW met2 ( 33810 34170 ) ( 33810 50150 )
++ USE SIGNAL ;
+- _043_ ( _118_ A ) ( _081_ Y ) 
+  + ROUTED met1 ( 34270 34850 ) ( 34271 34850 )
+    NEW met1 ( 8050 44710 ) ( 34270 44710 )
+    NEW met2 ( 34270 34850 ) ( 34270 45050 )
++ USE SIGNAL ;
+- _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) 
+( _082_ Y ) 
+  + ROUTED met1 ( 14030 45390 ) ( 27370 45390 )
+    NEW met1 ( 13570 50150 ) ( 20470 50150 )
+    NEW met1 ( 17710 52190 ) ( 28290 52190 )
+    NEW met1 ( 20470 56270 ) ( 20471 56270 )
+    NEW met2 ( 17710 45390 ) ( 17710 52190 )
+    NEW met2 ( 20470 50830 ) ( 20470 56270 )
++ USE SIGNAL ;
+- _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) 
+( _084_ B ) ( _083_ Y ) 
+  + ROUTED met1 ( 8050 47770 ) ( 26450 47770 )
+    NEW met1 ( 21390 54910 ) ( 26450 54910 )
+    NEW met1 ( 12650 56610 ) ( 25530 56610 )
+    NEW met1 ( 25530 64430 ) ( 40250 64430 )
+    NEW met2 ( 21390 54910 ) ( 21390 57630 )
+    NEW met2 ( 25530 57630 ) ( 25530 63750 )
+    NEW met2 ( 26450 47430 ) ( 26450 54910 )
++ USE SIGNAL ;
+- _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) 
+  + ROUTED met1 ( 33350 28730 ) ( 33351 28730 )
+    NEW met1 ( 27830 45390 ) ( 48530 45390 )
+    NEW met1 ( 11270 52530 ) ( 27830 52530 )
+    NEW met2 ( 27830 44030 ) ( 27830 52530 )
+    NEW met2 ( 33350 28730 ) ( 33350 44030 )
++ USE SIGNAL ;
+- _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) 
+( _086_ A ) ( _085_ X ) 
+  + ROUTED met1 ( 20930 34170 ) ( 20931 34170 )
+    NEW met1 ( 20930 36210 ) ( 28750 36210 )
+    NEW met1 ( 14950 45730 ) ( 26910 45730 )
+    NEW met1 ( 20930 47430 ) ( 34730 47430 )
+    NEW met1 ( 14490 50830 ) ( 26910 50830 )
+    NEW met2 ( 20930 34170 ) ( 20930 47430 )
+    NEW met2 ( 26910 45050 ) ( 26910 50830 )
++ USE SIGNAL ;
+- _048_ ( _101_ B ) ( _086_ X ) 
+  + ROUTED met1 ( 29670 36210 ) ( 35190 36210 )
+    NEW met1 ( 35190 42670 ) ( 49450 42670 )
+    NEW met2 ( 35190 36210 ) ( 35190 45050 )
++ USE SIGNAL ;
+- _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) 
+  + ROUTED met1 ( 15410 44370 ) ( 38870 44370 )
+    NEW met1 ( 7130 45050 ) ( 15410 45050 )
+    NEW met1 ( 38870 55590 ) ( 38871 55590 )
+    NEW met2 ( 38870 44370 ) ( 38870 55590 )
++ USE SIGNAL ;
+- _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) 
+  + ROUTED met1 ( 28290 28730 ) ( 31970 28730 )
+    NEW met1 ( 8970 47090 ) ( 35190 47090 )
+    NEW met1 ( 35190 60690 ) ( 48990 60690 )
+    NEW met2 ( 31970 28730 ) ( 31970 47090 )
+    NEW met2 ( 35190 45390 ) ( 35190 61370 )
++ USE SIGNAL ;
+- _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) 
+  + ROUTED met1 ( 15870 41990 ) ( 32430 41990 )
+    NEW met1 ( 32430 61030 ) ( 49910 61030 )
+    NEW met2 ( 32430 41990 ) ( 32430 61030 )
++ USE SIGNAL ;
+- _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) 
+  + ROUTED met1 ( 31050 30430 ) ( 34270 30430 )
+    NEW met1 ( 31050 46750 ) ( 35650 46750 )
+    NEW met1 ( 21390 56270 ) ( 31970 56270 )
+    NEW met1 ( 31970 63410 ) ( 39790 63410 )
+    NEW met2 ( 31050 28730 ) ( 31050 46750 )
+    NEW met2 ( 31970 46750 ) ( 31970 63750 )
++ USE SIGNAL ;
+- _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) 
+  + ROUTED met1 ( 27830 32130 ) ( 32430 32130 )
+    NEW met1 ( 14950 41650 ) ( 22310 41650 )
+    NEW met1 ( 22310 45050 ) ( 32430 45050 )
+    NEW met1 ( 32430 48110 ) ( 42090 48110 )
+    NEW met1 ( 22310 55930 ) ( 22311 55930 )
+    NEW met2 ( 22310 41650 ) ( 22310 55250 )
+    NEW met2 ( 32430 30770 ) ( 32430 45050 )
++ USE SIGNAL ;
+- _054_ ( _094_ A ) ( _093_ X ) 
+  + ROUTED met1 ( 16330 31790 ) ( 28290 31790 )
++ USE SIGNAL ;
+- _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) 
+  + ROUTED met1 ( 17250 29410 ) ( 49450 29410 )
+    NEW met1 ( 45310 52870 ) ( 49450 52870 )
+    NEW met1 ( 49450 55930 ) ( 51750 55930 )
+    NEW met2 ( 49450 30430 ) ( 49450 55930 )
++ USE SIGNAL ;
+- _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) 
+  + ROUTED met1 ( 40710 28730 ) ( 40711 28730 )
+    NEW met1 ( 40710 53210 ) ( 46230 53210 )
+    NEW met1 ( 46230 55250 ) ( 53130 55250 )
+    NEW met2 ( 40710 28730 ) ( 40710 52190 )
+    NEW met2 ( 46230 52190 ) ( 46230 55590 )
++ USE SIGNAL ;
+- _057_ ( _097_ A ) ( _096_ X ) 
+  + ROUTED met1 ( 32890 45050 ) ( 33810 45050 )
+    NEW met1 ( 12650 51170 ) ( 32890 51170 )
+    NEW met2 ( 32890 45050 ) ( 32890 52190 )
++ USE SIGNAL ;
+- _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) 
+  + ROUTED met1 ( 43010 30770 ) ( 43011 30770 )
+    NEW met1 ( 36570 44710 ) ( 45310 44710 )
+    NEW met1 ( 38870 57630 ) ( 45310 57630 )
+    NEW met2 ( 43010 30770 ) ( 43010 44710 )
+    NEW met2 ( 45310 44710 ) ( 45310 58310 )
++ USE SIGNAL ;
+- _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) 
+  + ROUTED met1 ( 39330 33830 ) ( 43470 33830 )
+    NEW met1 ( 41170 45050 ) ( 48070 45050 )
+    NEW met1 ( 43470 58310 ) ( 48070 58310 )
+    NEW met2 ( 43470 33830 ) ( 43470 45050 )
+    NEW met2 ( 48070 45050 ) ( 48070 58310 )
++ USE SIGNAL ;
+- _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) 
+  + ROUTED met1 ( 10810 37230 ) ( 31510 37230 )
+    NEW met1 ( 28290 43010 ) ( 48990 43010 )
+    NEW met2 ( 31510 36550 ) ( 31510 43010 )
++ USE SIGNAL ;
+- _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) 
+  + ROUTED met1 ( 31510 39950 ) ( 50830 39950 )
+    NEW met1 ( 50370 42330 ) ( 50830 42330 )
+    NEW met1 ( 14490 66130 ) ( 31510 66130 )
+    NEW met2 ( 31510 39950 ) ( 31510 63070 )
+    NEW met2 ( 50830 39950 ) ( 50830 41990 )
++ USE SIGNAL ;
+- _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) 
+  + ROUTED met1 ( 42090 31790 ) ( 55430 31790 )
+    NEW met1 ( 32430 38590 ) ( 47150 38590 )
+    NEW met1 ( 47150 56270 ) ( 50370 56270 )
+    NEW met2 ( 42090 30770 ) ( 42090 38590 )
+    NEW met2 ( 47150 38590 ) ( 47150 58310 )
++ USE SIGNAL ;
+- _063_ ( _108_ A ) ( _104_ X ) 
+  + ROUTED met1 ( 43930 30770 ) ( 47150 30770 )
+    NEW met1 ( 44390 36550 ) ( 47150 36550 )
+    NEW met2 ( 47150 30770 ) ( 47150 36550 )
++ USE SIGNAL ;
+- _064_ ( _107_ B ) ( _105_ X ) 
+  + ROUTED met1 ( 37950 33150 ) ( 38870 33150 )
+    NEW met1 ( 21390 47770 ) ( 37950 47770 )
+    NEW met2 ( 37950 33150 ) ( 37950 46750 )
++ USE SIGNAL ;
+- _065_ ( _107_ C ) ( _106_ X ) 
+  + ROUTED met1 ( 38870 34170 ) ( 41170 34170 )
+    NEW met1 ( 35650 52530 ) ( 41170 52530 )
+    NEW met2 ( 41170 34170 ) ( 41170 52530 )
++ USE SIGNAL ;
+- _066_ ( _108_ B ) ( _107_ X ) 
+  + ROUTED met1 ( 40710 33150 ) ( 45310 33150 )
+    NEW met1 ( 45310 35870 ) ( 45311 35870 )
+    NEW met2 ( 45310 33150 ) ( 45310 36550 )
++ USE SIGNAL ;
+- _067_ ( _109_ A ) ( _108_ X ) 
+  + ROUTED met1 ( 46230 34850 ) ( 55430 34850 )
++ USE SIGNAL ;
+- _068_ ( _112_ A ) ( _110_ Y ) 
++ USE SIGNAL ;
+- _069_ ( _112_ B ) ( _111_ Y ) 
++ USE SIGNAL ;
+- _070_ ( _115_ A ) ( _113_ X ) 
+  + ROUTED met1 ( 31510 27710 ) ( 34730 27710 )
+    NEW met1 ( 22770 36550 ) ( 31510 36550 )
+    NEW met2 ( 31510 27710 ) ( 31510 36550 )
++ USE SIGNAL ;
+- _071_ ( _115_ B ) ( _114_ X ) 
+  + ROUTED met1 ( 27830 30770 ) ( 29670 30770 )
+    NEW met1 ( 21850 38590 ) ( 27830 38590 )
+    NEW met2 ( 27830 30770 ) ( 27830 36550 )
++ USE SIGNAL ;
+- _072_ ( _116_ B ) ( _115_ Y ) 
+  + ROUTED met1 ( 37950 25670 ) ( 37951 25670 )
+    NEW met1 ( 23690 39270 ) ( 37950 39270 )
+    NEW met2 ( 37950 25670 ) ( 37950 36210 )
++ USE SIGNAL ;
+- _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) 
+  + ROUTED met1 ( 39790 25330 ) ( 39791 25330 )
+    NEW met1 ( 8970 39610 ) ( 39790 39610 )
+    NEW met2 ( 39790 25330 ) ( 39790 41310 )
++ USE SIGNAL ;
+- _074_ ( _118_ B ) ( _117_ X ) 
+  + ROUTED met1 ( 8970 42670 ) ( 29210 42670 )
++ USE SIGNAL ;
+- _075_ ( _120_ B ) ( _119_ Y ) 
+  + ROUTED met1 ( 14950 37570 ) ( 55890 37570 )
++ USE SIGNAL ;
+- _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) 
+  + ROUTED met1 ( 36570 20230 ) ( 36571 20230 )
+    NEW met1 ( 36570 23630 ) ( 44850 23630 )
+    NEW met1 ( 44850 49470 ) ( 49910 49470 )
+    NEW met2 ( 36570 20230 ) ( 36570 23290 )
+    NEW met2 ( 44850 23290 ) ( 44850 49470 )
++ USE SIGNAL ;
+- _077_ ( _123_ B ) ( _122_ Y ) 
+  + ROUTED met1 ( 21850 34170 ) ( 21851 34170 )
+    NEW met1 ( 9430 44030 ) ( 21850 44030 )
+    NEW met2 ( 21850 34170 ) ( 21850 41650 )
++ USE SIGNAL ;
+- _078_ ( _132_ A ) ( _123_ Y ) 
+  + ROUTED met1 ( 22310 34850 ) ( 28290 34850 )
+    NEW met1 ( 28290 45730 ) ( 36110 45730 )
+    NEW met2 ( 28290 34850 ) ( 28290 41990 )
++ USE SIGNAL ;
+- cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) 
+( _086_ B ) ( _083_ A ) 
+  + ROUTED met1 ( 28290 25670 ) ( 29210 25670 )
+    NEW met1 ( 27830 38590 ) ( 30130 38590 )
+    NEW met1 ( 14490 52190 ) ( 16330 52190 )
+    NEW met1 ( 11730 58310 ) ( 16330 58310 )
+    NEW met1 ( 16330 61030 ) ( 30130 61030 )
+    NEW met1 ( 27830 63070 ) ( 28290 63070 )
+    NEW met2 ( 16330 49470 ) ( 16330 61030 )
+    NEW met2 ( 27830 61030 ) ( 27830 63070 )
+    NEW met2 ( 28290 25670 ) ( 28290 36210 )
+    NEW met2 ( 30130 36210 ) ( 30130 61030 )
++ USE SIGNAL ;
+- cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) 
+( _114_ A ) ( _082_ A ) 
+  + ROUTED met1 ( 27830 26010 ) ( 28750 26010 )
+    NEW met1 ( 28750 30430 ) ( 28751 30430 )
+    NEW met1 ( 8970 42330 ) ( 28750 42330 )
+    NEW met1 ( 28290 52870 ) ( 28750 52870 )
+    NEW met1 ( 20470 58310 ) ( 28750 58310 )
+    NEW met2 ( 28750 25670 ) ( 28750 58310 )
++ USE SIGNAL ;
+- hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) 
+( _156_ A ) 
+  + ROUTED met1 ( 23230 14790 ) ( 34270 14790 )
+    NEW met1 ( 23230 23290 ) ( 25070 23290 )
+    NEW met1 ( 8050 33830 ) ( 23230 33830 )
+    NEW met1 ( 8050 49470 ) ( 8970 49470 )
+    NEW met2 ( 8050 34170 ) ( 8050 49470 )
+    NEW met2 ( 23230 14790 ) ( 23230 34170 )
++ USE SIGNAL ;
+- hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) 
+  + ROUTED met1 ( 21850 19890 ) ( 29210 19890 )
+    NEW met1 ( 21850 26010 ) ( 25990 26010 )
+    NEW met1 ( 25990 56610 ) ( 38870 56610 )
+    NEW met2 ( 21850 19890 ) ( 21850 25670 )
+    NEW met2 ( 25990 25670 ) ( 25990 55930 )
++ USE SIGNAL ;
+- hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) 
+( _141_ B ) ( _080_ B ) 
+  + ROUTED met1 ( 26910 17850 ) ( 34730 17850 )
+    NEW met1 ( 16790 25330 ) ( 26910 25330 )
+    NEW met1 ( 25070 40630 ) ( 25071 40630 )
+    NEW met1 ( 25070 50490 ) ( 42090 50490 )
+    NEW met2 ( 25070 25670 ) ( 25070 50490 )
+    NEW met2 ( 26910 17850 ) ( 26910 25670 )
++ USE SIGNAL ;
+- hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) 
+( _129_ A2 ) ( _080_ A ) 
+  + ROUTED met1 ( 15870 25670 ) ( 22770 25670 )
+    NEW met1 ( 9430 34850 ) ( 21390 34850 )
+    NEW met1 ( 21390 40290 ) ( 34730 40290 )
+    NEW met1 ( 34730 50150 ) ( 36570 50150 )
+    NEW met1 ( 36570 60350 ) ( 36571 60350 )
+    NEW met2 ( 21390 25670 ) ( 21390 40290 )
+    NEW met2 ( 34730 40290 ) ( 34730 50150 )
+    NEW met2 ( 36570 50150 ) ( 36570 61370 )
++ USE SIGNAL ;
+- hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) 
+  + ROUTED met1 ( 22770 23630 ) ( 31050 23630 )
+    NEW met1 ( 22770 28050 ) ( 22771 28050 )
+    NEW met1 ( 20470 45050 ) ( 23230 45050 )
+    NEW met1 ( 23230 49810 ) ( 34270 49810 )
+    NEW met2 ( 22770 23290 ) ( 22770 45050 )
+    NEW met2 ( 23230 45050 ) ( 23230 50150 )
++ USE SIGNAL ;
+- hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) 
+( _079_ A ) 
+  + ROUTED met1 ( 26450 18190 ) ( 34270 18190 )
+    NEW met1 ( 26450 22610 ) ( 26451 22610 )
+    NEW met1 ( 24150 39270 ) ( 26450 39270 )
+    NEW met1 ( 21390 44030 ) ( 26450 44030 )
+    NEW met1 ( 21850 61370 ) ( 37490 61370 )
+    NEW met2 ( 21850 45050 ) ( 21850 61370 )
+    NEW met2 ( 26450 17850 ) ( 26450 45050 )
++ USE SIGNAL ;
+- hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) 
+  + ROUTED met1 ( 32890 34170 ) ( 32891 34170 )
+    NEW met1 ( 9430 48110 ) ( 32890 48110 )
+    NEW met1 ( 28290 55930 ) ( 28291 55930 )
+    NEW met2 ( 28290 44370 ) ( 28290 55930 )
+    NEW met2 ( 32890 34170 ) ( 32890 44370 )
++ USE SIGNAL ;
+- vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) 
+( _120_ A ) 
+  + ROUTED met1 ( 14030 34170 ) ( 16790 34170 )
+    NEW met1 ( 14030 39270 ) ( 16790 39270 )
+    NEW met1 ( 16790 52870 ) ( 18170 52870 )
+    NEW met1 ( 18170 65790 ) ( 34730 65790 )
+    NEW met2 ( 16790 34170 ) ( 16790 52870 )
+    NEW met2 ( 18170 52870 ) ( 18170 63410 )
++ USE SIGNAL ;
+- vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) 
+  + ROUTED met1 ( 51750 25330 ) ( 54050 25330 )
+    NEW met1 ( 48530 28730 ) ( 54050 28730 )
+    NEW met1 ( 42090 56610 ) ( 53130 56610 )
+    NEW met2 ( 53130 28730 ) ( 53130 58310 )
+    NEW met2 ( 54050 25330 ) ( 54050 28730 )
++ USE SIGNAL ;
+- vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) 
+( _106_ A1 ) ( _105_ B ) 
+  + ROUTED met1 ( 40250 17850 ) ( 40251 17850 )
+    NEW met1 ( 37030 33490 ) ( 54510 33490 )
+    NEW met1 ( 15410 38930 ) ( 37030 38930 )
+    NEW met1 ( 17250 45050 ) ( 20470 45050 )
+    NEW met1 ( 37030 51170 ) ( 40710 51170 )
+    NEW met2 ( 17250 38930 ) ( 17250 45730 )
+    NEW met2 ( 37030 34170 ) ( 37030 51170 )
+    NEW met2 ( 40250 17850 ) ( 40250 34170 )
++ USE SIGNAL ;
+- vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) 
+( _106_ A2 ) ( _099_ A2 ) 
+  + ROUTED met1 ( 41170 17850 ) ( 41171 17850 )
+    NEW met1 ( 38410 28390 ) ( 49450 28390 )
+    NEW met1 ( 16330 35870 ) ( 38410 35870 )
+    NEW met1 ( 38410 52870 ) ( 39790 52870 )
+    NEW met1 ( 39790 58310 ) ( 39791 58310 )
+    NEW met2 ( 38410 28730 ) ( 38410 52870 )
+    NEW met2 ( 39790 52870 ) ( 39790 58310 )
+    NEW met2 ( 41170 17850 ) ( 41170 28730 )
++ USE SIGNAL ;
+- vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) 
+  + ROUTED met1 ( 39330 23290 ) ( 48530 23290 )
+    NEW met1 ( 21850 31450 ) ( 39330 31450 )
+    NEW met1 ( 15410 34510 ) ( 40250 34510 )
+    NEW met1 ( 38410 50830 ) ( 40250 50830 )
+    NEW met2 ( 39330 23290 ) ( 39330 34510 )
+    NEW met2 ( 40250 34510 ) ( 40250 50830 )
++ USE SIGNAL ;
+- vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) 
+( _099_ A1 ) 
+  + ROUTED met1 ( 43930 25670 ) ( 49450 25670 )
+    NEW met1 ( 19550 47430 ) ( 19551 47430 )
+    NEW met1 ( 40250 52190 ) ( 43930 52190 )
+    NEW met1 ( 18630 53210 ) ( 40250 53210 )
+    NEW met1 ( 40250 58310 ) ( 40710 58310 )
+    NEW met2 ( 19550 47430 ) ( 19550 52190 )
+    NEW met2 ( 40250 52190 ) ( 40250 58310 )
+    NEW met2 ( 43930 25670 ) ( 43930 52190 )
++ USE SIGNAL ;
+- vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) 
+  + ROUTED met1 ( 36110 14790 ) ( 40250 14790 )
+    NEW met1 ( 43010 41650 ) ( 48990 41650 )
+    NEW met1 ( 36110 46750 ) ( 43010 46750 )
+    NEW met1 ( 48990 50490 ) ( 48991 50490 )
+    NEW met1 ( 48990 58310 ) ( 49450 58310 )
+    NEW met2 ( 36110 14790 ) ( 36110 41650 )
+    NEW met2 ( 48990 41650 ) ( 48990 58310 )
++ USE SIGNAL ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def
new file mode 100644
index 0000000..984cb26
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/routing/ycell.powered.def
@@ -0,0 +1,2878 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN ycell ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 67490 78210 ) ;
+ROW ROW_0 unithd 5520 10880 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_1 unithd 5520 13600 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_2 unithd 5520 16320 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_3 unithd 5520 19040 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_4 unithd 5520 21760 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_5 unithd 5520 24480 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_6 unithd 5520 27200 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_7 unithd 5520 29920 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_8 unithd 5520 32640 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_9 unithd 5520 35360 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_10 unithd 5520 38080 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_11 unithd 5520 40800 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_12 unithd 5520 43520 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_13 unithd 5520 46240 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_14 unithd 5520 48960 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_15 unithd 5520 51680 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_16 unithd 5520 54400 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_17 unithd 5520 57120 N DO 122 BY 1 STEP 460 0 ;
+ROW ROW_18 unithd 5520 59840 FS DO 122 BY 1 STEP 460 0 ;
+ROW ROW_19 unithd 5520 62560 N DO 122 BY 1 STEP 460 0 ;
+TRACKS X 230 DO 147 STEP 460 LAYER li1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER li1 ;
+TRACKS X 170 DO 199 STEP 340 LAYER met1 ;
+TRACKS Y 170 DO 230 STEP 340 LAYER met1 ;
+TRACKS X 230 DO 147 STEP 460 LAYER met2 ;
+TRACKS Y 230 DO 170 STEP 460 LAYER met2 ;
+TRACKS X 340 DO 99 STEP 680 LAYER met3 ;
+TRACKS Y 340 DO 115 STEP 680 LAYER met3 ;
+TRACKS X 460 DO 73 STEP 920 LAYER met4 ;
+TRACKS Y 460 DO 85 STEP 920 LAYER met4 ;
+TRACKS X 1700 DO 20 STEP 3400 LAYER met5 ;
+TRACKS Y 1700 DO 23 STEP 3400 LAYER met5 ;
+VIAS 5 ;
+    - via2_FR + RECT met2 ( -140 -185 ) ( 140 185 ) + RECT via2 ( -100 -100 ) ( 100 100 ) + RECT met3 ( -165 -165 ) ( 165 165 ) ;
+    - via4_FR + RECT met4 ( -590 -590 ) ( 590 590 ) + RECT via4 ( -400 -400 ) ( 400 400 ) + RECT met5 ( -710 -710 ) ( 710 710 ) ;
+    - via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150  + LAYERS met1 via met2  + CUTSPACING 170 170  + ENCLOSURE 245 165 55 165  + ROWCOL 1 4  ;
+    - via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200  + LAYERS met2 via2 met3  + CUTSPACING 200 200  + ENCLOSURE 40 140 100 65  + ROWCOL 1 4  ;
+    - via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200  + LAYERS met3 via3 met4  + CUTSPACING 200 200  + ENCLOSURE 100 60 100 140  + ROWCOL 1 4  ;
+END VIAS
+COMPONENTS 388 ;
+    - _079_ sky130_fd_sc_hd__or2_2 + PLACED ( 20240 43520 ) FS ;
+    - _080_ sky130_fd_sc_hd__nor3_2 + PLACED ( 40480 48960 ) FS ;
+    - _081_ sky130_fd_sc_hd__nor2_2 + PLACED ( 32660 32640 ) FS ;
+    - _082_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 51680 ) N ;
+    - _083_ sky130_fd_sc_hd__inv_8 + PLACED ( 11040 57120 ) N ;
+    - _084_ sky130_fd_sc_hd__or2_4 + PLACED ( 26220 43520 ) FS ;
+    - _085_ sky130_fd_sc_hd__buf_6 + PLACED ( 23460 48960 ) FS ;
+    - _086_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 35360 ) N ;
+    - _087_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 43520 ) FS ;
+    - _088_ sky130_fd_sc_hd__nand2_2 + PLACED ( 6900 46240 ) N ;
+    - _089_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 59840 ) FS ;
+    - _090_ sky130_fd_sc_hd__inv_8 + PLACED ( 34040 46240 ) N ;
+    - _091_ sky130_fd_sc_hd__and3_2 + PLACED ( 20240 54400 ) FS ;
+    - _092_ sky130_fd_sc_hd__or2_2 + PLACED ( 14720 40800 ) N ;
+    - _093_ sky130_fd_sc_hd__or2_2 + PLACED ( 26680 32640 ) FS ;
+    - _094_ sky130_fd_sc_hd__buf_2 + PLACED ( 16100 29920 ) N ;
+    - _095_ sky130_fd_sc_hd__inv_8 + PLACED ( 44620 51680 ) N ;
+    - _096_ sky130_fd_sc_hd__or2_4 + PLACED ( 11040 51680 ) N ;
+    - _097_ sky130_fd_sc_hd__buf_6 + PLACED ( 33120 43520 ) FS ;
+    - _098_ sky130_fd_sc_hd__inv_8 + PLACED ( 41860 46240 ) N ;
+    - _099_ sky130_fd_sc_hd__a32o_4 + PLACED ( 36340 57120 ) N ;
+    - _100_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48300 54400 ) FS ;
+    - _101_ sky130_fd_sc_hd__nand3_2 + PLACED ( 48300 43520 ) FS ;
+    - _102_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 62560 ) N ;
+    - _103_ sky130_fd_sc_hd__and2_2 + PLACED ( 30360 38080 ) FS ;
+    - _104_ sky130_fd_sc_hd__or2_2 + PLACED ( 41860 29920 ) N ;
+    - _105_ sky130_fd_sc_hd__and2_2 + PLACED ( 19320 46240 ) N ;
+    - _106_ sky130_fd_sc_hd__o21a_4 + PLACED ( 35420 51680 ) N ;
+    - _107_ sky130_fd_sc_hd__or3_2 + PLACED ( 38640 32640 ) FS ;
+    - _108_ sky130_fd_sc_hd__and2_2 + PLACED ( 44160 35360 ) N ;
+    - _109_ sky130_fd_sc_hd__buf_1 + PLACED ( 55200 38080 ) FS ;
+    - _110_ sky130_fd_sc_hd__nand2_2 + PLACED ( 48300 32640 ) FS ;
+    - _111_ sky130_fd_sc_hd__nand2_2 + PLACED ( 40480 27200 ) FS ;
+    - _112_ sky130_fd_sc_hd__nand2_2 + PLACED ( 47840 29920 ) N ;
+    - _113_ sky130_fd_sc_hd__or2_2 + PLACED ( 33120 27200 ) FS ;
+    - _114_ sky130_fd_sc_hd__or2_2 + PLACED ( 27600 29920 ) N ;
+    - _115_ sky130_fd_sc_hd__nand2_2 + PLACED ( 21620 35360 ) N ;
+    - _116_ sky130_fd_sc_hd__nand2_2 + PLACED ( 37720 24480 ) N ;
+    - _117_ sky130_fd_sc_hd__and3_2 + PLACED ( 27140 40800 ) N ;
+    - _118_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 43520 ) FS ;
+    - _119_ sky130_fd_sc_hd__nor2_2 + PLACED ( 54280 32640 ) FS ;
+    - _120_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 38080 ) FS ;
+    - _121_ sky130_fd_sc_hd__inv_8 + PLACED ( 48300 48960 ) FS ;
+    - _122_ sky130_fd_sc_hd__nor2_2 + PLACED ( 8740 40800 ) N ;
+    - _123_ sky130_fd_sc_hd__nor2_2 + PLACED ( 20700 32640 ) FS ;
+    - _124_ sky130_fd_sc_hd__and3_2 + PLACED ( 13340 48960 ) FS ;
+    - _125_ sky130_fd_sc_hd__or2_4 + PLACED ( 40940 43520 ) FS ;
+    - _126_ sky130_fd_sc_hd__or2_4 + PLACED ( 48300 38080 ) FS ;
+    - _127_ sky130_fd_sc_hd__buf_6 + PLACED ( 52440 51680 ) N ;
+    - _128_ sky130_fd_sc_hd__inv_8 + PLACED ( 49680 46240 ) N ;
+    - _129_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33120 59840 ) FS ;
+    - _130_ sky130_fd_sc_hd__o22a_4 + PLACED ( 48760 62560 ) N ;
+    - _131_ sky130_fd_sc_hd__inv_8 + PLACED ( 11960 59840 ) FS ;
+    - _132_ sky130_fd_sc_hd__or3_4 + PLACED ( 34500 40800 ) N ;
+    - _133_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36800 21760 ) FS ;
+    - _134_ sky130_fd_sc_hd__nor2_2 + PLACED ( 43700 24480 ) N ;
+    - _135_ sky130_fd_sc_hd__nor2_4 + PLACED ( 17940 51680 ) N ;
+    - _136_ sky130_fd_sc_hd__nor2_2 + PLACED ( 48300 27200 ) FS ;
+    - _137_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 35360 ) N ;
+    - _138_ sky130_fd_sc_hd__inv_8 + PLACED ( 8280 29920 ) N ;
+    - _139_ sky130_fd_sc_hd__and2_2 + PLACED ( 12880 46240 ) N ;
+    - _140_ sky130_fd_sc_hd__or2_2 + PLACED ( 27140 27200 ) FS ;
+    - _141_ sky130_fd_sc_hd__and2_2 + PLACED ( 23920 38080 ) FS ;
+    - _142_ sky130_fd_sc_hd__o21a_4 + PLACED ( 31280 48960 ) FS ;
+    - _143_ sky130_fd_sc_hd__or3_2 + PLACED ( 20700 40800 ) N ;
+    - _144_ sky130_fd_sc_hd__and2_2 + PLACED ( 35420 29920 ) N ;
+    - _145_ sky130_fd_sc_hd__buf_1 + PLACED ( 6900 62560 ) N ;
+    - _146_ sky130_fd_sc_hd__nand2_2 + PLACED ( 53820 29920 ) N ;
+    - _147_ sky130_fd_sc_hd__nand2_2 + PLACED ( 54280 27200 ) FS ;
+    - _148_ sky130_fd_sc_hd__nor2_2 + PLACED ( 27600 24480 ) N ;
+    - _149_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34960 54400 ) FS ;
+    - _150_ sky130_fd_sc_hd__nor2_2 + PLACED ( 36340 19040 ) N ;
+    - _151_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 29920 ) N ;
+    - _152_ sky130_fd_sc_hd__nor2_2 + PLACED ( 13800 32640 ) FS ;
+    - _153_ sky130_fd_sc_hd__inv_8 + PLACED ( 25760 46240 ) N ;
+    - _154_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 38080 ) FS ;
+    - _155_ sky130_fd_sc_hd__nor2_2 + PLACED ( 30820 21760 ) FS ;
+    - _156_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21160 27200 ) FS ;
+    - _157_ sky130_fd_sc_hd__and2_2 + PLACED ( 50600 35360 ) N ;
+    - _158_ sky130_fd_sc_hd__nor3_2 + PLACED ( 50140 40800 ) N ;
+    - _159_ sky130_fd_sc_hd__or2_2 + PLACED ( 49680 24480 ) N ;
+    - _160_ sky130_fd_sc_hd__nor2_2 + PLACED ( 9660 35360 ) N ;
+    - _161_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 16320 ) FS ;
+    - _162_ sky130_fd_sc_hd__nor2_2 + PLACED ( 24840 21760 ) FS ;
+    - _163_ sky130_fd_sc_hd__nor2_2 + PLACED ( 21620 24480 ) N ;
+    - _164_ sky130_fd_sc_hd__nor2_2 + PLACED ( 7820 32640 ) FS ;
+    - _165_ sky130_fd_sc_hd__nand2_2 + PLACED ( 13800 27200 ) FS ;
+    - _166_ sky130_fd_sc_hd__nand2_2 + PLACED ( 42320 19040 ) N ;
+    - _167_ sky130_fd_sc_hd__or3_4 + PLACED ( 11960 54400 ) FS ;
+    - _168_ sky130_fd_sc_hd__nand2_2 + PLACED ( 27140 19040 ) N ;
+    - _169_ sky130_fd_sc_hd__nor2_2 + PLACED ( 28060 16320 ) FS ;
+    - _170_ sky130_fd_sc_hd__nor2_2 + PLACED ( 34040 13600 ) N ;
+    - _171_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 16320 ) FS ;
+    - _172_ sky130_fd_sc_hd__nor4_2 + PLACED ( 47840 57120 ) N ;
+    - _173_ sky130_fd_sc_hd__a211o_4 + PLACED ( 34500 62560 ) N ;
+    - _174_ sky130_fd_sc_hd__or2_2 + PLACED ( 48300 21760 ) FS ;
+    - _175_ sky130_fd_sc_hd__nor3_2 + PLACED ( 36800 35360 ) N ;
+    - _176_ sky130_fd_sc_hd__nor2_2 + PLACED ( 40020 13600 ) N ;
+    - _177_ sky130_fd_sc_hd__and3_4 + PLACED ( 36800 38080 ) FS ;
+    - _178_ sky130_fd_sc_hd__nor2_4 + PLACED ( 42320 40800 ) N ;
+    - _179_ sky130_fd_sc_hd__nor2_2 + PLACED ( 15640 24480 ) N ;
+    - _180_ sky130_fd_sc_hd__nor4_2 + PLACED ( 26680 54400 ) FS ;
+    - _181_ sky130_fd_sc_hd__or3_2 + PLACED ( 6900 48960 ) FS ;
+    - _182_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20240 62560 ) N ;
+    - _183_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 20700 59840 ) FS ;
+    - _184_ sky130_fd_sc_hd__dfxtp_4 + PLACED ( 18860 57120 ) N ;
+    - PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
+    - PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 10880 ) S ;
+    - PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
+    - PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 13600 ) FN ;
+    - PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
+    - PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 16320 ) S ;
+    - PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
+    - PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 19040 ) FN ;
+    - PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
+    - PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 21760 ) S ;
+    - PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
+    - PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 24480 ) FN ;
+    - PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
+    - PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 27200 ) S ;
+    - PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
+    - PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 29920 ) FN ;
+    - PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
+    - PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 32640 ) S ;
+    - PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
+    - PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 35360 ) FN ;
+    - PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
+    - PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 38080 ) S ;
+    - PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
+    - PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 40800 ) FN ;
+    - PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
+    - PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 43520 ) S ;
+    - PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
+    - PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 46240 ) FN ;
+    - PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
+    - PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 48960 ) S ;
+    - PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
+    - PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 51680 ) FN ;
+    - PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
+    - PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 54400 ) S ;
+    - PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
+    - PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 57120 ) FN ;
+    - PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
+    - PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 59840 ) S ;
+    - PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
+    - PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 60260 62560 ) FN ;
+    - PHY_40 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
+    - PHY_41 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
+    - PHY_42 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 10880 ) FS ;
+    - PHY_43 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
+    - PHY_44 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
+    - PHY_45 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 16320 ) FS ;
+    - PHY_46 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
+    - PHY_47 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
+    - PHY_48 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 21760 ) FS ;
+    - PHY_49 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
+    - PHY_50 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
+    - PHY_51 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 27200 ) FS ;
+    - PHY_52 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
+    - PHY_53 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
+    - PHY_54 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 32640 ) FS ;
+    - PHY_55 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
+    - PHY_56 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
+    - PHY_57 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 38080 ) FS ;
+    - PHY_58 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
+    - PHY_59 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
+    - PHY_60 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 43520 ) FS ;
+    - PHY_61 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
+    - PHY_62 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
+    - PHY_63 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 48960 ) FS ;
+    - PHY_64 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
+    - PHY_65 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
+    - PHY_66 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 54400 ) FS ;
+    - PHY_67 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
+    - PHY_68 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
+    - PHY_69 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 47840 59840 ) FS ;
+    - PHY_70 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 62560 ) N ;
+    - PHY_71 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 62560 ) N ;
+    - PHY_72 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 48300 62560 ) N ;
+    - FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
+    - FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
+    - FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
+    - FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
+    - FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
+    - FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
+    - FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
+    - FILLER_0_75 sky130_fd_sc_hd__decap_12 + PLACED ( 40020 10880 ) FS ;
+    - FILLER_0_87 sky130_fd_sc_hd__decap_6 + PLACED ( 45540 10880 ) FS ;
+    - FILLER_0_94 sky130_fd_sc_hd__decap_12 + PLACED ( 48760 10880 ) FS ;
+    - FILLER_0_106 sky130_fd_sc_hd__decap_12 + PLACED ( 54280 10880 ) FS ;
+    - FILLER_0_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 10880 ) FS ;
+    - FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
+    - FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
+    - FILLER_1_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 13600 ) N ;
+    - FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
+    - FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
+    - FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
+    - FILLER_1_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 13600 ) N ;
+    - FILLER_1_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 13600 ) N ;
+    - FILLER_1_92 sky130_fd_sc_hd__decap_12 + PLACED ( 47840 13600 ) N ;
+    - FILLER_1_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 13600 ) N ;
+    - FILLER_1_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 13600 ) N ;
+    - FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
+    - FILLER_2_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 16320 ) FS ;
+    - FILLER_2_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 16320 ) FS ;
+    - FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
+    - FILLER_2_44 sky130_fd_sc_hd__decap_4 + PLACED ( 25760 16320 ) FS ;
+    - FILLER_2_48 sky130_fd_sc_hd__fill_1 + PLACED ( 27600 16320 ) FS ;
+    - FILLER_2_54 sky130_fd_sc_hd__decap_8 + PLACED ( 30360 16320 ) FS ;
+    - FILLER_2_67 sky130_fd_sc_hd__decap_8 + PLACED ( 36340 16320 ) FS ;
+    - FILLER_2_80 sky130_fd_sc_hd__decap_12 + PLACED ( 42320 16320 ) FS ;
+    - FILLER_2_93 sky130_fd_sc_hd__decap_12 + PLACED ( 48300 16320 ) FS ;
+    - FILLER_2_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 16320 ) FS ;
+    - FILLER_2_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 16320 ) FS ;
+    - FILLER_3_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 19040 ) N ;
+    - FILLER_3_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 19040 ) N ;
+    - FILLER_3_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 19040 ) N ;
+    - FILLER_3_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 19040 ) N ;
+    - FILLER_3_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 19040 ) N ;
+    - FILLER_3_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 19040 ) N ;
+    - FILLER_3_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 19040 ) N ;
+    - FILLER_3_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 19040 ) N ;
+    - FILLER_3_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 19040 ) N ;
+    - FILLER_3_85 sky130_fd_sc_hd__decap_12 + PLACED ( 44620 19040 ) N ;
+    - FILLER_3_97 sky130_fd_sc_hd__decap_12 + PLACED ( 50140 19040 ) N ;
+    - FILLER_3_109 sky130_fd_sc_hd__decap_8 + PLACED ( 55660 19040 ) N ;
+    - FILLER_3_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 19040 ) N ;
+    - FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
+    - FILLER_4_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 21760 ) FS ;
+    - FILLER_4_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 21760 ) FS ;
+    - FILLER_4_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 21760 ) FS ;
+    - FILLER_4_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 21760 ) FS ;
+    - FILLER_4_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 21760 ) FS ;
+    - FILLER_4_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 21760 ) FS ;
+    - FILLER_4_73 sky130_fd_sc_hd__decap_12 + PLACED ( 39100 21760 ) FS ;
+    - FILLER_4_85 sky130_fd_sc_hd__decap_6 + PLACED ( 44620 21760 ) FS ;
+    - FILLER_4_91 sky130_fd_sc_hd__fill_1 + PLACED ( 47380 21760 ) FS ;
+    - FILLER_4_98 sky130_fd_sc_hd__decap_12 + PLACED ( 50600 21760 ) FS ;
+    - FILLER_4_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 21760 ) FS ;
+    - FILLER_4_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 21760 ) FS ;
+    - FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
+    - FILLER_5_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 24480 ) N ;
+    - FILLER_5_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 24480 ) N ;
+    - FILLER_5_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 24480 ) N ;
+    - FILLER_5_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 24480 ) N ;
+    - FILLER_5_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 24480 ) N ;
+    - FILLER_5_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 24480 ) N ;
+    - FILLER_5_75 sky130_fd_sc_hd__decap_8 + PLACED ( 40020 24480 ) N ;
+    - FILLER_5_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 24480 ) N ;
+    - FILLER_5_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 24480 ) N ;
+    - FILLER_5_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 24480 ) N ;
+    - FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
+    - FILLER_6_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 27200 ) FS ;
+    - FILLER_6_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 27200 ) FS ;
+    - FILLER_6_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 27200 ) FS ;
+    - FILLER_6_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 27200 ) FS ;
+    - FILLER_6_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 27200 ) FS ;
+    - FILLER_6_65 sky130_fd_sc_hd__decap_8 + PLACED ( 35420 27200 ) FS ;
+    - FILLER_6_73 sky130_fd_sc_hd__decap_3 + PLACED ( 39100 27200 ) FS ;
+    - FILLER_6_81 sky130_fd_sc_hd__decap_8 + PLACED ( 42780 27200 ) FS ;
+    - FILLER_6_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 27200 ) FS ;
+    - FILLER_6_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 27200 ) FS ;
+    - FILLER_6_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 27200 ) FS ;
+    - FILLER_7_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 29920 ) N ;
+    - FILLER_7_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 29920 ) N ;
+    - FILLER_7_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 29920 ) N ;
+    - FILLER_7_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 29920 ) N ;
+    - FILLER_7_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 29920 ) N ;
+    - FILLER_7_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 29920 ) N ;
+    - FILLER_7_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 29920 ) N ;
+    - FILLER_7_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 29920 ) N ;
+    - FILLER_7_97 sky130_fd_sc_hd__decap_8 + PLACED ( 50140 29920 ) N ;
+    - FILLER_7_110 sky130_fd_sc_hd__decap_8 + PLACED ( 56120 29920 ) N ;
+    - FILLER_7_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 29920 ) N ;
+    - FILLER_8_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 32640 ) FS ;
+    - FILLER_8_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 32640 ) FS ;
+    - FILLER_8_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 32640 ) FS ;
+    - FILLER_8_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 32640 ) FS ;
+    - FILLER_8_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 32640 ) FS ;
+    - FILLER_8_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 32640 ) FS ;
+    - FILLER_8_64 sky130_fd_sc_hd__decap_8 + PLACED ( 34960 32640 ) FS ;
+    - FILLER_8_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 32640 ) FS ;
+    - FILLER_8_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 32640 ) FS ;
+    - FILLER_8_98 sky130_fd_sc_hd__decap_8 + PLACED ( 50600 32640 ) FS ;
+    - FILLER_8_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 32640 ) FS ;
+    - FILLER_9_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 35360 ) N ;
+    - FILLER_9_14 sky130_fd_sc_hd__decap_8 + PLACED ( 11960 35360 ) N ;
+    - FILLER_9_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 35360 ) N ;
+    - FILLER_9_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 35360 ) N ;
+    - FILLER_9_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 35360 ) N ;
+    - FILLER_9_62 sky130_fd_sc_hd__decap_6 + PLACED ( 34040 35360 ) N ;
+    - FILLER_9_76 sky130_fd_sc_hd__decap_8 + PLACED ( 40480 35360 ) N ;
+    - FILLER_9_90 sky130_fd_sc_hd__decap_8 + PLACED ( 46920 35360 ) N ;
+    - FILLER_9_104 sky130_fd_sc_hd__decap_12 + PLACED ( 53360 35360 ) N ;
+    - FILLER_9_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 35360 ) N ;
+    - FILLER_10_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 38080 ) FS ;
+    - FILLER_10_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 38080 ) FS ;
+    - FILLER_10_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 38080 ) FS ;
+    - FILLER_10_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 38080 ) FS ;
+    - FILLER_10_46 sky130_fd_sc_hd__decap_8 + PLACED ( 26680 38080 ) FS ;
+    - FILLER_10_60 sky130_fd_sc_hd__decap_8 + PLACED ( 33120 38080 ) FS ;
+    - FILLER_10_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 38080 ) FS ;
+    - FILLER_10_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 38080 ) FS ;
+    - FILLER_10_100 sky130_fd_sc_hd__decap_8 + PLACED ( 51520 38080 ) FS ;
+    - FILLER_10_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 38080 ) FS ;
+    - FILLER_11_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 40800 ) N ;
+    - FILLER_11_12 sky130_fd_sc_hd__decap_8 + PLACED ( 11040 40800 ) N ;
+    - FILLER_11_25 sky130_fd_sc_hd__decap_8 + PLACED ( 17020 40800 ) N ;
+    - FILLER_11_39 sky130_fd_sc_hd__decap_8 + PLACED ( 23460 40800 ) N ;
+    - FILLER_11_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 40800 ) N ;
+    - FILLER_11_62 sky130_fd_sc_hd__fill_1 + PLACED ( 34040 40800 ) N ;
+    - FILLER_11_72 sky130_fd_sc_hd__decap_8 + PLACED ( 38640 40800 ) N ;
+    - FILLER_11_89 sky130_fd_sc_hd__decap_8 + PLACED ( 46460 40800 ) N ;
+    - FILLER_11_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 40800 ) N ;
+    - FILLER_11_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 40800 ) N ;
+    - FILLER_12_3 sky130_fd_sc_hd__fill_2 + PLACED ( 6900 43520 ) FS ;
+    - FILLER_12_10 sky130_fd_sc_hd__decap_8 + PLACED ( 10120 43520 ) FS ;
+    - FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
+    - FILLER_12_37 sky130_fd_sc_hd__decap_8 + PLACED ( 22540 43520 ) FS ;
+    - FILLER_12_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 43520 ) FS ;
+    - FILLER_12_69 sky130_fd_sc_hd__decap_8 + PLACED ( 37260 43520 ) FS ;
+    - FILLER_12_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 43520 ) FS ;
+    - FILLER_12_101 sky130_fd_sc_hd__decap_12 + PLACED ( 51980 43520 ) FS ;
+    - FILLER_12_113 sky130_fd_sc_hd__decap_6 + PLACED ( 57500 43520 ) FS ;
+    - FILLER_13_8 sky130_fd_sc_hd__decap_8 + PLACED ( 9200 46240 ) N ;
+    - FILLER_13_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 46240 ) N ;
+    - FILLER_13_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 46240 ) N ;
+    - FILLER_13_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 46240 ) N ;
+    - FILLER_13_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 46240 ) N ;
+    - FILLER_13_88 sky130_fd_sc_hd__decap_8 + PLACED ( 46000 46240 ) N ;
+    - FILLER_13_105 sky130_fd_sc_hd__decap_12 + PLACED ( 53820 46240 ) N ;
+    - FILLER_13_117 sky130_fd_sc_hd__fill_2 + PLACED ( 59340 46240 ) N ;
+    - FILLER_14_9 sky130_fd_sc_hd__decap_8 + PLACED ( 9660 48960 ) FS ;
+    - FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
+    - FILLER_14_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 48960 ) FS ;
+    - FILLER_14_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 48960 ) FS ;
+    - FILLER_14_48 sky130_fd_sc_hd__decap_8 + PLACED ( 27600 48960 ) FS ;
+    - FILLER_14_68 sky130_fd_sc_hd__decap_8 + PLACED ( 36800 48960 ) FS ;
+    - FILLER_14_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 48960 ) FS ;
+    - FILLER_14_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 48960 ) FS ;
+    - FILLER_14_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 48960 ) FS ;
+    - FILLER_14_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 48960 ) FS ;
+    - FILLER_15_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 51680 ) N ;
+    - FILLER_15_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 51680 ) N ;
+    - FILLER_15_19 sky130_fd_sc_hd__decap_8 + PLACED ( 14260 51680 ) N ;
+    - FILLER_15_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 51680 ) N ;
+    - FILLER_15_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 51680 ) N ;
+    - FILLER_15_62 sky130_fd_sc_hd__decap_3 + PLACED ( 34040 51680 ) N ;
+    - FILLER_15_77 sky130_fd_sc_hd__decap_8 + PLACED ( 40940 51680 ) N ;
+    - FILLER_15_94 sky130_fd_sc_hd__decap_8 + PLACED ( 48760 51680 ) N ;
+    - FILLER_15_111 sky130_fd_sc_hd__decap_8 + PLACED ( 56580 51680 ) N ;
+    - FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
+    - FILLER_16_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 54400 ) FS ;
+    - FILLER_16_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 54400 ) FS ;
+    - FILLER_16_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 54400 ) FS ;
+    - FILLER_16_56 sky130_fd_sc_hd__decap_8 + PLACED ( 31280 54400 ) FS ;
+    - FILLER_16_78 sky130_fd_sc_hd__decap_12 + PLACED ( 41400 54400 ) FS ;
+    - FILLER_16_90 sky130_fd_sc_hd__fill_2 + PLACED ( 46920 54400 ) FS ;
+    - FILLER_16_107 sky130_fd_sc_hd__decap_12 + PLACED ( 54740 54400 ) FS ;
+    - FILLER_17_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 57120 ) N ;
+    - FILLER_17_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 57120 ) N ;
+    - FILLER_17_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 57120 ) N ;
+    - FILLER_17_48 sky130_fd_sc_hd__decap_12 + PLACED ( 27600 57120 ) N ;
+    - FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
+    - FILLER_17_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 57120 ) N ;
+    - FILLER_17_66 sky130_fd_sc_hd__fill_1 + PLACED ( 35880 57120 ) N ;
+    - FILLER_17_84 sky130_fd_sc_hd__decap_8 + PLACED ( 44160 57120 ) N ;
+    - FILLER_17_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 57120 ) N ;
+    - FILLER_17_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 57120 ) N ;
+    - FILLER_17_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 57120 ) N ;
+    - FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
+    - FILLER_18_11 sky130_fd_sc_hd__decap_3 + PLACED ( 10580 59840 ) FS ;
+    - FILLER_18_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 59840 ) FS ;
+    - FILLER_18_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 59840 ) FS ;
+    - FILLER_18_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 59840 ) FS ;
+    - FILLER_18_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 59840 ) FS ;
+    - FILLER_18_89 sky130_fd_sc_hd__decap_3 + PLACED ( 46460 59840 ) FS ;
+    - FILLER_18_102 sky130_fd_sc_hd__decap_12 + PLACED ( 52440 59840 ) FS ;
+    - FILLER_18_114 sky130_fd_sc_hd__decap_4 + PLACED ( 57960 59840 ) FS ;
+    - FILLER_18_118 sky130_fd_sc_hd__fill_1 + PLACED ( 59800 59840 ) FS ;
+    - FILLER_19_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 62560 ) N ;
+    - FILLER_19_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 62560 ) N ;
+    - FILLER_19_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 62560 ) N ;
+    - FILLER_19_59 sky130_fd_sc_hd__decap_3 + PLACED ( 32660 62560 ) N ;
+    - FILLER_19_77 sky130_fd_sc_hd__decap_12 + PLACED ( 40940 62560 ) N ;
+    - FILLER_19_89 sky130_fd_sc_hd__decap_4 + PLACED ( 46460 62560 ) N ;
+    - FILLER_19_108 sky130_fd_sc_hd__decap_8 + PLACED ( 55200 62560 ) N ;
+    - FILLER_19_116 sky130_fd_sc_hd__decap_3 + PLACED ( 58880 62560 ) N ;
+END COMPONENTS
+PINS 28 ;
+    - cbitin + NET cbitin + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - cbitout + NET cbitout + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - confclk + NET confclk + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - dempty + NET dempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 20010 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[0] + NET din[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - din[1] + NET din[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[0] + NET dout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - dout[1] + NET dout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48990 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - hempty + NET hempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lempty + NET lempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[0] + NET lin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lin[1] + NET lin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 64940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - lout[0] + NET lout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 38870 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - lout[1] + NET lout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 65490 70380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rempty + NET rempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 7820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - reset + NET reset + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rin[0] + NET rin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65490 39100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
+    - rin[1] + NET rin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[0] + NET rout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 43930 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - rout[1] + NET rout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 59570 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uempty + NET uempty + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[0] + NET uin[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uin[1] + NET uin[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[0] + NET uout[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - uout[1] + NET uout[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 60490 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - vempty + NET vempty + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 76210 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
+    - VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 14873 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+    - VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24226 38080 ) N + LAYER met4 ( -800 -27440 ) ( 800 27440 ) ;
+END PINS
+SPECIALNETS 2 ;
+    - VPWR ( PIN VPWR ) + USE POWER
+      + ROUTED met3 0 + SHAPE STRIPE ( 52287 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 65280 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 65280 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 65280 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 59840 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 59840 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 59840 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 54400 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 54400 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 54400 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 48960 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 48960 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 48960 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 43520 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 43520 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 43520 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 38080 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 38080 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 38080 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 32640 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 32640 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 32640 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 27200 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 27200 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 27200 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 21760 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 21760 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 21760 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 16320 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 16320 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 16320 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 52287 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 52287 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 52287 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 33580 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 33580 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 33580 10880 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 14873 10880 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 14873 10880 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 14873 10880 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 52287 10640 ) ( 52287 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 33580 10640 ) ( 33580 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 14873 10640 ) ( 14873 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 61640 65280 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 61640 59840 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 61640 54400 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 61640 48960 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 61640 43520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 61640 38080 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 61640 32640 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 61640 27200 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 61640 21760 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 61640 16320 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 61640 10880 ) ;
+    - VGND ( PIN VGND ) + USE GROUND
+      + ROUTED met3 0 + SHAPE STRIPE ( 42933 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 62560 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 62560 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 62560 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 57120 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 57120 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 57120 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 51680 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 51680 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 51680 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 46240 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 46240 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 46240 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 40800 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 40800 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 40800 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 35360 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 35360 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 35360 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 29920 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 29920 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 29920 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 24480 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 24480 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 24480 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 19040 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 19040 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 19040 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 42933 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 42933 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 42933 13600 ) via_1600x480
+      NEW met3 0 + SHAPE STRIPE ( 24226 13600 ) via3_1600x480
+      NEW met2 0 + SHAPE STRIPE ( 24226 13600 ) via2_1600x480
+      NEW met1 0 + SHAPE STRIPE ( 24226 13600 ) via_1600x480
+      NEW met4 1600 + SHAPE STRIPE ( 42933 10640 ) ( 42933 65520 )
+      NEW met4 1600 + SHAPE STRIPE ( 24226 10640 ) ( 24226 65520 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 61640 62560 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 61640 57120 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 61640 51680 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 61640 46240 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 61640 40800 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 61640 35360 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 61640 29920 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 61640 24480 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 61640 19040 )
+      NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 61640 13600 ) ;
+END SPECIALNETS
+NETS 123 ;
+    - cbitin ( PIN cbitin ) ( _182_ D ) + USE SIGNAL
+      + ROUTED met2 ( 12650 62050 ) ( * 74460 0 )
+      NEW met1 ( 20930 63750 ) ( 21850 * )
+      NEW met1 ( 12650 62050 ) ( 20930 * )
+      NEW met2 ( 20930 62050 ) ( * 63750 )
+      NEW met1 ( 12650 62050 ) M1M2_PR
+      NEW met1 ( 20930 63750 ) M1M2_PR
+      NEW li1 ( 21850 63750 ) L1M1_PR_MR
+      NEW met1 ( 20930 62050 ) M1M2_PR ;
+    - cbitout ( PIN cbitout ) ( _184_ Q ) ( _096_ A ) ( _085_ A ) + USE SIGNAL
+      + ROUTED met1 ( 12195 52870 ) ( 12650 * )
+      NEW met1 ( 12650 52190 ) ( * 52870 )
+      NEW met1 ( 6670 52190 ) ( 12650 * )
+      NEW met1 ( 15870 50490 ) ( 24150 * )
+      NEW met2 ( 15870 50490 ) ( * 52190 )
+      NEW met1 ( 12650 52190 ) ( 15870 * )
+      NEW met2 ( 25990 50490 ) ( * 57630 )
+      NEW met2 ( 25530 50490 ) ( 25990 * )
+      NEW met1 ( 24150 50490 ) ( 25530 * )
+      NEW met2 ( 6670 3740 0 ) ( * 52190 )
+      NEW li1 ( 12195 52870 ) L1M1_PR_MR
+      NEW met1 ( 6670 52190 ) M1M2_PR
+      NEW li1 ( 24150 50490 ) L1M1_PR_MR
+      NEW met1 ( 15870 50490 ) M1M2_PR
+      NEW met1 ( 15870 52190 ) M1M2_PR
+      NEW li1 ( 25990 57630 ) L1M1_PR_MR
+      NEW met1 ( 25990 57630 ) M1M2_PR
+      NEW met1 ( 25530 50490 ) M1M2_PR
+      NEW met1 ( 25990 57630 ) RECT ( -355 -70 0 70 )  ;
+    - confclk ( PIN confclk ) ( _184_ CLK ) ( _183_ CLK ) ( _182_ CLK ) + USE SIGNAL
+      + ROUTED met2 ( 7590 61030 ) ( * 74460 0 )
+      NEW met1 ( 20470 61370 ) ( 20930 * )
+      NEW met1 ( 19090 58650 ) ( 20010 * )
+      NEW met2 ( 20010 58650 ) ( * 58820 )
+      NEW met2 ( 20010 58820 ) ( 20470 * )
+      NEW met2 ( 20470 58820 ) ( * 61370 )
+      NEW met1 ( 20470 61030 ) ( * 61370 )
+      NEW met1 ( 7590 61030 ) ( 20470 * )
+      NEW met2 ( 20470 61370 ) ( * 63750 )
+      NEW met1 ( 7590 61030 ) M1M2_PR
+      NEW li1 ( 20470 63750 ) L1M1_PR_MR
+      NEW met1 ( 20470 63750 ) M1M2_PR
+      NEW li1 ( 20930 61370 ) L1M1_PR_MR
+      NEW met1 ( 20470 61370 ) M1M2_PR
+      NEW li1 ( 19090 58650 ) L1M1_PR_MR
+      NEW met1 ( 20010 58650 ) M1M2_PR
+      NEW met1 ( 20470 63750 ) RECT ( -355 -70 0 70 )  ;
+    - dempty ( PIN dempty ) ( _093_ A ) + USE SIGNAL
+      + ROUTED met1 ( 20010 20570 ) ( 28290 * )
+      NEW met2 ( 28290 20570 ) ( * 33830 )
+      NEW met1 ( 28290 33830 ) ( * 34170 )
+      NEW met1 ( 27830 34170 ) ( 28290 * )
+      NEW met2 ( 20010 3740 0 ) ( * 20570 )
+      NEW met1 ( 20010 20570 ) M1M2_PR
+      NEW met1 ( 28290 20570 ) M1M2_PR
+      NEW met1 ( 28290 33830 ) M1M2_PR
+      NEW li1 ( 27830 34170 ) L1M1_PR_MR ;
+    - din[0] ( PIN din[0] ) ( _111_ A ) + USE SIGNAL
+      + ROUTED met2 ( 32430 68340 ) ( 33350 * )
+      NEW met2 ( 33350 68340 ) ( * 74460 0 )
+      NEW met1 ( 32430 56270 ) ( 45770 * )
+      NEW met2 ( 45770 28730 ) ( * 56270 )
+      NEW met1 ( 42090 28730 ) ( 45770 * )
+      NEW met2 ( 32430 56270 ) ( * 68340 )
+      NEW met1 ( 32430 56270 ) M1M2_PR
+      NEW met1 ( 45770 56270 ) M1M2_PR
+      NEW met1 ( 45770 28730 ) M1M2_PR
+      NEW li1 ( 42090 28730 ) L1M1_PR_MR ;
+    - din[1] ( PIN din[1] ) ( _100_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 52210 55250 ) ( * 55590 )
+      NEW met1 ( 52210 55250 ) ( 53590 * )
+      NEW met2 ( 53590 54740 ) ( * 55250 )
+      NEW met3 ( 53590 54740 ) ( 63940 * 0 )
+      NEW li1 ( 52210 55590 ) L1M1_PR_MR
+      NEW met1 ( 53590 55250 ) M1M2_PR
+      NEW met2 ( 53590 54740 ) via2_FR ;
+    - dout[0] ( PIN dout[0] ) ( _110_ B ) ( _109_ X ) + USE SIGNAL
+      + ROUTED met1 ( 54050 38590 ) ( 56350 * )
+      NEW met2 ( 54050 23460 ) ( * 38590 )
+      NEW met3 ( 54050 23460 ) ( 63940 * 0 )
+      NEW met1 ( 48990 34170 ) ( * 34510 )
+      NEW met1 ( 48990 34510 ) ( 54050 * )
+      NEW li1 ( 56350 38590 ) L1M1_PR_MR
+      NEW met1 ( 54050 38590 ) M1M2_PR
+      NEW met2 ( 54050 23460 ) via2_FR
+      NEW li1 ( 48990 34170 ) L1M1_PR_MR
+      NEW met1 ( 54050 34510 ) M1M2_PR
+      NEW met2 ( 54050 34510 ) RECT ( -70 -485 70 0 )  ;
+    - dout[1] ( PIN dout[1] ) ( _100_ A2 ) ( _099_ X ) + USE SIGNAL
+      + ROUTED met2 ( 53590 55930 ) ( * 57970 )
+      NEW met1 ( 36570 57970 ) ( 53590 * )
+      NEW met2 ( 48990 57970 ) ( * 74460 0 )
+      NEW li1 ( 53590 55930 ) L1M1_PR_MR
+      NEW met1 ( 53590 55930 ) M1M2_PR
+      NEW met1 ( 53590 57970 ) M1M2_PR
+      NEW li1 ( 36570 57970 ) L1M1_PR_MR
+      NEW met1 ( 48990 57970 ) M1M2_PR
+      NEW met1 ( 53590 55930 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 48990 57970 ) RECT ( -595 -70 0 70 )  ;
+    - hempty ( PIN hempty ) ( _181_ B ) ( _126_ B ) ( _125_ X ) + USE SIGNAL
+      + ROUTED met1 ( 43930 45050 ) ( 45310 * )
+      NEW met2 ( 45310 39950 ) ( * 45050 )
+      NEW met1 ( 45310 39950 ) ( 48530 * )
+      NEW met2 ( 7590 49470 ) ( * 53550 )
+      NEW met1 ( 7590 53550 ) ( 45310 * )
+      NEW met2 ( 23230 73610 ) ( * 74460 0 )
+      NEW met1 ( 22770 73610 ) ( 23230 * )
+      NEW met2 ( 22770 56610 ) ( * 73610 )
+      NEW met2 ( 22770 56610 ) ( 23690 * )
+      NEW met2 ( 23690 53550 ) ( * 56610 )
+      NEW met2 ( 45310 45050 ) ( * 53550 )
+      NEW li1 ( 43930 45050 ) L1M1_PR_MR
+      NEW met1 ( 45310 45050 ) M1M2_PR
+      NEW met1 ( 45310 39950 ) M1M2_PR
+      NEW li1 ( 48530 39950 ) L1M1_PR_MR
+      NEW li1 ( 7590 49470 ) L1M1_PR_MR
+      NEW met1 ( 7590 49470 ) M1M2_PR
+      NEW met1 ( 7590 53550 ) M1M2_PR
+      NEW met1 ( 45310 53550 ) M1M2_PR
+      NEW met1 ( 23230 73610 ) M1M2_PR
+      NEW met1 ( 22770 73610 ) M1M2_PR
+      NEW met1 ( 23690 53550 ) M1M2_PR
+      NEW met1 ( 7590 49470 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 23690 53550 ) RECT ( -595 -70 0 70 )  ;
+    - lempty ( PIN lempty ) ( _138_ A ) + USE SIGNAL
+      + ROUTED met3 ( 3220 13260 0 ) ( 8970 * )
+      NEW met2 ( 8970 13260 ) ( * 31110 )
+      NEW met2 ( 8970 13260 ) via2_FR
+      NEW li1 ( 8970 31110 ) L1M1_PR_MR
+      NEW met1 ( 8970 31110 ) M1M2_PR
+      NEW met1 ( 8970 31110 ) RECT ( -355 -70 0 70 )  ;
+    - lin[0] ( PIN lin[0] ) ( _139_ A ) + USE SIGNAL
+      + ROUTED met2 ( 15870 39100 ) ( * 47770 )
+      NEW met3 ( 3220 39100 0 ) ( 15870 * )
+      NEW met1 ( 13110 47770 ) ( 15870 * )
+      NEW li1 ( 13110 47770 ) L1M1_PR_MR
+      NEW met2 ( 15870 39100 ) via2_FR
+      NEW met1 ( 15870 47770 ) M1M2_PR ;
+    - lin[1] ( PIN lin[1] ) ( _165_ B ) + USE SIGNAL
+      + ROUTED met3 ( 13340 64260 ) ( * 64940 )
+      NEW met3 ( 3220 64940 0 ) ( 13340 * )
+      NEW met3 ( 13340 64260 ) ( 16790 * )
+      NEW met1 ( 14490 28730 ) ( * 29070 )
+      NEW met1 ( 14490 29070 ) ( 16790 * )
+      NEW met2 ( 16790 29070 ) ( * 64260 )
+      NEW met2 ( 16790 64260 ) via2_FR
+      NEW li1 ( 14490 28730 ) L1M1_PR_MR
+      NEW met1 ( 16790 29070 ) M1M2_PR ;
+    - lout[0] ( PIN lout[0] ) ( _167_ C ) ( _166_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 44390 19890 ) ( * 20740 )
+      NEW met3 ( 44390 20740 ) ( 44620 * )
+      NEW met4 ( 44620 20740 ) ( * 47260 )
+      NEW met3 ( 43010 47260 ) ( 44620 * )
+      NEW met1 ( 37490 49470 ) ( 43010 * )
+      NEW met2 ( 37490 49470 ) ( * 58650 )
+      NEW met1 ( 37490 58650 ) ( 38870 * )
+      NEW met2 ( 38870 58650 ) ( * 74460 0 )
+      NEW met2 ( 12190 55930 ) ( * 56610 )
+      NEW met1 ( 12190 56610 ) ( 37490 * )
+      NEW met2 ( 43010 47260 ) ( * 49470 )
+      NEW li1 ( 44390 19890 ) L1M1_PR_MR
+      NEW met1 ( 44390 19890 ) M1M2_PR
+      NEW met2 ( 44390 20740 ) via2_FR
+      NEW met3 ( 44620 20740 ) M3M4_PR_M
+      NEW met3 ( 44620 47260 ) M3M4_PR_M
+      NEW met2 ( 43010 47260 ) via2_FR
+      NEW met1 ( 43010 49470 ) M1M2_PR
+      NEW met1 ( 37490 49470 ) M1M2_PR
+      NEW met1 ( 37490 58650 ) M1M2_PR
+      NEW met1 ( 38870 58650 ) M1M2_PR
+      NEW li1 ( 12190 55930 ) L1M1_PR_MR
+      NEW met1 ( 12190 55930 ) M1M2_PR
+      NEW met1 ( 12190 56610 ) M1M2_PR
+      NEW met1 ( 37490 56610 ) M1M2_PR
+      NEW met1 ( 44390 19890 ) RECT ( -355 -70 0 70 ) 
+      NEW met3 ( 44390 20740 ) RECT ( -390 -150 0 150 ) 
+      NEW met1 ( 12190 55930 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 37490 56610 ) RECT ( -70 -485 70 0 )  ;
+    - lout[1] ( PIN lout[1] ) ( _167_ B ) ( _131_ A ) ( _130_ X ) + USE SIGNAL
+      + ROUTED met1 ( 12650 55930 ) ( * 56270 )
+      NEW met1 ( 48070 63750 ) ( 48990 * )
+      NEW met2 ( 48070 63750 ) ( * 70380 )
+      NEW met3 ( 48070 70380 ) ( 63940 * 0 )
+      NEW met1 ( 15410 61370 ) ( * 61710 )
+      NEW met1 ( 15410 61710 ) ( 21390 * )
+      NEW met1 ( 21390 61710 ) ( * 62050 )
+      NEW met1 ( 21390 62050 ) ( 48070 * )
+      NEW met2 ( 15870 56270 ) ( * 61370 )
+      NEW met1 ( 15410 61370 ) ( 15870 * )
+      NEW met1 ( 12650 56270 ) ( 15870 * )
+      NEW met2 ( 48070 62050 ) ( * 63750 )
+      NEW li1 ( 12650 55930 ) L1M1_PR_MR
+      NEW li1 ( 48990 63750 ) L1M1_PR_MR
+      NEW met1 ( 48070 63750 ) M1M2_PR
+      NEW met2 ( 48070 70380 ) via2_FR
+      NEW li1 ( 15410 61370 ) L1M1_PR_MR
+      NEW met1 ( 48070 62050 ) M1M2_PR
+      NEW met1 ( 15870 56270 ) M1M2_PR
+      NEW met1 ( 15870 61370 ) M1M2_PR ;
+    - rempty ( PIN rempty ) ( _126_ A ) + USE SIGNAL
+      + ROUTED met3 ( 55890 7820 ) ( 63940 * 0 )
+      NEW met1 ( 47610 14110 ) ( 55890 * )
+      NEW met2 ( 47610 14110 ) ( * 39270 )
+      NEW met1 ( 47610 39270 ) ( 48990 * )
+      NEW met1 ( 48990 39270 ) ( * 39610 )
+      NEW met1 ( 48990 39610 ) ( 49450 * )
+      NEW met2 ( 55890 7820 ) ( * 14110 )
+      NEW met2 ( 55890 7820 ) via2_FR
+      NEW met1 ( 55890 14110 ) M1M2_PR
+      NEW met1 ( 47610 14110 ) M1M2_PR
+      NEW met1 ( 47610 39270 ) M1M2_PR
+      NEW li1 ( 49450 39610 ) L1M1_PR_MR ;
+    - reset ( PIN reset ) ( _181_ A ) ( _173_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 7590 50150 ) ( 8050 * )
+      NEW met1 ( 2530 62050 ) ( 8050 * )
+      NEW met2 ( 2530 62050 ) ( * 74460 0 )
+      NEW met2 ( 8050 50150 ) ( * 65790 )
+      NEW met2 ( 37490 64090 ) ( * 65790 )
+      NEW met1 ( 8050 65790 ) ( 37490 * )
+      NEW met1 ( 8050 65790 ) M1M2_PR
+      NEW met1 ( 8050 50150 ) M1M2_PR
+      NEW li1 ( 7590 50150 ) L1M1_PR_MR
+      NEW met1 ( 2530 62050 ) M1M2_PR
+      NEW met1 ( 8050 62050 ) M1M2_PR
+      NEW met1 ( 37490 65790 ) M1M2_PR
+      NEW li1 ( 37490 64090 ) L1M1_PR_MR
+      NEW met1 ( 37490 64090 ) M1M2_PR
+      NEW met2 ( 8050 62050 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 37490 64090 ) RECT ( -355 -70 0 70 )  ;
+    - rin[0] ( PIN rin[0] ) ( _147_ A ) + USE SIGNAL
+      + ROUTED met1 ( 54970 28730 ) ( 55430 * )
+      NEW met2 ( 54970 28730 ) ( * 39100 )
+      NEW met3 ( 54970 39100 ) ( 63940 * 0 )
+      NEW li1 ( 55430 28730 ) L1M1_PR_MR
+      NEW met1 ( 54970 28730 ) M1M2_PR
+      NEW met2 ( 54970 39100 ) via2_FR ;
+    - rin[1] ( PIN rin[1] ) ( _130_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 52670 64090 ) ( * 64430 )
+      NEW met1 ( 52670 64430 ) ( 54510 * )
+      NEW met2 ( 54510 64430 ) ( * 74460 0 )
+      NEW li1 ( 52670 64090 ) L1M1_PR_MR
+      NEW met1 ( 54510 64430 ) M1M2_PR ;
+    - rout[0] ( PIN rout[0] ) ( _146_ B ) ( _145_ X ) + USE SIGNAL
+      + ROUTED met2 ( 43930 64770 ) ( * 74460 0 )
+      NEW met1 ( 8050 64770 ) ( 47610 * )
+      NEW met1 ( 47610 55590 ) ( 48530 * )
+      NEW met2 ( 47610 55590 ) ( * 64770 )
+      NEW met3 ( 48530 43180 ) ( 50140 * )
+      NEW met4 ( 50140 31620 ) ( * 43180 )
+      NEW met3 ( 50140 31620 ) ( 51750 * )
+      NEW met2 ( 51750 31110 ) ( * 31620 )
+      NEW met1 ( 51750 31110 ) ( 54050 * )
+      NEW met2 ( 48530 43180 ) ( * 55590 )
+      NEW li1 ( 8050 64770 ) L1M1_PR_MR
+      NEW met1 ( 47610 64770 ) M1M2_PR
+      NEW met1 ( 43930 64770 ) M1M2_PR
+      NEW met1 ( 47610 55590 ) M1M2_PR
+      NEW met1 ( 48530 55590 ) M1M2_PR
+      NEW met2 ( 48530 43180 ) via2_FR
+      NEW met3 ( 50140 43180 ) M3M4_PR_M
+      NEW met3 ( 50140 31620 ) M3M4_PR_M
+      NEW met2 ( 51750 31620 ) via2_FR
+      NEW met1 ( 51750 31110 ) M1M2_PR
+      NEW li1 ( 54050 31110 ) L1M1_PR_MR
+      NEW met1 ( 43930 64770 ) RECT ( -595 -70 0 70 )  ;
+    - rout[1] ( PIN rout[1] ) ( _130_ A2 ) ( _129_ X ) + USE SIGNAL
+      + ROUTED met1 ( 53590 64770 ) ( 59570 * )
+      NEW met2 ( 59570 64770 ) ( * 74460 0 )
+      NEW met1 ( 53590 63750 ) ( 53820 * )
+      NEW met1 ( 33350 61710 ) ( 53590 * )
+      NEW met2 ( 53590 61710 ) ( * 64770 )
+      NEW met1 ( 53590 64770 ) M1M2_PR
+      NEW met1 ( 59570 64770 ) M1M2_PR
+      NEW li1 ( 53820 63750 ) L1M1_PR_MR
+      NEW met1 ( 53590 63750 ) M1M2_PR
+      NEW li1 ( 33350 61710 ) L1M1_PR_MR
+      NEW met1 ( 53590 61710 ) M1M2_PR
+      NEW met2 ( 53590 63750 ) RECT ( -70 -485 70 0 )  ;
+    - uempty ( PIN uempty ) ( _102_ A ) + USE SIGNAL
+      + ROUTED met1 ( 15410 63750 ) ( 17710 * )
+      NEW met2 ( 17710 63750 ) ( * 74460 0 )
+      NEW li1 ( 15410 63750 ) L1M1_PR_MR
+      NEW met1 ( 17710 63750 ) M1M2_PR ;
+    - uin[0] ( PIN uin[0] ) ( _103_ A ) + USE SIGNAL
+      + ROUTED met2 ( 33350 3740 0 ) ( * 9860 )
+      NEW met2 ( 31970 9860 ) ( 33350 * )
+      NEW met1 ( 30590 39270 ) ( 31970 * )
+      NEW met2 ( 31970 9860 ) ( * 39270 )
+      NEW met1 ( 31970 39270 ) M1M2_PR
+      NEW li1 ( 30590 39270 ) L1M1_PR_MR ;
+    - uin[1] ( PIN uin[1] ) ( _157_ B ) + USE SIGNAL
+      + ROUTED met1 ( 54970 55250 ) ( 64630 * )
+      NEW met2 ( 54970 46750 ) ( * 55250 )
+      NEW met2 ( 54510 46750 ) ( 54970 * )
+      NEW met2 ( 54510 36550 ) ( * 46750 )
+      NEW met1 ( 51750 36550 ) ( 54510 * )
+      NEW met2 ( 64630 55250 ) ( * 74460 0 )
+      NEW met1 ( 64630 55250 ) M1M2_PR
+      NEW met1 ( 54970 55250 ) M1M2_PR
+      NEW met1 ( 54510 36550 ) M1M2_PR
+      NEW li1 ( 51750 36550 ) L1M1_PR_MR ;
+    - uout[0] ( PIN uout[0] ) ( _158_ C ) ( _116_ A ) ( _112_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 48070 32130 ) ( 48530 * )
+      NEW met2 ( 48070 32130 ) ( * 33660 )
+      NEW met2 ( 48070 33660 ) ( 48990 * )
+      NEW met2 ( 48990 33660 ) ( * 42330 )
+      NEW met1 ( 48990 42330 ) ( 52670 * )
+      NEW met1 ( 39330 25670 ) ( * 26010 )
+      NEW met1 ( 39330 26010 ) ( 48530 * )
+      NEW met2 ( 48530 26010 ) ( * 31620 )
+      NEW met2 ( 48070 31620 ) ( 48530 * )
+      NEW met2 ( 48070 31620 ) ( * 32130 )
+      NEW met1 ( 47150 20570 ) ( 48530 * )
+      NEW met2 ( 48530 20570 ) ( * 26010 )
+      NEW met2 ( 47150 3740 0 ) ( * 20570 )
+      NEW li1 ( 48530 32130 ) L1M1_PR_MR
+      NEW met1 ( 48070 32130 ) M1M2_PR
+      NEW met1 ( 48990 42330 ) M1M2_PR
+      NEW li1 ( 52670 42330 ) L1M1_PR_MR
+      NEW li1 ( 39330 25670 ) L1M1_PR_MR
+      NEW met1 ( 48530 26010 ) M1M2_PR
+      NEW met1 ( 47150 20570 ) M1M2_PR
+      NEW met1 ( 48530 20570 ) M1M2_PR ;
+    - uout[1] ( PIN uout[1] ) ( _158_ B ) ( _101_ C ) ( _100_ X ) + USE SIGNAL
+      + ROUTED met1 ( 52210 41650 ) ( * 41990 )
+      NEW met1 ( 52210 41650 ) ( 60490 * )
+      NEW met1 ( 51750 45050 ) ( 54050 * )
+      NEW met2 ( 54050 41650 ) ( * 45050 )
+      NEW met2 ( 49910 45050 ) ( * 55250 )
+      NEW met1 ( 49910 45050 ) ( 51750 * )
+      NEW met2 ( 60490 3740 0 ) ( * 41650 )
+      NEW li1 ( 52210 41990 ) L1M1_PR_MR
+      NEW met1 ( 60490 41650 ) M1M2_PR
+      NEW li1 ( 51750 45050 ) L1M1_PR_MR
+      NEW met1 ( 54050 45050 ) M1M2_PR
+      NEW met1 ( 54050 41650 ) M1M2_PR
+      NEW li1 ( 49910 55250 ) L1M1_PR_MR
+      NEW met1 ( 49910 55250 ) M1M2_PR
+      NEW met1 ( 49910 45050 ) M1M2_PR
+      NEW met1 ( 54050 41650 ) RECT ( -595 -70 0 70 ) 
+      NEW met1 ( 49910 55250 ) RECT ( -355 -70 0 70 )  ;
+    - vempty ( PIN vempty ) ( _093_ B ) ( _092_ X ) + USE SIGNAL
+      + ROUTED met2 ( 26910 57460 ) ( 28290 * )
+      NEW met2 ( 28290 57460 ) ( * 74460 0 )
+      NEW met1 ( 16790 41650 ) ( 19090 * )
+      NEW met2 ( 19090 39950 ) ( * 41650 )
+      NEW met1 ( 19090 39950 ) ( 26910 * )
+      NEW met2 ( 26910 34510 ) ( * 57460 )
+      NEW li1 ( 26910 34510 ) L1M1_PR_MR
+      NEW met1 ( 26910 34510 ) M1M2_PR
+      NEW li1 ( 16790 41650 ) L1M1_PR_MR
+      NEW met1 ( 19090 41650 ) M1M2_PR
+      NEW met1 ( 19090 39950 ) M1M2_PR
+      NEW met1 ( 26910 39950 ) M1M2_PR
+      NEW met1 ( 26910 34510 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 26910 39950 ) RECT ( -70 -485 70 0 )  ;
+    - VPWR ( PIN VPWR ) ( FILLER_19_116 VPWR ) ( FILLER_19_116 VPB ) ( FILLER_19_108 VPWR ) ( FILLER_19_108 VPB ) ( FILLER_19_89 VPWR ) ( FILLER_19_89 VPB )
+      ( FILLER_19_77 VPWR ) ( FILLER_19_77 VPB ) ( FILLER_19_59 VPWR ) ( FILLER_19_59 VPB ) ( FILLER_19_51 VPWR ) ( FILLER_19_51 VPB ) ( FILLER_19_23 VPWR ) ( FILLER_19_23 VPB )
+      ( FILLER_19_6 VPWR ) ( FILLER_19_6 VPB ) ( FILLER_18_118 VPWR ) ( FILLER_18_118 VPB ) ( FILLER_18_114 VPWR ) ( FILLER_18_114 VPB ) ( FILLER_18_102 VPWR ) ( FILLER_18_102 VPB )
+      ( FILLER_18_89 VPWR ) ( FILLER_18_89 VPB ) ( FILLER_18_77 VPWR ) ( FILLER_18_77 VPB ) ( FILLER_18_52 VPWR ) ( FILLER_18_52 VPB ) ( FILLER_18_32 VPWR ) ( FILLER_18_32 VPB )
+      ( FILLER_18_23 VPWR ) ( FILLER_18_23 VPB ) ( FILLER_18_11 VPWR ) ( FILLER_18_11 VPB ) ( FILLER_18_3 VPWR ) ( FILLER_18_3 VPB ) ( FILLER_17_118 VPWR ) ( FILLER_17_118 VPB )
+      ( FILLER_17_114 VPWR ) ( FILLER_17_114 VPB ) ( FILLER_17_102 VPWR ) ( FILLER_17_102 VPB ) ( FILLER_17_84 VPWR ) ( FILLER_17_84 VPB ) ( FILLER_17_66 VPWR ) ( FILLER_17_66 VPB )
+      ( FILLER_17_62 VPWR ) ( FILLER_17_62 VPB ) ( FILLER_17_60 VPWR ) ( FILLER_17_60 VPB ) ( FILLER_17_48 VPWR ) ( FILLER_17_48 VPB ) ( FILLER_17_21 VPWR ) ( FILLER_17_21 VPB )
+      ( FILLER_17_11 VPWR ) ( FILLER_17_11 VPB ) ( FILLER_17_3 VPWR ) ( FILLER_17_3 VPB ) ( FILLER_16_107 VPWR ) ( FILLER_16_107 VPB ) ( FILLER_16_90 VPWR ) ( FILLER_16_90 VPB )
+      ( FILLER_16_78 VPWR ) ( FILLER_16_78 VPB ) ( FILLER_16_56 VPWR ) ( FILLER_16_56 VPB ) ( FILLER_16_38 VPWR ) ( FILLER_16_38 VPB ) ( FILLER_16_23 VPWR ) ( FILLER_16_23 VPB )
+      ( FILLER_16_11 VPWR ) ( FILLER_16_11 VPB ) ( FILLER_16_3 VPWR ) ( FILLER_16_3 VPB ) ( FILLER_15_111 VPWR ) ( FILLER_15_111 VPB ) ( FILLER_15_94 VPWR ) ( FILLER_15_94 VPB )
+      ( FILLER_15_77 VPWR ) ( FILLER_15_77 VPB ) ( FILLER_15_62 VPWR ) ( FILLER_15_62 VPB ) ( FILLER_15_53 VPWR ) ( FILLER_15_53 VPB ) ( FILLER_15_36 VPWR ) ( FILLER_15_36 VPB )
+      ( FILLER_15_19 VPWR ) ( FILLER_15_19 VPB ) ( FILLER_15_11 VPWR ) ( FILLER_15_11 VPB ) ( FILLER_15_3 VPWR ) ( FILLER_15_3 VPB ) ( FILLER_14_118 VPWR ) ( FILLER_14_118 VPB )
+      ( FILLER_14_114 VPWR ) ( FILLER_14_114 VPB ) ( FILLER_14_102 VPWR ) ( FILLER_14_102 VPB ) ( FILLER_14_84 VPWR ) ( FILLER_14_84 VPB ) ( FILLER_14_68 VPWR ) ( FILLER_14_68 VPB )
+      ( FILLER_14_48 VPWR ) ( FILLER_14_48 VPB ) ( FILLER_14_38 VPWR ) ( FILLER_14_38 VPB ) ( FILLER_14_32 VPWR ) ( FILLER_14_32 VPB ) ( FILLER_14_23 VPWR ) ( FILLER_14_23 VPB )
+      ( FILLER_14_9 VPWR ) ( FILLER_14_9 VPB ) ( FILLER_13_117 VPWR ) ( FILLER_13_117 VPB ) ( FILLER_13_105 VPWR ) ( FILLER_13_105 VPB ) ( FILLER_13_88 VPWR ) ( FILLER_13_88 VPB )
+      ( FILLER_13_71 VPWR ) ( FILLER_13_71 VPB ) ( FILLER_13_53 VPWR ) ( FILLER_13_53 VPB ) ( FILLER_13_36 VPWR ) ( FILLER_13_36 VPB ) ( FILLER_13_22 VPWR ) ( FILLER_13_22 VPB )
+      ( FILLER_13_8 VPWR ) ( FILLER_13_8 VPB ) ( FILLER_12_113 VPWR ) ( FILLER_12_113 VPB ) ( FILLER_12_101 VPWR ) ( FILLER_12_101 VPB ) ( FILLER_12_84 VPWR ) ( FILLER_12_84 VPB )
+      ( FILLER_12_69 VPWR ) ( FILLER_12_69 VPB ) ( FILLER_12_52 VPWR ) ( FILLER_12_52 VPB ) ( FILLER_12_37 VPWR ) ( FILLER_12_37 VPB ) ( FILLER_12_23 VPWR ) ( FILLER_12_23 VPB )
+      ( FILLER_12_10 VPWR ) ( FILLER_12_10 VPB ) ( FILLER_12_3 VPWR ) ( FILLER_12_3 VPB ) ( FILLER_11_117 VPWR ) ( FILLER_11_117 VPB ) ( FILLER_11_105 VPWR ) ( FILLER_11_105 VPB )
+      ( FILLER_11_89 VPWR ) ( FILLER_11_89 VPB ) ( FILLER_11_72 VPWR ) ( FILLER_11_72 VPB ) ( FILLER_11_62 VPWR ) ( FILLER_11_62 VPB ) ( FILLER_11_53 VPWR ) ( FILLER_11_53 VPB )
+      ( FILLER_11_39 VPWR ) ( FILLER_11_39 VPB ) ( FILLER_11_25 VPWR ) ( FILLER_11_25 VPB ) ( FILLER_11_12 VPWR ) ( FILLER_11_12 VPB ) ( FILLER_11_3 VPWR ) ( FILLER_11_3 VPB )
+      ( FILLER_10_111 VPWR ) ( FILLER_10_111 VPB ) ( FILLER_10_100 VPWR ) ( FILLER_10_100 VPB ) ( FILLER_10_89 VPWR ) ( FILLER_10_89 VPB ) ( FILLER_10_77 VPWR ) ( FILLER_10_77 VPB )
+      ( FILLER_10_60 VPWR ) ( FILLER_10_60 VPB ) ( FILLER_10_46 VPWR ) ( FILLER_10_46 VPB ) ( FILLER_10_32 VPWR ) ( FILLER_10_32 VPB ) ( FILLER_10_23 VPWR ) ( FILLER_10_23 VPB )
+      ( FILLER_10_10 VPWR ) ( FILLER_10_10 VPB ) ( FILLER_10_3 VPWR ) ( FILLER_10_3 VPB ) ( FILLER_9_116 VPWR ) ( FILLER_9_116 VPB ) ( FILLER_9_104 VPWR ) ( FILLER_9_104 VPB )
+      ( FILLER_9_90 VPWR ) ( FILLER_9_90 VPB ) ( FILLER_9_76 VPWR ) ( FILLER_9_76 VPB ) ( FILLER_9_62 VPWR ) ( FILLER_9_62 VPB ) ( FILLER_9_53 VPWR ) ( FILLER_9_53 VPB )
+      ( FILLER_9_40 VPWR ) ( FILLER_9_40 VPB ) ( FILLER_9_27 VPWR ) ( FILLER_9_27 VPB ) ( FILLER_9_14 VPWR ) ( FILLER_9_14 VPB ) ( FILLER_9_3 VPWR ) ( FILLER_9_3 VPB )
+      ( FILLER_8_111 VPWR ) ( FILLER_8_111 VPB ) ( FILLER_8_98 VPWR ) ( FILLER_8_98 VPB ) ( FILLER_8_90 VPWR ) ( FILLER_8_90 VPB ) ( FILLER_8_78 VPWR ) ( FILLER_8_78 VPB )
+      ( FILLER_8_64 VPWR ) ( FILLER_8_64 VPB ) ( FILLER_8_51 VPWR ) ( FILLER_8_51 VPB ) ( FILLER_8_38 VPWR ) ( FILLER_8_38 VPB ) ( FILLER_8_32 VPWR ) ( FILLER_8_32 VPB )
+      ( FILLER_8_23 VPWR ) ( FILLER_8_23 VPB ) ( FILLER_8_10 VPWR ) ( FILLER_8_10 VPB ) ( FILLER_8_3 VPWR ) ( FILLER_8_3 VPB ) ( FILLER_7_118 VPWR ) ( FILLER_7_118 VPB )
+      ( FILLER_7_110 VPWR ) ( FILLER_7_110 VPB ) ( FILLER_7_97 VPWR ) ( FILLER_7_97 VPB ) ( FILLER_7_84 VPWR ) ( FILLER_7_84 VPB ) ( FILLER_7_71 VPWR ) ( FILLER_7_71 VPB )
+      ( FILLER_7_62 VPWR ) ( FILLER_7_62 VPB ) ( FILLER_7_53 VPWR ) ( FILLER_7_53 VPB ) ( FILLER_7_40 VPWR ) ( FILLER_7_40 VPB ) ( FILLER_7_27 VPWR ) ( FILLER_7_27 VPB )
+      ( FILLER_7_15 VPWR ) ( FILLER_7_15 VPB ) ( FILLER_7_3 VPWR ) ( FILLER_7_3 VPB ) ( FILLER_6_111 VPWR ) ( FILLER_6_111 VPB ) ( FILLER_6_98 VPWR ) ( FILLER_6_98 VPB )
+      ( FILLER_6_89 VPWR ) ( FILLER_6_89 VPB ) ( FILLER_6_81 VPWR ) ( FILLER_6_81 VPB ) ( FILLER_6_73 VPWR ) ( FILLER_6_73 VPB ) ( FILLER_6_65 VPWR ) ( FILLER_6_65 VPB )
+      ( FILLER_6_52 VPWR ) ( FILLER_6_52 VPB ) ( FILLER_6_39 VPWR ) ( FILLER_6_39 VPB ) ( FILLER_6_32 VPWR ) ( FILLER_6_32 VPB ) ( FILLER_6_23 VPWR ) ( FILLER_6_23 VPB )
+      ( FILLER_6_15 VPWR ) ( FILLER_6_15 VPB ) ( FILLER_6_3 VPWR ) ( FILLER_6_3 VPB ) ( FILLER_5_113 VPWR ) ( FILLER_5_113 VPB ) ( FILLER_5_101 VPWR ) ( FILLER_5_101 VPB )
+      ( FILLER_5_88 VPWR ) ( FILLER_5_88 VPB ) ( FILLER_5_75 VPWR ) ( FILLER_5_75 VPB ) ( FILLER_5_62 VPWR ) ( FILLER_5_62 VPB ) ( FILLER_5_53 VPWR ) ( FILLER_5_53 VPB )
+      ( FILLER_5_40 VPWR ) ( FILLER_5_40 VPB ) ( FILLER_5_27 VPWR ) ( FILLER_5_27 VPB ) ( FILLER_5_21 VPWR ) ( FILLER_5_21 VPB ) ( FILLER_5_15 VPWR ) ( FILLER_5_15 VPB )
+      ( FILLER_5_3 VPWR ) ( FILLER_5_3 VPB ) ( FILLER_4_118 VPWR ) ( FILLER_4_118 VPB ) ( FILLER_4_110 VPWR ) ( FILLER_4_110 VPB ) ( FILLER_4_98 VPWR ) ( FILLER_4_98 VPB )
+      ( FILLER_4_91 VPWR ) ( FILLER_4_91 VPB ) ( FILLER_4_85 VPWR ) ( FILLER_4_85 VPB ) ( FILLER_4_73 VPWR ) ( FILLER_4_73 VPB ) ( FILLER_4_60 VPWR ) ( FILLER_4_60 VPB )
+      ( FILLER_4_47 VPWR ) ( FILLER_4_47 VPB ) ( FILLER_4_40 VPWR ) ( FILLER_4_40 VPB ) ( FILLER_4_32 VPWR ) ( FILLER_4_32 VPB ) ( FILLER_4_27 VPWR ) ( FILLER_4_27 VPB )
+      ( FILLER_4_15 VPWR ) ( FILLER_4_15 VPB ) ( FILLER_4_3 VPWR ) ( FILLER_4_3 VPB ) ( FILLER_3_117 VPWR ) ( FILLER_3_117 VPB ) ( FILLER_3_109 VPWR ) ( FILLER_3_109 VPB )
+      ( FILLER_3_97 VPWR ) ( FILLER_3_97 VPB ) ( FILLER_3_85 VPWR ) ( FILLER_3_85 VPB ) ( FILLER_3_72 VPWR ) ( FILLER_3_72 VPB ) ( FILLER_3_66 VPWR ) ( FILLER_3_66 VPB )
+      ( FILLER_3_62 VPWR ) ( FILLER_3_62 VPB ) ( FILLER_3_60 VPWR ) ( FILLER_3_60 VPB ) ( FILLER_3_52 VPWR ) ( FILLER_3_52 VPB ) ( FILLER_3_39 VPWR ) ( FILLER_3_39 VPB )
+      ( FILLER_3_27 VPWR ) ( FILLER_3_27 VPB ) ( FILLER_3_15 VPWR ) ( FILLER_3_15 VPB ) ( FILLER_3_3 VPWR ) ( FILLER_3_3 VPB ) ( FILLER_2_117 VPWR ) ( FILLER_2_117 VPB )
+      ( FILLER_2_105 VPWR ) ( FILLER_2_105 VPB ) ( FILLER_2_93 VPWR ) ( FILLER_2_93 VPB ) ( FILLER_2_80 VPWR ) ( FILLER_2_80 VPB ) ( FILLER_2_67 VPWR ) ( FILLER_2_67 VPB )
+      ( FILLER_2_54 VPWR ) ( FILLER_2_54 VPB ) ( FILLER_2_48 VPWR ) ( FILLER_2_48 VPB ) ( FILLER_2_44 VPWR ) ( FILLER_2_44 VPB ) ( FILLER_2_32 VPWR ) ( FILLER_2_32 VPB )
+      ( FILLER_2_27 VPWR ) ( FILLER_2_27 VPB ) ( FILLER_2_15 VPWR ) ( FILLER_2_15 VPB ) ( FILLER_2_3 VPWR ) ( FILLER_2_3 VPB ) ( FILLER_1_116 VPWR ) ( FILLER_1_116 VPB )
+      ( FILLER_1_104 VPWR ) ( FILLER_1_104 VPB ) ( FILLER_1_92 VPWR ) ( FILLER_1_92 VPB ) ( FILLER_1_80 VPWR ) ( FILLER_1_80 VPB ) ( FILLER_1_67 VPWR ) ( FILLER_1_67 VPB )
+      ( FILLER_1_59 VPWR ) ( FILLER_1_59 VPB ) ( FILLER_1_51 VPWR ) ( FILLER_1_51 VPB ) ( FILLER_1_39 VPWR ) ( FILLER_1_39 VPB ) ( FILLER_1_27 VPWR ) ( FILLER_1_27 VPB )
+      ( FILLER_1_15 VPWR ) ( FILLER_1_15 VPB ) ( FILLER_1_3 VPWR ) ( FILLER_1_3 VPB ) ( FILLER_0_118 VPWR ) ( FILLER_0_118 VPB ) ( FILLER_0_106 VPWR ) ( FILLER_0_106 VPB )
+      ( FILLER_0_94 VPWR ) ( FILLER_0_94 VPB ) ( FILLER_0_87 VPWR ) ( FILLER_0_87 VPB ) ( FILLER_0_75 VPWR ) ( FILLER_0_75 VPB ) ( FILLER_0_63 VPWR ) ( FILLER_0_63 VPB )
+      ( FILLER_0_56 VPWR ) ( FILLER_0_56 VPB ) ( FILLER_0_44 VPWR ) ( FILLER_0_44 VPB ) ( FILLER_0_32 VPWR ) ( FILLER_0_32 VPB ) ( FILLER_0_27 VPWR ) ( FILLER_0_27 VPB )
+      ( FILLER_0_15 VPWR ) ( FILLER_0_15 VPB ) ( FILLER_0_3 VPWR ) ( FILLER_0_3 VPB ) ( PHY_72 VPWR ) ( PHY_71 VPWR ) ( PHY_70 VPWR ) ( PHY_69 VPWR )
+      ( PHY_68 VPWR ) ( PHY_67 VPWR ) ( PHY_66 VPWR ) ( PHY_65 VPWR ) ( PHY_64 VPWR ) ( PHY_63 VPWR ) ( PHY_62 VPWR ) ( PHY_61 VPWR )
+      ( PHY_60 VPWR ) ( PHY_59 VPWR ) ( PHY_58 VPWR ) ( PHY_57 VPWR ) ( PHY_56 VPWR ) ( PHY_55 VPWR ) ( PHY_54 VPWR ) ( PHY_53 VPWR )
+      ( PHY_52 VPWR ) ( PHY_51 VPWR ) ( PHY_50 VPWR ) ( PHY_49 VPWR ) ( PHY_48 VPWR ) ( PHY_47 VPWR ) ( PHY_46 VPWR ) ( PHY_45 VPWR )
+      ( PHY_44 VPWR ) ( PHY_43 VPWR ) ( PHY_42 VPWR ) ( PHY_41 VPWR ) ( PHY_40 VPWR ) ( PHY_39 VPWR ) ( PHY_39 VPB ) ( PHY_38 VPWR )
+      ( PHY_38 VPB ) ( PHY_37 VPWR ) ( PHY_37 VPB ) ( PHY_36 VPWR ) ( PHY_36 VPB ) ( PHY_35 VPWR ) ( PHY_35 VPB ) ( PHY_34 VPWR )
+      ( PHY_34 VPB ) ( PHY_33 VPWR ) ( PHY_33 VPB ) ( PHY_32 VPWR ) ( PHY_32 VPB ) ( PHY_31 VPWR ) ( PHY_31 VPB ) ( PHY_30 VPWR )
+      ( PHY_30 VPB ) ( PHY_29 VPWR ) ( PHY_29 VPB ) ( PHY_28 VPWR ) ( PHY_28 VPB ) ( PHY_27 VPWR ) ( PHY_27 VPB ) ( PHY_26 VPWR )
+      ( PHY_26 VPB ) ( PHY_25 VPWR ) ( PHY_25 VPB ) ( PHY_24 VPWR ) ( PHY_24 VPB ) ( PHY_23 VPWR ) ( PHY_23 VPB ) ( PHY_22 VPWR )
+      ( PHY_22 VPB ) ( PHY_21 VPWR ) ( PHY_21 VPB ) ( PHY_20 VPWR ) ( PHY_20 VPB ) ( PHY_19 VPWR ) ( PHY_19 VPB ) ( PHY_18 VPWR )
+      ( PHY_18 VPB ) ( PHY_17 VPWR ) ( PHY_17 VPB ) ( PHY_16 VPWR ) ( PHY_16 VPB ) ( PHY_15 VPWR ) ( PHY_15 VPB ) ( PHY_14 VPWR )
+      ( PHY_14 VPB ) ( PHY_13 VPWR ) ( PHY_13 VPB ) ( PHY_12 VPWR ) ( PHY_12 VPB ) ( PHY_11 VPWR ) ( PHY_11 VPB ) ( PHY_10 VPWR )
+      ( PHY_10 VPB ) ( PHY_9 VPWR ) ( PHY_9 VPB ) ( PHY_8 VPWR ) ( PHY_8 VPB ) ( PHY_7 VPWR ) ( PHY_7 VPB ) ( PHY_6 VPWR )
+      ( PHY_6 VPB ) ( PHY_5 VPWR ) ( PHY_5 VPB ) ( PHY_4 VPWR ) ( PHY_4 VPB ) ( PHY_3 VPWR ) ( PHY_3 VPB ) ( PHY_2 VPWR )
+      ( PHY_2 VPB ) ( PHY_1 VPWR ) ( PHY_1 VPB ) ( PHY_0 VPWR ) ( PHY_0 VPB ) ( _184_ VPWR ) ( _184_ VPB ) ( _183_ VPWR )
+      ( _183_ VPB ) ( _182_ VPWR ) ( _182_ VPB ) ( _181_ VPWR ) ( _181_ VPB ) ( _180_ VPWR ) ( _180_ VPB ) ( _179_ VPWR )
+      ( _179_ VPB ) ( _178_ VPWR ) ( _178_ VPB ) ( _177_ VPWR ) ( _177_ VPB ) ( _176_ VPWR ) ( _176_ VPB ) ( _175_ VPWR )
+      ( _175_ VPB ) ( _174_ VPWR ) ( _174_ VPB ) ( _173_ VPWR ) ( _173_ VPB ) ( _172_ VPWR ) ( _172_ VPB ) ( _171_ VPWR )
+      ( _171_ VPB ) ( _170_ VPWR ) ( _170_ VPB ) ( _169_ VPWR ) ( _169_ VPB ) ( _168_ VPWR ) ( _168_ VPB ) ( _167_ VPWR )
+      ( _167_ VPB ) ( _166_ VPWR ) ( _166_ VPB ) ( _165_ VPWR ) ( _165_ VPB ) ( _164_ VPWR ) ( _164_ VPB ) ( _163_ VPWR )
+      ( _163_ VPB ) ( _162_ VPWR ) ( _162_ VPB ) ( _161_ VPWR ) ( _161_ VPB ) ( _160_ VPWR ) ( _160_ VPB ) ( _159_ VPWR )
+      ( _159_ VPB ) ( _158_ VPWR ) ( _158_ VPB ) ( _157_ VPWR ) ( _157_ VPB ) ( _156_ VPWR ) ( _156_ VPB ) ( _155_ VPWR )
+      ( _155_ VPB ) ( _154_ VPWR ) ( _154_ VPB ) ( _153_ VPWR ) ( _153_ VPB ) ( _152_ VPWR ) ( _152_ VPB ) ( _151_ VPWR )
+      ( _151_ VPB ) ( _150_ VPWR ) ( _150_ VPB ) ( _149_ VPWR ) ( _149_ VPB ) ( _148_ VPWR ) ( _148_ VPB ) ( _147_ VPWR )
+      ( _147_ VPB ) ( _146_ VPWR ) ( _146_ VPB ) ( _145_ VPWR ) ( _145_ VPB ) ( _144_ VPWR ) ( _144_ VPB ) ( _143_ VPWR )
+      ( _143_ VPB ) ( _142_ VPWR ) ( _142_ VPB ) ( _141_ VPWR ) ( _141_ VPB ) ( _140_ VPWR ) ( _140_ VPB ) ( _139_ VPWR )
+      ( _139_ VPB ) ( _138_ VPWR ) ( _138_ VPB ) ( _137_ VPWR ) ( _137_ VPB ) ( _136_ VPWR ) ( _136_ VPB ) ( _135_ VPWR )
+      ( _135_ VPB ) ( _134_ VPWR ) ( _134_ VPB ) ( _133_ VPWR ) ( _133_ VPB ) ( _132_ VPWR ) ( _132_ VPB ) ( _131_ VPWR )
+      ( _131_ VPB ) ( _130_ VPWR ) ( _130_ VPB ) ( _129_ VPWR ) ( _129_ VPB ) ( _128_ VPWR ) ( _128_ VPB ) ( _127_ VPWR )
+      ( _127_ VPB ) ( _126_ VPWR ) ( _126_ VPB ) ( _125_ VPWR ) ( _125_ VPB ) ( _124_ VPWR ) ( _124_ VPB ) ( _123_ VPWR )
+      ( _123_ VPB ) ( _122_ VPWR ) ( _122_ VPB ) ( _121_ VPWR ) ( _121_ VPB ) ( _120_ VPWR ) ( _120_ VPB ) ( _119_ VPWR )
+      ( _119_ VPB ) ( _118_ VPWR ) ( _118_ VPB ) ( _117_ VPWR ) ( _117_ VPB ) ( _116_ VPWR ) ( _116_ VPB ) ( _115_ VPWR )
+      ( _115_ VPB ) ( _114_ VPWR ) ( _114_ VPB ) ( _113_ VPWR ) ( _113_ VPB ) ( _112_ VPWR ) ( _112_ VPB ) ( _111_ VPWR )
+      ( _111_ VPB ) ( _110_ VPWR ) ( _110_ VPB ) ( _109_ VPWR ) ( _109_ VPB ) ( _108_ VPWR ) ( _108_ VPB ) ( _107_ VPWR )
+      ( _107_ VPB ) ( _106_ VPWR ) ( _106_ VPB ) ( _105_ VPWR ) ( _105_ VPB ) ( _104_ VPWR ) ( _104_ VPB ) ( _103_ VPWR )
+      ( _103_ VPB ) ( _102_ VPWR ) ( _102_ VPB ) ( _101_ VPWR ) ( _101_ VPB ) ( _100_ VPWR ) ( _100_ VPB ) ( _099_ VPWR )
+      ( _099_ VPB ) ( _098_ VPWR ) ( _098_ VPB ) ( _097_ VPWR ) ( _097_ VPB ) ( _096_ VPWR ) ( _096_ VPB ) ( _095_ VPWR )
+      ( _095_ VPB ) ( _094_ VPWR ) ( _094_ VPB ) ( _093_ VPWR ) ( _093_ VPB ) ( _092_ VPWR ) ( _092_ VPB ) ( _091_ VPWR )
+      ( _091_ VPB ) ( _090_ VPWR ) ( _090_ VPB ) ( _089_ VPWR ) ( _089_ VPB ) ( _088_ VPWR ) ( _088_ VPB ) ( _087_ VPWR )
+      ( _087_ VPB ) ( _086_ VPWR ) ( _086_ VPB ) ( _085_ VPWR ) ( _085_ VPB ) ( _084_ VPWR ) ( _084_ VPB ) ( _083_ VPWR )
+      ( _083_ VPB ) ( _082_ VPWR ) ( _082_ VPB ) ( _081_ VPWR ) ( _081_ VPB ) ( _080_ VPWR ) ( _080_ VPB ) ( _079_ VPWR )
+      ( _079_ VPB ) + USE POWER ;
+    - VGND ( PIN VGND ) ( FILLER_19_116 VNB ) ( FILLER_19_116 VGND ) ( FILLER_19_108 VNB ) ( FILLER_19_108 VGND ) ( FILLER_19_89 VNB ) ( FILLER_19_89 VGND )
+      ( FILLER_19_77 VNB ) ( FILLER_19_77 VGND ) ( FILLER_19_59 VNB ) ( FILLER_19_59 VGND ) ( FILLER_19_51 VNB ) ( FILLER_19_51 VGND ) ( FILLER_19_23 VNB ) ( FILLER_19_23 VGND )
+      ( FILLER_19_6 VNB ) ( FILLER_19_6 VGND ) ( FILLER_18_118 VNB ) ( FILLER_18_118 VGND ) ( FILLER_18_114 VNB ) ( FILLER_18_114 VGND ) ( FILLER_18_102 VNB ) ( FILLER_18_102 VGND )
+      ( FILLER_18_89 VNB ) ( FILLER_18_89 VGND ) ( FILLER_18_77 VNB ) ( FILLER_18_77 VGND ) ( FILLER_18_52 VNB ) ( FILLER_18_52 VGND ) ( FILLER_18_32 VNB ) ( FILLER_18_32 VGND )
+      ( FILLER_18_23 VNB ) ( FILLER_18_23 VGND ) ( FILLER_18_11 VNB ) ( FILLER_18_11 VGND ) ( FILLER_18_3 VNB ) ( FILLER_18_3 VGND ) ( FILLER_17_118 VNB ) ( FILLER_17_118 VGND )
+      ( FILLER_17_114 VNB ) ( FILLER_17_114 VGND ) ( FILLER_17_102 VNB ) ( FILLER_17_102 VGND ) ( FILLER_17_84 VNB ) ( FILLER_17_84 VGND ) ( FILLER_17_66 VNB ) ( FILLER_17_66 VGND )
+      ( FILLER_17_62 VNB ) ( FILLER_17_62 VGND ) ( FILLER_17_60 VNB ) ( FILLER_17_60 VGND ) ( FILLER_17_48 VNB ) ( FILLER_17_48 VGND ) ( FILLER_17_21 VNB ) ( FILLER_17_21 VGND )
+      ( FILLER_17_11 VNB ) ( FILLER_17_11 VGND ) ( FILLER_17_3 VNB ) ( FILLER_17_3 VGND ) ( FILLER_16_107 VNB ) ( FILLER_16_107 VGND ) ( FILLER_16_90 VNB ) ( FILLER_16_90 VGND )
+      ( FILLER_16_78 VNB ) ( FILLER_16_78 VGND ) ( FILLER_16_56 VNB ) ( FILLER_16_56 VGND ) ( FILLER_16_38 VNB ) ( FILLER_16_38 VGND ) ( FILLER_16_23 VNB ) ( FILLER_16_23 VGND )
+      ( FILLER_16_11 VNB ) ( FILLER_16_11 VGND ) ( FILLER_16_3 VNB ) ( FILLER_16_3 VGND ) ( FILLER_15_111 VNB ) ( FILLER_15_111 VGND ) ( FILLER_15_94 VNB ) ( FILLER_15_94 VGND )
+      ( FILLER_15_77 VNB ) ( FILLER_15_77 VGND ) ( FILLER_15_62 VNB ) ( FILLER_15_62 VGND ) ( FILLER_15_53 VNB ) ( FILLER_15_53 VGND ) ( FILLER_15_36 VNB ) ( FILLER_15_36 VGND )
+      ( FILLER_15_19 VNB ) ( FILLER_15_19 VGND ) ( FILLER_15_11 VNB ) ( FILLER_15_11 VGND ) ( FILLER_15_3 VNB ) ( FILLER_15_3 VGND ) ( FILLER_14_118 VNB ) ( FILLER_14_118 VGND )
+      ( FILLER_14_114 VNB ) ( FILLER_14_114 VGND ) ( FILLER_14_102 VNB ) ( FILLER_14_102 VGND ) ( FILLER_14_84 VNB ) ( FILLER_14_84 VGND ) ( FILLER_14_68 VNB ) ( FILLER_14_68 VGND )
+      ( FILLER_14_48 VNB ) ( FILLER_14_48 VGND ) ( FILLER_14_38 VNB ) ( FILLER_14_38 VGND ) ( FILLER_14_32 VNB ) ( FILLER_14_32 VGND ) ( FILLER_14_23 VNB ) ( FILLER_14_23 VGND )
+      ( FILLER_14_9 VNB ) ( FILLER_14_9 VGND ) ( FILLER_13_117 VNB ) ( FILLER_13_117 VGND ) ( FILLER_13_105 VNB ) ( FILLER_13_105 VGND ) ( FILLER_13_88 VNB ) ( FILLER_13_88 VGND )
+      ( FILLER_13_71 VNB ) ( FILLER_13_71 VGND ) ( FILLER_13_53 VNB ) ( FILLER_13_53 VGND ) ( FILLER_13_36 VNB ) ( FILLER_13_36 VGND ) ( FILLER_13_22 VNB ) ( FILLER_13_22 VGND )
+      ( FILLER_13_8 VNB ) ( FILLER_13_8 VGND ) ( FILLER_12_113 VNB ) ( FILLER_12_113 VGND ) ( FILLER_12_101 VNB ) ( FILLER_12_101 VGND ) ( FILLER_12_84 VNB ) ( FILLER_12_84 VGND )
+      ( FILLER_12_69 VNB ) ( FILLER_12_69 VGND ) ( FILLER_12_52 VNB ) ( FILLER_12_52 VGND ) ( FILLER_12_37 VNB ) ( FILLER_12_37 VGND ) ( FILLER_12_23 VNB ) ( FILLER_12_23 VGND )
+      ( FILLER_12_10 VNB ) ( FILLER_12_10 VGND ) ( FILLER_12_3 VNB ) ( FILLER_12_3 VGND ) ( FILLER_11_117 VNB ) ( FILLER_11_117 VGND ) ( FILLER_11_105 VNB ) ( FILLER_11_105 VGND )
+      ( FILLER_11_89 VNB ) ( FILLER_11_89 VGND ) ( FILLER_11_72 VNB ) ( FILLER_11_72 VGND ) ( FILLER_11_62 VNB ) ( FILLER_11_62 VGND ) ( FILLER_11_53 VNB ) ( FILLER_11_53 VGND )
+      ( FILLER_11_39 VNB ) ( FILLER_11_39 VGND ) ( FILLER_11_25 VNB ) ( FILLER_11_25 VGND ) ( FILLER_11_12 VNB ) ( FILLER_11_12 VGND ) ( FILLER_11_3 VNB ) ( FILLER_11_3 VGND )
+      ( FILLER_10_111 VNB ) ( FILLER_10_111 VGND ) ( FILLER_10_100 VNB ) ( FILLER_10_100 VGND ) ( FILLER_10_89 VNB ) ( FILLER_10_89 VGND ) ( FILLER_10_77 VNB ) ( FILLER_10_77 VGND )
+      ( FILLER_10_60 VNB ) ( FILLER_10_60 VGND ) ( FILLER_10_46 VNB ) ( FILLER_10_46 VGND ) ( FILLER_10_32 VNB ) ( FILLER_10_32 VGND ) ( FILLER_10_23 VNB ) ( FILLER_10_23 VGND )
+      ( FILLER_10_10 VNB ) ( FILLER_10_10 VGND ) ( FILLER_10_3 VNB ) ( FILLER_10_3 VGND ) ( FILLER_9_116 VNB ) ( FILLER_9_116 VGND ) ( FILLER_9_104 VNB ) ( FILLER_9_104 VGND )
+      ( FILLER_9_90 VNB ) ( FILLER_9_90 VGND ) ( FILLER_9_76 VNB ) ( FILLER_9_76 VGND ) ( FILLER_9_62 VNB ) ( FILLER_9_62 VGND ) ( FILLER_9_53 VNB ) ( FILLER_9_53 VGND )
+      ( FILLER_9_40 VNB ) ( FILLER_9_40 VGND ) ( FILLER_9_27 VNB ) ( FILLER_9_27 VGND ) ( FILLER_9_14 VNB ) ( FILLER_9_14 VGND ) ( FILLER_9_3 VNB ) ( FILLER_9_3 VGND )
+      ( FILLER_8_111 VNB ) ( FILLER_8_111 VGND ) ( FILLER_8_98 VNB ) ( FILLER_8_98 VGND ) ( FILLER_8_90 VNB ) ( FILLER_8_90 VGND ) ( FILLER_8_78 VNB ) ( FILLER_8_78 VGND )
+      ( FILLER_8_64 VNB ) ( FILLER_8_64 VGND ) ( FILLER_8_51 VNB ) ( FILLER_8_51 VGND ) ( FILLER_8_38 VNB ) ( FILLER_8_38 VGND ) ( FILLER_8_32 VNB ) ( FILLER_8_32 VGND )
+      ( FILLER_8_23 VNB ) ( FILLER_8_23 VGND ) ( FILLER_8_10 VNB ) ( FILLER_8_10 VGND ) ( FILLER_8_3 VNB ) ( FILLER_8_3 VGND ) ( FILLER_7_118 VNB ) ( FILLER_7_118 VGND )
+      ( FILLER_7_110 VNB ) ( FILLER_7_110 VGND ) ( FILLER_7_97 VNB ) ( FILLER_7_97 VGND ) ( FILLER_7_84 VNB ) ( FILLER_7_84 VGND ) ( FILLER_7_71 VNB ) ( FILLER_7_71 VGND )
+      ( FILLER_7_62 VNB ) ( FILLER_7_62 VGND ) ( FILLER_7_53 VNB ) ( FILLER_7_53 VGND ) ( FILLER_7_40 VNB ) ( FILLER_7_40 VGND ) ( FILLER_7_27 VNB ) ( FILLER_7_27 VGND )
+      ( FILLER_7_15 VNB ) ( FILLER_7_15 VGND ) ( FILLER_7_3 VNB ) ( FILLER_7_3 VGND ) ( FILLER_6_111 VNB ) ( FILLER_6_111 VGND ) ( FILLER_6_98 VNB ) ( FILLER_6_98 VGND )
+      ( FILLER_6_89 VNB ) ( FILLER_6_89 VGND ) ( FILLER_6_81 VNB ) ( FILLER_6_81 VGND ) ( FILLER_6_73 VNB ) ( FILLER_6_73 VGND ) ( FILLER_6_65 VNB ) ( FILLER_6_65 VGND )
+      ( FILLER_6_52 VNB ) ( FILLER_6_52 VGND ) ( FILLER_6_39 VNB ) ( FILLER_6_39 VGND ) ( FILLER_6_32 VNB ) ( FILLER_6_32 VGND ) ( FILLER_6_23 VNB ) ( FILLER_6_23 VGND )
+      ( FILLER_6_15 VNB ) ( FILLER_6_15 VGND ) ( FILLER_6_3 VNB ) ( FILLER_6_3 VGND ) ( FILLER_5_113 VNB ) ( FILLER_5_113 VGND ) ( FILLER_5_101 VNB ) ( FILLER_5_101 VGND )
+      ( FILLER_5_88 VNB ) ( FILLER_5_88 VGND ) ( FILLER_5_75 VNB ) ( FILLER_5_75 VGND ) ( FILLER_5_62 VNB ) ( FILLER_5_62 VGND ) ( FILLER_5_53 VNB ) ( FILLER_5_53 VGND )
+      ( FILLER_5_40 VNB ) ( FILLER_5_40 VGND ) ( FILLER_5_27 VNB ) ( FILLER_5_27 VGND ) ( FILLER_5_21 VNB ) ( FILLER_5_21 VGND ) ( FILLER_5_15 VNB ) ( FILLER_5_15 VGND )
+      ( FILLER_5_3 VNB ) ( FILLER_5_3 VGND ) ( FILLER_4_118 VNB ) ( FILLER_4_118 VGND ) ( FILLER_4_110 VNB ) ( FILLER_4_110 VGND ) ( FILLER_4_98 VNB ) ( FILLER_4_98 VGND )
+      ( FILLER_4_91 VNB ) ( FILLER_4_91 VGND ) ( FILLER_4_85 VNB ) ( FILLER_4_85 VGND ) ( FILLER_4_73 VNB ) ( FILLER_4_73 VGND ) ( FILLER_4_60 VNB ) ( FILLER_4_60 VGND )
+      ( FILLER_4_47 VNB ) ( FILLER_4_47 VGND ) ( FILLER_4_40 VNB ) ( FILLER_4_40 VGND ) ( FILLER_4_32 VNB ) ( FILLER_4_32 VGND ) ( FILLER_4_27 VNB ) ( FILLER_4_27 VGND )
+      ( FILLER_4_15 VNB ) ( FILLER_4_15 VGND ) ( FILLER_4_3 VNB ) ( FILLER_4_3 VGND ) ( FILLER_3_117 VNB ) ( FILLER_3_117 VGND ) ( FILLER_3_109 VNB ) ( FILLER_3_109 VGND )
+      ( FILLER_3_97 VNB ) ( FILLER_3_97 VGND ) ( FILLER_3_85 VNB ) ( FILLER_3_85 VGND ) ( FILLER_3_72 VNB ) ( FILLER_3_72 VGND ) ( FILLER_3_66 VNB ) ( FILLER_3_66 VGND )
+      ( FILLER_3_62 VNB ) ( FILLER_3_62 VGND ) ( FILLER_3_60 VNB ) ( FILLER_3_60 VGND ) ( FILLER_3_52 VNB ) ( FILLER_3_52 VGND ) ( FILLER_3_39 VNB ) ( FILLER_3_39 VGND )
+      ( FILLER_3_27 VNB ) ( FILLER_3_27 VGND ) ( FILLER_3_15 VNB ) ( FILLER_3_15 VGND ) ( FILLER_3_3 VNB ) ( FILLER_3_3 VGND ) ( FILLER_2_117 VNB ) ( FILLER_2_117 VGND )
+      ( FILLER_2_105 VNB ) ( FILLER_2_105 VGND ) ( FILLER_2_93 VNB ) ( FILLER_2_93 VGND ) ( FILLER_2_80 VNB ) ( FILLER_2_80 VGND ) ( FILLER_2_67 VNB ) ( FILLER_2_67 VGND )
+      ( FILLER_2_54 VNB ) ( FILLER_2_54 VGND ) ( FILLER_2_48 VNB ) ( FILLER_2_48 VGND ) ( FILLER_2_44 VNB ) ( FILLER_2_44 VGND ) ( FILLER_2_32 VNB ) ( FILLER_2_32 VGND )
+      ( FILLER_2_27 VNB ) ( FILLER_2_27 VGND ) ( FILLER_2_15 VNB ) ( FILLER_2_15 VGND ) ( FILLER_2_3 VNB ) ( FILLER_2_3 VGND ) ( FILLER_1_116 VNB ) ( FILLER_1_116 VGND )
+      ( FILLER_1_104 VNB ) ( FILLER_1_104 VGND ) ( FILLER_1_92 VNB ) ( FILLER_1_92 VGND ) ( FILLER_1_80 VNB ) ( FILLER_1_80 VGND ) ( FILLER_1_67 VNB ) ( FILLER_1_67 VGND )
+      ( FILLER_1_59 VNB ) ( FILLER_1_59 VGND ) ( FILLER_1_51 VNB ) ( FILLER_1_51 VGND ) ( FILLER_1_39 VNB ) ( FILLER_1_39 VGND ) ( FILLER_1_27 VNB ) ( FILLER_1_27 VGND )
+      ( FILLER_1_15 VNB ) ( FILLER_1_15 VGND ) ( FILLER_1_3 VNB ) ( FILLER_1_3 VGND ) ( FILLER_0_118 VNB ) ( FILLER_0_118 VGND ) ( FILLER_0_106 VNB ) ( FILLER_0_106 VGND )
+      ( FILLER_0_94 VNB ) ( FILLER_0_94 VGND ) ( FILLER_0_87 VNB ) ( FILLER_0_87 VGND ) ( FILLER_0_75 VNB ) ( FILLER_0_75 VGND ) ( FILLER_0_63 VNB ) ( FILLER_0_63 VGND )
+      ( FILLER_0_56 VNB ) ( FILLER_0_56 VGND ) ( FILLER_0_44 VNB ) ( FILLER_0_44 VGND ) ( FILLER_0_32 VNB ) ( FILLER_0_32 VGND ) ( FILLER_0_27 VNB ) ( FILLER_0_27 VGND )
+      ( FILLER_0_15 VNB ) ( FILLER_0_15 VGND ) ( FILLER_0_3 VNB ) ( FILLER_0_3 VGND ) ( PHY_72 VGND ) ( PHY_71 VGND ) ( PHY_70 VGND ) ( PHY_69 VGND )
+      ( PHY_68 VGND ) ( PHY_67 VGND ) ( PHY_66 VGND ) ( PHY_65 VGND ) ( PHY_64 VGND ) ( PHY_63 VGND ) ( PHY_62 VGND ) ( PHY_61 VGND )
+      ( PHY_60 VGND ) ( PHY_59 VGND ) ( PHY_58 VGND ) ( PHY_57 VGND ) ( PHY_56 VGND ) ( PHY_55 VGND ) ( PHY_54 VGND ) ( PHY_53 VGND )
+      ( PHY_52 VGND ) ( PHY_51 VGND ) ( PHY_50 VGND ) ( PHY_49 VGND ) ( PHY_48 VGND ) ( PHY_47 VGND ) ( PHY_46 VGND ) ( PHY_45 VGND )
+      ( PHY_44 VGND ) ( PHY_43 VGND ) ( PHY_42 VGND ) ( PHY_41 VGND ) ( PHY_40 VGND ) ( PHY_39 VNB ) ( PHY_39 VGND ) ( PHY_38 VNB )
+      ( PHY_38 VGND ) ( PHY_37 VNB ) ( PHY_37 VGND ) ( PHY_36 VNB ) ( PHY_36 VGND ) ( PHY_35 VNB ) ( PHY_35 VGND ) ( PHY_34 VNB )
+      ( PHY_34 VGND ) ( PHY_33 VNB ) ( PHY_33 VGND ) ( PHY_32 VNB ) ( PHY_32 VGND ) ( PHY_31 VNB ) ( PHY_31 VGND ) ( PHY_30 VNB )
+      ( PHY_30 VGND ) ( PHY_29 VNB ) ( PHY_29 VGND ) ( PHY_28 VNB ) ( PHY_28 VGND ) ( PHY_27 VNB ) ( PHY_27 VGND ) ( PHY_26 VNB )
+      ( PHY_26 VGND ) ( PHY_25 VNB ) ( PHY_25 VGND ) ( PHY_24 VNB ) ( PHY_24 VGND ) ( PHY_23 VNB ) ( PHY_23 VGND ) ( PHY_22 VNB )
+      ( PHY_22 VGND ) ( PHY_21 VNB ) ( PHY_21 VGND ) ( PHY_20 VNB ) ( PHY_20 VGND ) ( PHY_19 VNB ) ( PHY_19 VGND ) ( PHY_18 VNB )
+      ( PHY_18 VGND ) ( PHY_17 VNB ) ( PHY_17 VGND ) ( PHY_16 VNB ) ( PHY_16 VGND ) ( PHY_15 VNB ) ( PHY_15 VGND ) ( PHY_14 VNB )
+      ( PHY_14 VGND ) ( PHY_13 VNB ) ( PHY_13 VGND ) ( PHY_12 VNB ) ( PHY_12 VGND ) ( PHY_11 VNB ) ( PHY_11 VGND ) ( PHY_10 VNB )
+      ( PHY_10 VGND ) ( PHY_9 VNB ) ( PHY_9 VGND ) ( PHY_8 VNB ) ( PHY_8 VGND ) ( PHY_7 VNB ) ( PHY_7 VGND ) ( PHY_6 VNB )
+      ( PHY_6 VGND ) ( PHY_5 VNB ) ( PHY_5 VGND ) ( PHY_4 VNB ) ( PHY_4 VGND ) ( PHY_3 VNB ) ( PHY_3 VGND ) ( PHY_2 VNB )
+      ( PHY_2 VGND ) ( PHY_1 VNB ) ( PHY_1 VGND ) ( PHY_0 VNB ) ( PHY_0 VGND ) ( _184_ VNB ) ( _184_ VGND ) ( _183_ VNB )
+      ( _183_ VGND ) ( _182_ VNB ) ( _182_ VGND ) ( _181_ VNB ) ( _181_ VGND ) ( _180_ VNB ) ( _180_ VGND ) ( _179_ VNB )
+      ( _179_ VGND ) ( _178_ VNB ) ( _178_ VGND ) ( _177_ VNB ) ( _177_ VGND ) ( _176_ VNB ) ( _176_ VGND ) ( _175_ VNB )
+      ( _175_ VGND ) ( _174_ VNB ) ( _174_ VGND ) ( _173_ VNB ) ( _173_ VGND ) ( _172_ VNB ) ( _172_ VGND ) ( _171_ VNB )
+      ( _171_ VGND ) ( _170_ VNB ) ( _170_ VGND ) ( _169_ VNB ) ( _169_ VGND ) ( _168_ VNB ) ( _168_ VGND ) ( _167_ VNB )
+      ( _167_ VGND ) ( _166_ VNB ) ( _166_ VGND ) ( _165_ VNB ) ( _165_ VGND ) ( _164_ VNB ) ( _164_ VGND ) ( _163_ VNB )
+      ( _163_ VGND ) ( _162_ VNB ) ( _162_ VGND ) ( _161_ VNB ) ( _161_ VGND ) ( _160_ VNB ) ( _160_ VGND ) ( _159_ VNB )
+      ( _159_ VGND ) ( _158_ VNB ) ( _158_ VGND ) ( _157_ VNB ) ( _157_ VGND ) ( _156_ VNB ) ( _156_ VGND ) ( _155_ VNB )
+      ( _155_ VGND ) ( _154_ VNB ) ( _154_ VGND ) ( _153_ VNB ) ( _153_ VGND ) ( _152_ VNB ) ( _152_ VGND ) ( _151_ VNB )
+      ( _151_ VGND ) ( _150_ VNB ) ( _150_ VGND ) ( _149_ VNB ) ( _149_ VGND ) ( _148_ VNB ) ( _148_ VGND ) ( _147_ VNB )
+      ( _147_ VGND ) ( _146_ VNB ) ( _146_ VGND ) ( _145_ VNB ) ( _145_ VGND ) ( _144_ VNB ) ( _144_ VGND ) ( _143_ VNB )
+      ( _143_ VGND ) ( _142_ VNB ) ( _142_ VGND ) ( _141_ VNB ) ( _141_ VGND ) ( _140_ VNB ) ( _140_ VGND ) ( _139_ VNB )
+      ( _139_ VGND ) ( _138_ VNB ) ( _138_ VGND ) ( _137_ VNB ) ( _137_ VGND ) ( _136_ VNB ) ( _136_ VGND ) ( _135_ VNB )
+      ( _135_ VGND ) ( _134_ VNB ) ( _134_ VGND ) ( _133_ VNB ) ( _133_ VGND ) ( _132_ VNB ) ( _132_ VGND ) ( _131_ VNB )
+      ( _131_ VGND ) ( _130_ VNB ) ( _130_ VGND ) ( _129_ VNB ) ( _129_ VGND ) ( _128_ VNB ) ( _128_ VGND ) ( _127_ VNB )
+      ( _127_ VGND ) ( _126_ VNB ) ( _126_ VGND ) ( _125_ VNB ) ( _125_ VGND ) ( _124_ VNB ) ( _124_ VGND ) ( _123_ VNB )
+      ( _123_ VGND ) ( _122_ VNB ) ( _122_ VGND ) ( _121_ VNB ) ( _121_ VGND ) ( _120_ VNB ) ( _120_ VGND ) ( _119_ VNB )
+      ( _119_ VGND ) ( _118_ VNB ) ( _118_ VGND ) ( _117_ VNB ) ( _117_ VGND ) ( _116_ VNB ) ( _116_ VGND ) ( _115_ VNB )
+      ( _115_ VGND ) ( _114_ VNB ) ( _114_ VGND ) ( _113_ VNB ) ( _113_ VGND ) ( _112_ VNB ) ( _112_ VGND ) ( _111_ VNB )
+      ( _111_ VGND ) ( _110_ VNB ) ( _110_ VGND ) ( _109_ VNB ) ( _109_ VGND ) ( _108_ VNB ) ( _108_ VGND ) ( _107_ VNB )
+      ( _107_ VGND ) ( _106_ VNB ) ( _106_ VGND ) ( _105_ VNB ) ( _105_ VGND ) ( _104_ VNB ) ( _104_ VGND ) ( _103_ VNB )
+      ( _103_ VGND ) ( _102_ VNB ) ( _102_ VGND ) ( _101_ VNB ) ( _101_ VGND ) ( _100_ VNB ) ( _100_ VGND ) ( _099_ VNB )
+      ( _099_ VGND ) ( _098_ VNB ) ( _098_ VGND ) ( _097_ VNB ) ( _097_ VGND ) ( _096_ VNB ) ( _096_ VGND ) ( _095_ VNB )
+      ( _095_ VGND ) ( _094_ VNB ) ( _094_ VGND ) ( _093_ VNB ) ( _093_ VGND ) ( _092_ VNB ) ( _092_ VGND ) ( _091_ VNB )
+      ( _091_ VGND ) ( _090_ VNB ) ( _090_ VGND ) ( _089_ VNB ) ( _089_ VGND ) ( _088_ VNB ) ( _088_ VGND ) ( _087_ VNB )
+      ( _087_ VGND ) ( _086_ VNB ) ( _086_ VGND ) ( _085_ VNB ) ( _085_ VGND ) ( _084_ VNB ) ( _084_ VGND ) ( _083_ VNB )
+      ( _083_ VGND ) ( _082_ VNB ) ( _082_ VGND ) ( _081_ VNB ) ( _081_ VGND ) ( _080_ VNB ) ( _080_ VGND ) ( _079_ VNB )
+      ( _079_ VGND ) + USE GROUND ;
+    - _000_ ( _132_ B ) ( _124_ X ) + USE SIGNAL
+      + ROUTED met2 ( 35190 42670 ) ( * 45220 )
+      NEW met3 ( 31740 45220 ) ( 35190 * )
+      NEW met4 ( 31740 45220 ) ( * 48620 )
+      NEW met3 ( 25530 48620 ) ( 31740 * )
+      NEW met2 ( 25530 48620 ) ( * 49470 )
+      NEW met1 ( 15410 49470 ) ( 25530 * )
+      NEW li1 ( 35190 42670 ) L1M1_PR_MR
+      NEW met1 ( 35190 42670 ) M1M2_PR
+      NEW met2 ( 35190 45220 ) via2_FR
+      NEW met3 ( 31740 45220 ) M3M4_PR_M
+      NEW met3 ( 31740 48620 ) M3M4_PR_M
+      NEW met2 ( 25530 48620 ) via2_FR
+      NEW met1 ( 25530 49470 ) M1M2_PR
+      NEW li1 ( 15410 49470 ) L1M1_PR_MR
+      NEW met1 ( 35190 42670 ) RECT ( -355 -70 0 70 )  ;
+    - _001_ ( _127_ A ) ( _126_ X ) + USE SIGNAL
+      + ROUTED met2 ( 51290 39950 ) ( * 52870 )
+      NEW met1 ( 51290 52870 ) ( 53130 * )
+      NEW li1 ( 51290 39950 ) L1M1_PR_MR
+      NEW met1 ( 51290 39950 ) M1M2_PR
+      NEW met1 ( 51290 52870 ) M1M2_PR
+      NEW li1 ( 53130 52870 ) L1M1_PR_MR
+      NEW met1 ( 51290 39950 ) RECT ( -355 -70 0 70 )  ;
+    - _002_ ( _146_ A ) ( _130_ B2 ) ( _128_ A ) ( _127_ X ) + USE SIGNAL
+      + ROUTED met1 ( 49450 64090 ) ( 52210 * )
+      NEW met1 ( 52210 63750 ) ( * 64090 )
+      NEW met2 ( 50830 39100 ) ( * 47430 )
+      NEW met2 ( 50830 39100 ) ( 51290 * )
+      NEW met2 ( 51290 31450 ) ( * 39100 )
+      NEW met1 ( 51290 31450 ) ( 54970 * )
+      NEW met1 ( 54970 31110 ) ( * 31450 )
+      NEW met1 ( 50830 52530 ) ( 54970 * )
+      NEW met2 ( 50830 47430 ) ( * 52530 )
+      NEW met1 ( 49450 52530 ) ( 50830 * )
+      NEW met2 ( 49450 52530 ) ( * 64090 )
+      NEW met1 ( 49450 64090 ) M1M2_PR
+      NEW li1 ( 52210 63750 ) L1M1_PR_MR
+      NEW li1 ( 50830 47430 ) L1M1_PR_MR
+      NEW met1 ( 50830 47430 ) M1M2_PR
+      NEW met1 ( 51290 31450 ) M1M2_PR
+      NEW li1 ( 54970 31110 ) L1M1_PR_MR
+      NEW li1 ( 54970 52530 ) L1M1_PR_MR
+      NEW met1 ( 50830 52530 ) M1M2_PR
+      NEW met1 ( 49450 52530 ) M1M2_PR
+      NEW met1 ( 50830 47430 ) RECT ( -355 -70 0 70 )  ;
+    - _003_ ( _147_ B ) ( _130_ A1 ) ( _128_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 53590 28730 ) ( * 47090 )
+      NEW met1 ( 53590 28730 ) ( 54510 * )
+      NEW met2 ( 53590 47090 ) ( 54510 * )
+      NEW met2 ( 54510 47090 ) ( * 63750 )
+      NEW li1 ( 54510 63750 ) L1M1_PR_MR
+      NEW met1 ( 54510 63750 ) M1M2_PR
+      NEW li1 ( 53590 47090 ) L1M1_PR_MR
+      NEW met1 ( 53590 47090 ) M1M2_PR
+      NEW met1 ( 53590 28730 ) M1M2_PR
+      NEW li1 ( 54510 28730 ) L1M1_PR_MR
+      NEW met1 ( 54510 63750 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 53590 47090 ) RECT ( -355 -70 0 70 )  ;
+    - _004_ ( _132_ C ) ( _131_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 31050 41990 ) ( 34730 * )
+      NEW met2 ( 31050 41990 ) ( * 47770 )
+      NEW met1 ( 31050 47770 ) ( * 48450 )
+      NEW met1 ( 18170 48450 ) ( 31050 * )
+      NEW met2 ( 18170 48450 ) ( * 60350 )
+      NEW met1 ( 14490 60350 ) ( 18170 * )
+      NEW li1 ( 34730 41990 ) L1M1_PR_MR
+      NEW met1 ( 31050 41990 ) M1M2_PR
+      NEW met1 ( 31050 47770 ) M1M2_PR
+      NEW met1 ( 18170 48450 ) M1M2_PR
+      NEW met1 ( 18170 60350 ) M1M2_PR
+      NEW li1 ( 14490 60350 ) L1M1_PR_MR ;
+    - _005_ ( _177_ A ) ( _133_ B ) ( _132_ X ) + USE SIGNAL
+      + ROUTED met1 ( 37030 38590 ) ( 37950 * )
+      NEW met2 ( 37950 23290 ) ( * 38590 )
+      NEW met1 ( 37950 41650 ) ( 38410 * )
+      NEW met2 ( 37950 38590 ) ( * 41650 )
+      NEW li1 ( 37030 38590 ) L1M1_PR_MR
+      NEW met1 ( 37950 38590 ) M1M2_PR
+      NEW li1 ( 37950 23290 ) L1M1_PR_MR
+      NEW met1 ( 37950 23290 ) M1M2_PR
+      NEW li1 ( 38410 41650 ) L1M1_PR_MR
+      NEW met1 ( 37950 41650 ) M1M2_PR
+      NEW met1 ( 37950 23290 ) RECT ( -355 -70 0 70 )  ;
+    - _006_ ( _134_ B ) ( _133_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 38410 23970 ) ( 44850 * )
+      NEW met2 ( 44850 23970 ) ( * 25670 )
+      NEW li1 ( 38410 23970 ) L1M1_PR_MR
+      NEW met1 ( 44850 23970 ) M1M2_PR
+      NEW li1 ( 44850 25670 ) L1M1_PR_MR
+      NEW met1 ( 44850 25670 ) M1M2_PR
+      NEW met1 ( 44850 25670 ) RECT ( -355 -70 0 70 )  ;
+    - _007_ ( _135_ B ) ( _134_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 43470 37060 ) ( 43930 * )
+      NEW met2 ( 43470 36380 ) ( * 37060 )
+      NEW met3 ( 43470 36380 ) ( 44390 * )
+      NEW met3 ( 44390 33660 ) ( * 36380 )
+      NEW met2 ( 44390 26350 ) ( * 33660 )
+      NEW met1 ( 44390 26350 ) ( 45310 * )
+      NEW met1 ( 20930 53210 ) ( 43930 * )
+      NEW met1 ( 20930 52870 ) ( * 53210 )
+      NEW met2 ( 43930 37060 ) ( * 53210 )
+      NEW met2 ( 43470 36380 ) via2_FR
+      NEW met2 ( 44390 33660 ) via2_FR
+      NEW met1 ( 44390 26350 ) M1M2_PR
+      NEW li1 ( 45310 26350 ) L1M1_PR_MR
+      NEW met1 ( 43930 53210 ) M1M2_PR
+      NEW li1 ( 20930 52870 ) L1M1_PR_MR ;
+    - _008_ ( _137_ B ) ( _136_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 17250 36550 ) ( * 37230 )
+      NEW met1 ( 17250 37230 ) ( 29210 * )
+      NEW met2 ( 29210 37060 ) ( * 37230 )
+      NEW met2 ( 29210 37060 ) ( 29670 * )
+      NEW met2 ( 29670 28050 ) ( * 37060 )
+      NEW met1 ( 29670 28050 ) ( 35650 * )
+      NEW met1 ( 35650 28050 ) ( * 29410 )
+      NEW met1 ( 35650 29410 ) ( 48990 * )
+      NEW li1 ( 17250 36550 ) L1M1_PR_MR
+      NEW met1 ( 29210 37230 ) M1M2_PR
+      NEW met1 ( 29670 28050 ) M1M2_PR
+      NEW li1 ( 48990 29410 ) L1M1_PR_MR ;
+    - _009_ ( _167_ A ) ( _165_ A ) ( _139_ B ) ( _138_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 13110 47090 ) ( * 55930 )
+      NEW met1 ( 13110 55930 ) ( 13340 * )
+      NEW met1 ( 12190 31450 ) ( 13110 * )
+      NEW met2 ( 13110 31450 ) ( * 47090 )
+      NEW met2 ( 13110 28390 ) ( * 31450 )
+      NEW met1 ( 14030 47090 ) ( * 47430 )
+      NEW met1 ( 14950 28390 ) ( * 28730 )
+      NEW met1 ( 13110 28390 ) ( 14950 * )
+      NEW met1 ( 13110 47090 ) ( 14030 * )
+      NEW met1 ( 13110 47090 ) M1M2_PR
+      NEW met1 ( 13110 55930 ) M1M2_PR
+      NEW li1 ( 13340 55930 ) L1M1_PR_MR
+      NEW li1 ( 12190 31450 ) L1M1_PR_MR
+      NEW met1 ( 13110 31450 ) M1M2_PR
+      NEW met1 ( 13110 28390 ) M1M2_PR
+      NEW li1 ( 14030 47430 ) L1M1_PR_MR
+      NEW li1 ( 14950 28730 ) L1M1_PR_MR ;
+    - _010_ ( _180_ D ) ( _169_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL
+      + ROUTED met1 ( 22310 55590 ) ( 30130 * )
+      NEW met1 ( 30130 55590 ) ( * 55930 )
+      NEW met2 ( 27370 18190 ) ( * 28730 )
+      NEW met1 ( 27370 18190 ) ( 29210 * )
+      NEW met1 ( 29210 17850 ) ( * 18190 )
+      NEW met1 ( 22310 46750 ) ( 25530 * )
+      NEW met2 ( 25530 28730 ) ( * 46750 )
+      NEW met1 ( 25530 28730 ) ( 27370 * )
+      NEW met1 ( 14950 46750 ) ( 22310 * )
+      NEW met2 ( 22310 46750 ) ( * 55590 )
+      NEW met1 ( 22310 55590 ) M1M2_PR
+      NEW li1 ( 30130 55930 ) L1M1_PR_MR
+      NEW li1 ( 27370 28730 ) L1M1_PR_MR
+      NEW met1 ( 27370 28730 ) M1M2_PR
+      NEW met1 ( 27370 18190 ) M1M2_PR
+      NEW li1 ( 29210 17850 ) L1M1_PR_MR
+      NEW met1 ( 22310 46750 ) M1M2_PR
+      NEW met1 ( 25530 46750 ) M1M2_PR
+      NEW met1 ( 25530 28730 ) M1M2_PR
+      NEW li1 ( 14950 46750 ) L1M1_PR_MR
+      NEW met1 ( 27370 28730 ) RECT ( -355 -70 0 70 )  ;
+    - _011_ ( _144_ A ) ( _140_ X ) + USE SIGNAL
+      + ROUTED met2 ( 29210 29070 ) ( * 31110 )
+      NEW met1 ( 29210 31110 ) ( 35650 * )
+      NEW li1 ( 29210 29070 ) L1M1_PR_MR
+      NEW met1 ( 29210 29070 ) M1M2_PR
+      NEW met1 ( 29210 31110 ) M1M2_PR
+      NEW li1 ( 35650 31110 ) L1M1_PR_MR
+      NEW met1 ( 29210 29070 ) RECT ( -355 -70 0 70 )  ;
+    - _012_ ( _143_ B ) ( _141_ X ) + USE SIGNAL
+      + ROUTED met1 ( 21850 40290 ) ( 25990 * )
+      NEW met2 ( 21850 40290 ) ( * 43010 )
+      NEW li1 ( 25990 40290 ) L1M1_PR_MR
+      NEW met1 ( 21850 40290 ) M1M2_PR
+      NEW li1 ( 21850 43010 ) L1M1_PR_MR
+      NEW met1 ( 21850 43010 ) M1M2_PR
+      NEW met1 ( 21850 43010 ) RECT ( -355 -70 0 70 )  ;
+    - _013_ ( _143_ C ) ( _142_ X ) + USE SIGNAL
+      + ROUTED met1 ( 20930 41650 ) ( * 41990 )
+      NEW met1 ( 20930 41650 ) ( 23690 * )
+      NEW met2 ( 23690 41650 ) ( * 44710 )
+      NEW met1 ( 23690 44710 ) ( 30130 * )
+      NEW met2 ( 30130 44710 ) ( * 50490 )
+      NEW met1 ( 30130 50490 ) ( 31510 * )
+      NEW li1 ( 20930 41990 ) L1M1_PR_MR
+      NEW met1 ( 23690 41650 ) M1M2_PR
+      NEW met1 ( 23690 44710 ) M1M2_PR
+      NEW met1 ( 30130 44710 ) M1M2_PR
+      NEW met1 ( 30130 50490 ) M1M2_PR
+      NEW li1 ( 31510 50490 ) L1M1_PR_MR ;
+    - _014_ ( _144_ B ) ( _143_ X ) + USE SIGNAL
+      + ROUTED met2 ( 36570 31110 ) ( * 42330 )
+      NEW met1 ( 31970 42330 ) ( 36570 * )
+      NEW met1 ( 31970 42330 ) ( * 42670 )
+      NEW met1 ( 23230 42670 ) ( 31970 * )
+      NEW met1 ( 23230 42670 ) ( * 43010 )
+      NEW met1 ( 22770 43010 ) ( 23230 * )
+      NEW li1 ( 36570 31110 ) L1M1_PR_MR
+      NEW met1 ( 36570 31110 ) M1M2_PR
+      NEW met1 ( 36570 42330 ) M1M2_PR
+      NEW li1 ( 22770 43010 ) L1M1_PR_MR
+      NEW met1 ( 36570 31110 ) RECT ( -355 -70 0 70 )  ;
+    - _015_ ( _145_ A ) ( _144_ X ) + USE SIGNAL
+      + ROUTED met2 ( 7130 63580 ) ( * 63750 )
+      NEW met3 ( 7130 63580 ) ( 38180 * )
+      NEW met2 ( 37490 32130 ) ( * 32300 )
+      NEW met3 ( 37490 32300 ) ( 38180 * )
+      NEW met4 ( 38180 32300 ) ( * 63580 )
+      NEW met2 ( 7130 63580 ) via2_FR
+      NEW li1 ( 7130 63750 ) L1M1_PR_MR
+      NEW met1 ( 7130 63750 ) M1M2_PR
+      NEW met3 ( 38180 63580 ) M3M4_PR_M
+      NEW li1 ( 37490 32130 ) L1M1_PR_MR
+      NEW met1 ( 37490 32130 ) M1M2_PR
+      NEW met2 ( 37490 32300 ) via2_FR
+      NEW met3 ( 38180 32300 ) M3M4_PR_M
+      NEW met1 ( 7130 63750 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 37490 32130 ) RECT ( -355 -70 0 70 )  ;
+    - _016_ ( _166_ A ) ( _149_ A1 ) ( _146_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 47150 31790 ) ( 54510 * )
+      NEW met2 ( 47150 31790 ) ( * 39780 )
+      NEW met2 ( 47150 39780 ) ( 47610 * )
+      NEW met2 ( 47610 39780 ) ( * 54910 )
+      NEW met1 ( 47610 54910 ) ( * 55250 )
+      NEW met1 ( 40250 55250 ) ( 47610 * )
+      NEW met1 ( 40250 55250 ) ( * 55930 )
+      NEW met1 ( 43930 20230 ) ( 45310 * )
+      NEW met2 ( 45310 20230 ) ( * 31790 )
+      NEW met1 ( 45310 31790 ) ( 47150 * )
+      NEW li1 ( 54510 31790 ) L1M1_PR_MR
+      NEW met1 ( 47150 31790 ) M1M2_PR
+      NEW met1 ( 47610 54910 ) M1M2_PR
+      NEW li1 ( 40250 55930 ) L1M1_PR_MR
+      NEW li1 ( 43930 20230 ) L1M1_PR_MR
+      NEW met1 ( 45310 20230 ) M1M2_PR
+      NEW met1 ( 45310 31790 ) M1M2_PR ;
+    - _017_ ( _166_ B ) ( _149_ A2 ) ( _147_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 43010 20230 ) ( * 20570 )
+      NEW met1 ( 43010 20570 ) ( 46690 * )
+      NEW met2 ( 46690 20570 ) ( * 55930 )
+      NEW met1 ( 41170 55930 ) ( 46690 * )
+      NEW met1 ( 46690 28050 ) ( 54970 * )
+      NEW li1 ( 43010 20230 ) L1M1_PR_MR
+      NEW met1 ( 46690 20570 ) M1M2_PR
+      NEW met1 ( 46690 55930 ) M1M2_PR
+      NEW li1 ( 41170 55930 ) L1M1_PR_MR
+      NEW li1 ( 54970 28050 ) L1M1_PR_MR
+      NEW met1 ( 46690 28050 ) M1M2_PR
+      NEW met2 ( 46690 28050 ) RECT ( -70 -485 70 0 )  ;
+    - _018_ ( _149_ C1 ) ( _148_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 29670 26010 ) ( 34730 * )
+      NEW met1 ( 34730 48450 ) ( 37030 * )
+      NEW met2 ( 37030 48450 ) ( * 55930 )
+      NEW met1 ( 37030 55930 ) ( 38410 * )
+      NEW met2 ( 34730 26010 ) ( * 48450 )
+      NEW li1 ( 29670 26010 ) L1M1_PR_MR
+      NEW met1 ( 34730 26010 ) M1M2_PR
+      NEW met1 ( 34730 48450 ) M1M2_PR
+      NEW met1 ( 37030 48450 ) M1M2_PR
+      NEW met1 ( 37030 55930 ) M1M2_PR
+      NEW li1 ( 38410 55930 ) L1M1_PR_MR ;
+    - _019_ ( _177_ C ) ( _150_ B ) ( _149_ X ) + USE SIGNAL
+      + ROUTED met2 ( 38410 39610 ) ( * 55250 )
+      NEW met1 ( 35650 55250 ) ( 38410 * )
+      NEW met1 ( 37950 20230 ) ( 38410 * )
+      NEW met2 ( 38410 20230 ) ( * 39610 )
+      NEW li1 ( 38410 39610 ) L1M1_PR_MR
+      NEW met1 ( 38410 39610 ) M1M2_PR
+      NEW met1 ( 38410 55250 ) M1M2_PR
+      NEW li1 ( 35650 55250 ) L1M1_PR_MR
+      NEW li1 ( 37950 20230 ) L1M1_PR_MR
+      NEW met1 ( 38410 20230 ) M1M2_PR
+      NEW met1 ( 38410 39610 ) RECT ( 0 -70 355 70 )  ;
+    - _020_ ( _151_ B ) ( _150_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 23230 30430 ) ( * 31110 )
+      NEW met1 ( 23230 30430 ) ( 37030 * )
+      NEW met2 ( 37030 19550 ) ( * 30430 )
+      NEW li1 ( 23230 31110 ) L1M1_PR_MR
+      NEW met1 ( 37030 30430 ) M1M2_PR
+      NEW li1 ( 37030 19550 ) L1M1_PR_MR
+      NEW met1 ( 37030 19550 ) M1M2_PR
+      NEW met1 ( 37030 19550 ) RECT ( -355 -70 0 70 )  ;
+    - _021_ ( _152_ B ) ( _151_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 15410 34170 ) ( 15870 * )
+      NEW met2 ( 15870 31790 ) ( * 34170 )
+      NEW met1 ( 15870 31790 ) ( 23230 * )
+      NEW li1 ( 15410 34170 ) L1M1_PR_MR
+      NEW met1 ( 15870 34170 ) M1M2_PR
+      NEW met1 ( 15870 31790 ) M1M2_PR
+      NEW li1 ( 23230 31790 ) L1M1_PR_MR ;
+    - _022_ ( _160_ A ) ( _154_ A ) ( _153_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 8510 39610 ) ( * 39780 )
+      NEW met3 ( 8510 39780 ) ( 28290 * )
+      NEW met2 ( 28290 39780 ) ( * 46750 )
+      NEW met1 ( 8510 36550 ) ( 9890 * )
+      NEW met2 ( 8510 36550 ) ( * 39610 )
+      NEW li1 ( 8510 39610 ) L1M1_PR_MR
+      NEW met1 ( 8510 39610 ) M1M2_PR
+      NEW met2 ( 8510 39780 ) via2_FR
+      NEW met2 ( 28290 39780 ) via2_FR
+      NEW li1 ( 28290 46750 ) L1M1_PR_MR
+      NEW met1 ( 28290 46750 ) M1M2_PR
+      NEW li1 ( 9890 36550 ) L1M1_PR_MR
+      NEW met1 ( 8510 36550 ) M1M2_PR
+      NEW met1 ( 8510 39610 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 28290 46750 ) RECT ( -355 -70 0 70 )  ;
+    - _023_ ( _155_ B ) ( _154_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 22770 23630 ) ( * 39270 )
+      NEW met1 ( 22770 23630 ) ( 31970 * )
+      NEW met1 ( 31970 23290 ) ( * 23630 )
+      NEW met1 ( 9890 39270 ) ( 22770 * )
+      NEW li1 ( 9890 39270 ) L1M1_PR_MR
+      NEW met1 ( 22770 39270 ) M1M2_PR
+      NEW met1 ( 22770 23630 ) M1M2_PR
+      NEW li1 ( 31970 23290 ) L1M1_PR_MR ;
+    - _024_ ( _156_ B ) ( _155_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 22770 28730 ) ( * 29070 )
+      NEW met1 ( 22770 29070 ) ( 27830 * )
+      NEW met2 ( 27830 23970 ) ( * 29070 )
+      NEW met1 ( 27830 23970 ) ( 31510 * )
+      NEW li1 ( 22770 28730 ) L1M1_PR_MR
+      NEW met1 ( 27830 29070 ) M1M2_PR
+      NEW met1 ( 27830 23970 ) M1M2_PR
+      NEW li1 ( 31510 23970 ) L1M1_PR_MR ;
+    - _025_ ( _159_ A ) ( _157_ X ) + USE SIGNAL
+      + ROUTED met2 ( 50830 25670 ) ( * 35870 )
+      NEW met1 ( 50830 35870 ) ( 52670 * )
+      NEW li1 ( 50830 25670 ) L1M1_PR_MR
+      NEW met1 ( 50830 25670 ) M1M2_PR
+      NEW met1 ( 50830 35870 ) M1M2_PR
+      NEW li1 ( 52670 35870 ) L1M1_PR_MR
+      NEW met1 ( 50830 25670 ) RECT ( -355 -70 0 70 )  ;
+    - _026_ ( _159_ B ) ( _158_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 49910 25330 ) ( 50370 * )
+      NEW met2 ( 50370 25330 ) ( * 41310 )
+      NEW met1 ( 50370 41310 ) ( 50830 * )
+      NEW li1 ( 49910 25330 ) L1M1_PR_MR
+      NEW met1 ( 50370 25330 ) M1M2_PR
+      NEW met1 ( 50370 41310 ) M1M2_PR
+      NEW li1 ( 50830 41310 ) L1M1_PR_MR ;
+    - _027_ ( _161_ B ) ( _160_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 11730 36210 ) ( * 36380 )
+      NEW met2 ( 35190 17850 ) ( * 36380 )
+      NEW met3 ( 11730 36380 ) ( 35190 * )
+      NEW li1 ( 11730 36210 ) L1M1_PR_MR
+      NEW met1 ( 11730 36210 ) M1M2_PR
+      NEW met2 ( 11730 36380 ) via2_FR
+      NEW met2 ( 35190 36380 ) via2_FR
+      NEW li1 ( 35190 17850 ) L1M1_PR_MR
+      NEW met1 ( 35190 17850 ) M1M2_PR
+      NEW met1 ( 11730 36210 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 35190 17850 ) RECT ( -355 -70 0 70 )  ;
+    - _028_ ( _162_ B ) ( _161_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 26450 23290 ) ( 27830 * )
+      NEW met2 ( 27830 18530 ) ( * 23290 )
+      NEW met1 ( 27830 18530 ) ( 34730 * )
+      NEW li1 ( 26450 23290 ) L1M1_PR_MR
+      NEW met1 ( 27830 23290 ) M1M2_PR
+      NEW met1 ( 27830 18530 ) M1M2_PR
+      NEW li1 ( 34730 18530 ) L1M1_PR_MR ;
+    - _029_ ( _164_ B ) ( _163_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 9430 33830 ) ( * 34170 )
+      NEW met2 ( 23230 26350 ) ( * 33830 )
+      NEW met1 ( 9430 33830 ) ( 23230 * )
+      NEW li1 ( 9430 34170 ) L1M1_PR_MR
+      NEW met1 ( 23230 33830 ) M1M2_PR
+      NEW li1 ( 23230 26350 ) L1M1_PR_MR
+      NEW met1 ( 23230 26350 ) M1M2_PR
+      NEW met1 ( 23230 26350 ) RECT ( -355 -70 0 70 )  ;
+    - _030_ ( _168_ A ) ( _165_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 15870 28390 ) ( 28750 * )
+      NEW met2 ( 28750 20230 ) ( * 28390 )
+      NEW li1 ( 15870 28390 ) L1M1_PR_MR
+      NEW met1 ( 28750 28390 ) M1M2_PR
+      NEW li1 ( 28750 20230 ) L1M1_PR_MR
+      NEW met1 ( 28750 20230 ) M1M2_PR
+      NEW met1 ( 28750 20230 ) RECT ( -355 -70 0 70 )  ;
+    - _031_ ( _168_ B ) ( _167_ X ) + USE SIGNAL
+      + ROUTED met1 ( 27830 19890 ) ( * 20230 )
+      NEW met1 ( 27830 19890 ) ( 28290 * )
+      NEW met1 ( 28290 19550 ) ( * 19890 )
+      NEW met1 ( 28290 19550 ) ( 31510 * )
+      NEW met2 ( 31510 19550 ) ( * 37570 )
+      NEW met1 ( 30130 37570 ) ( 31510 * )
+      NEW met3 ( 17710 43860 ) ( 30130 * )
+      NEW met2 ( 17710 43860 ) ( * 55590 )
+      NEW met1 ( 15870 55590 ) ( 17710 * )
+      NEW met2 ( 30130 37570 ) ( * 43860 )
+      NEW li1 ( 27830 20230 ) L1M1_PR_MR
+      NEW met1 ( 31510 19550 ) M1M2_PR
+      NEW met1 ( 31510 37570 ) M1M2_PR
+      NEW met1 ( 30130 37570 ) M1M2_PR
+      NEW met2 ( 30130 43860 ) via2_FR
+      NEW met2 ( 17710 43860 ) via2_FR
+      NEW met1 ( 17710 55590 ) M1M2_PR
+      NEW li1 ( 15870 55590 ) L1M1_PR_MR ;
+    - _032_ ( _170_ B ) ( _169_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 35190 14790 ) ( * 17170 )
+      NEW met1 ( 29670 17170 ) ( 35190 * )
+      NEW li1 ( 35190 14790 ) L1M1_PR_MR
+      NEW met1 ( 35190 14790 ) M1M2_PR
+      NEW met1 ( 35190 17170 ) M1M2_PR
+      NEW li1 ( 29670 17170 ) L1M1_PR_MR
+      NEW met1 ( 35190 14790 ) RECT ( -355 -70 0 70 )  ;
+    - _033_ ( _172_ D ) ( _171_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 51750 58310 ) ( 54050 * )
+      NEW met2 ( 56350 18190 ) ( * 47770 )
+      NEW met1 ( 54050 47770 ) ( 56350 * )
+      NEW met1 ( 42090 18190 ) ( 56350 * )
+      NEW met2 ( 54050 47770 ) ( * 58310 )
+      NEW li1 ( 42090 18190 ) L1M1_PR_MR
+      NEW met1 ( 54050 58310 ) M1M2_PR
+      NEW li1 ( 51750 58310 ) L1M1_PR_MR
+      NEW met1 ( 56350 18190 ) M1M2_PR
+      NEW met1 ( 56350 47770 ) M1M2_PR
+      NEW met1 ( 54050 47770 ) M1M2_PR ;
+    - _034_ ( _173_ C1 ) ( _172_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 48530 57630 ) ( * 63410 )
+      NEW met1 ( 37950 63410 ) ( * 63750 )
+      NEW met1 ( 37950 63410 ) ( 48530 * )
+      NEW met1 ( 48530 63410 ) M1M2_PR
+      NEW li1 ( 48530 57630 ) L1M1_PR_MR
+      NEW met1 ( 48530 57630 ) M1M2_PR
+      NEW li1 ( 37950 63750 ) L1M1_PR_MR
+      NEW met1 ( 48530 57630 ) RECT ( -355 -70 0 70 )  ;
+    - _035_ ( _177_ B ) ( _175_ C ) ( _174_ X ) + USE SIGNAL
+      + ROUTED met1 ( 39330 36890 ) ( 49910 * )
+      NEW met2 ( 49910 23630 ) ( * 36890 )
+      NEW met1 ( 49910 23630 ) ( 50370 * )
+      NEW met1 ( 37950 39270 ) ( * 39610 )
+      NEW met1 ( 37950 39270 ) ( 39330 * )
+      NEW met2 ( 39330 36890 ) ( * 39270 )
+      NEW li1 ( 39330 36890 ) L1M1_PR_MR
+      NEW met1 ( 49910 36890 ) M1M2_PR
+      NEW met1 ( 49910 23630 ) M1M2_PR
+      NEW li1 ( 50370 23630 ) L1M1_PR_MR
+      NEW li1 ( 37950 39610 ) L1M1_PR_MR
+      NEW met1 ( 39330 39270 ) M1M2_PR
+      NEW met1 ( 39330 36890 ) M1M2_PR
+      NEW met1 ( 39330 36890 ) RECT ( -595 -70 0 70 )  ;
+    - _036_ ( _176_ B ) ( _175_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 40250 36210 ) ( 41170 * )
+      NEW met2 ( 41170 14790 ) ( * 36210 )
+      NEW li1 ( 40250 36210 ) L1M1_PR_MR
+      NEW met1 ( 41170 36210 ) M1M2_PR
+      NEW li1 ( 41170 14790 ) L1M1_PR_MR
+      NEW met1 ( 41170 14790 ) M1M2_PR
+      NEW met1 ( 41170 14790 ) RECT ( -355 -70 0 70 )  ;
+    - _037_ ( _178_ A ) ( _176_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 41630 15470 ) ( * 41990 )
+      NEW met1 ( 41630 41990 ) ( 42550 * )
+      NEW li1 ( 41630 15470 ) L1M1_PR_MR
+      NEW met1 ( 41630 15470 ) M1M2_PR
+      NEW met1 ( 41630 41990 ) M1M2_PR
+      NEW li1 ( 42550 41990 ) L1M1_PR_MR
+      NEW met1 ( 41630 15470 ) RECT ( -355 -70 0 70 )  ;
+    - _038_ ( _178_ B ) ( _177_ X ) + USE SIGNAL
+      + ROUTED met2 ( 40710 39950 ) ( * 41650 )
+      NEW met1 ( 40710 41650 ) ( 44850 * )
+      NEW met1 ( 44850 41650 ) ( * 41990 )
+      NEW li1 ( 40710 39950 ) L1M1_PR_MR
+      NEW met1 ( 40710 39950 ) M1M2_PR
+      NEW met1 ( 40710 41650 ) M1M2_PR
+      NEW li1 ( 44850 41990 ) L1M1_PR_MR
+      NEW met1 ( 40710 39950 ) RECT ( -355 -70 0 70 )  ;
+    - _039_ ( _180_ C ) ( _179_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 17710 25330 ) ( 31050 * )
+      NEW met2 ( 31050 25330 ) ( * 38590 )
+      NEW met1 ( 29670 38590 ) ( 31050 * )
+      NEW met2 ( 29670 38590 ) ( * 55930 )
+      NEW li1 ( 17710 25330 ) L1M1_PR_MR
+      NEW met1 ( 31050 25330 ) M1M2_PR
+      NEW met1 ( 31050 38590 ) M1M2_PR
+      NEW met1 ( 29670 38590 ) M1M2_PR
+      NEW li1 ( 29670 55930 ) L1M1_PR_MR
+      NEW met1 ( 29670 55930 ) M1M2_PR
+      NEW met1 ( 29670 55930 ) RECT ( -355 -70 0 70 )  ;
+    - _040_ ( _181_ C ) ( _180_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 7130 50490 ) ( * 55250 )
+      NEW met1 ( 7130 55250 ) ( 31050 * )
+      NEW met1 ( 31050 55250 ) ( * 55590 )
+      NEW li1 ( 7130 50490 ) L1M1_PR_MR
+      NEW met1 ( 7130 50490 ) M1M2_PR
+      NEW met1 ( 7130 55250 ) M1M2_PR
+      NEW li1 ( 31050 55590 ) L1M1_PR_MR
+      NEW met1 ( 7130 50490 ) RECT ( -355 -70 0 70 )  ;
+    - _041_ ( _117_ A ) ( _080_ C ) ( _079_ X ) + USE SIGNAL
+      + ROUTED met1 ( 27370 41650 ) ( 27830 * )
+      NEW met1 ( 22310 45390 ) ( 27830 * )
+      NEW met3 ( 27830 49300 ) ( * 49980 )
+      NEW met3 ( 27830 49980 ) ( 43010 * )
+      NEW met2 ( 43010 49980 ) ( * 50150 )
+      NEW met2 ( 27830 41650 ) ( * 49300 )
+      NEW li1 ( 27370 41650 ) L1M1_PR_MR
+      NEW met1 ( 27830 41650 ) M1M2_PR
+      NEW li1 ( 22310 45390 ) L1M1_PR_MR
+      NEW met1 ( 27830 45390 ) M1M2_PR
+      NEW met2 ( 27830 49300 ) via2_FR
+      NEW met2 ( 43010 49980 ) via2_FR
+      NEW li1 ( 43010 50150 ) L1M1_PR_MR
+      NEW met1 ( 43010 50150 ) M1M2_PR
+      NEW met2 ( 27830 45390 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 43010 50150 ) RECT ( -355 -70 0 70 )  ;
+    - _042_ ( _081_ B ) ( _080_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 33810 33830 ) ( * 34170 )
+      NEW met1 ( 32430 33830 ) ( 33810 * )
+      NEW met1 ( 32430 33830 ) ( * 34170 )
+      NEW met2 ( 32430 34170 ) ( * 39780 )
+      NEW met2 ( 31970 39780 ) ( 32430 * )
+      NEW met1 ( 31970 47770 ) ( 37950 * )
+      NEW met2 ( 37950 47770 ) ( * 51170 )
+      NEW met1 ( 37950 51170 ) ( 41170 * )
+      NEW met2 ( 31970 39780 ) ( * 47770 )
+      NEW li1 ( 33810 34170 ) L1M1_PR_MR
+      NEW met1 ( 32430 34170 ) M1M2_PR
+      NEW met1 ( 31970 47770 ) M1M2_PR
+      NEW met1 ( 37950 47770 ) M1M2_PR
+      NEW met1 ( 37950 51170 ) M1M2_PR
+      NEW li1 ( 41170 51170 ) L1M1_PR_MR ;
+    - _043_ ( _118_ A ) ( _081_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 8510 45050 ) ( * 45730 )
+      NEW met2 ( 14490 45730 ) ( * 47430 )
+      NEW met1 ( 14490 47430 ) ( 16330 * )
+      NEW met1 ( 16330 47430 ) ( * 47770 )
+      NEW met1 ( 16330 47770 ) ( 30590 * )
+      NEW met2 ( 30590 34510 ) ( * 47770 )
+      NEW met1 ( 30590 34510 ) ( 34730 * )
+      NEW met1 ( 8510 45730 ) ( 14490 * )
+      NEW li1 ( 8510 45050 ) L1M1_PR_MR
+      NEW met1 ( 14490 45730 ) M1M2_PR
+      NEW met1 ( 14490 47430 ) M1M2_PR
+      NEW met1 ( 30590 47770 ) M1M2_PR
+      NEW met1 ( 30590 34510 ) M1M2_PR
+      NEW li1 ( 34730 34510 ) L1M1_PR_MR ;
+    - _044_ ( _124_ A ) ( _091_ A ) ( _087_ A ) ( _084_ A ) ( _082_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 13570 50830 ) ( * 51170 )
+      NEW met2 ( 27370 45050 ) ( * 52190 )
+      NEW met2 ( 20470 52190 ) ( * 56270 )
+      NEW met1 ( 20470 52190 ) ( 27370 * )
+      NEW met2 ( 20470 51170 ) ( * 52190 )
+      NEW met1 ( 14490 45050 ) ( * 45390 )
+      NEW met1 ( 14490 45390 ) ( 16330 * )
+      NEW met2 ( 16330 45390 ) ( * 51170 )
+      NEW met1 ( 13570 51170 ) ( 20470 * )
+      NEW li1 ( 13570 50830 ) L1M1_PR_MR
+      NEW li1 ( 27370 45050 ) L1M1_PR_MR
+      NEW met1 ( 27370 45050 ) M1M2_PR
+      NEW li1 ( 27370 52190 ) L1M1_PR_MR
+      NEW met1 ( 27370 52190 ) M1M2_PR
+      NEW li1 ( 20470 56270 ) L1M1_PR_MR
+      NEW met1 ( 20470 56270 ) M1M2_PR
+      NEW met1 ( 20470 52190 ) M1M2_PR
+      NEW met1 ( 20470 51170 ) M1M2_PR
+      NEW li1 ( 14490 45050 ) L1M1_PR_MR
+      NEW met1 ( 16330 45390 ) M1M2_PR
+      NEW met1 ( 16330 51170 ) M1M2_PR
+      NEW met1 ( 27370 45050 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 27370 52190 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 20470 56270 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 16330 51170 ) RECT ( -595 -70 0 70 )  ;
+    - _045_ ( _173_ A2 ) ( _122_ B ) ( _091_ B ) ( _088_ A ) ( _084_ B ) ( _083_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 21850 45050 ) ( 26450 * )
+      NEW met1 ( 8510 47430 ) ( * 48110 )
+      NEW met1 ( 8510 48110 ) ( 21850 * )
+      NEW met2 ( 9890 41990 ) ( * 48110 )
+      NEW met1 ( 21390 54910 ) ( 25530 * )
+      NEW met2 ( 25530 54910 ) ( * 64430 )
+      NEW met1 ( 25530 64430 ) ( 40250 * )
+      NEW met1 ( 40250 64090 ) ( * 64430 )
+      NEW met1 ( 14950 57970 ) ( 16330 * )
+      NEW met2 ( 16330 54910 ) ( * 57970 )
+      NEW met1 ( 16330 54910 ) ( 21390 * )
+      NEW met2 ( 21850 45050 ) ( * 54910 )
+      NEW met1 ( 21850 45050 ) M1M2_PR
+      NEW li1 ( 26450 45050 ) L1M1_PR_MR
+      NEW li1 ( 8510 47430 ) L1M1_PR_MR
+      NEW met1 ( 21850 48110 ) M1M2_PR
+      NEW li1 ( 9890 41990 ) L1M1_PR_MR
+      NEW met1 ( 9890 41990 ) M1M2_PR
+      NEW met1 ( 9890 48110 ) M1M2_PR
+      NEW li1 ( 21390 54910 ) L1M1_PR_MR
+      NEW met1 ( 25530 54910 ) M1M2_PR
+      NEW met1 ( 25530 64430 ) M1M2_PR
+      NEW li1 ( 40250 64090 ) L1M1_PR_MR
+      NEW li1 ( 14950 57970 ) L1M1_PR_MR
+      NEW met1 ( 16330 57970 ) M1M2_PR
+      NEW met1 ( 16330 54910 ) M1M2_PR
+      NEW met1 ( 21850 54910 ) M1M2_PR
+      NEW met2 ( 21850 48110 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 9890 41990 ) RECT ( 0 -70 355 70 ) 
+      NEW met1 ( 9890 48110 ) RECT ( -595 -70 0 70 ) 
+      NEW met1 ( 21850 54910 ) RECT ( -595 -70 0 70 )  ;
+    - _046_ ( _113_ B ) ( _101_ A ) ( _096_ B ) ( _084_ X ) + USE SIGNAL
+      + ROUTED met1 ( 11270 52870 ) ( * 53210 )
+      NEW met2 ( 29210 45220 ) ( * 45390 )
+      NEW met3 ( 20010 45220 ) ( 29210 * )
+      NEW met2 ( 20010 45220 ) ( * 53210 )
+      NEW met1 ( 47150 45050 ) ( 48530 * )
+      NEW met2 ( 47150 44030 ) ( * 45050 )
+      NEW met1 ( 36110 44030 ) ( 47150 * )
+      NEW met2 ( 36110 44030 ) ( * 45390 )
+      NEW met1 ( 29210 45390 ) ( 36110 * )
+      NEW met1 ( 29670 28730 ) ( 33350 * )
+      NEW met1 ( 29670 28730 ) ( * 29410 )
+      NEW met1 ( 28750 29410 ) ( 29670 * )
+      NEW met2 ( 28750 29410 ) ( * 34340 )
+      NEW met2 ( 28290 34340 ) ( 28750 * )
+      NEW met2 ( 28290 34340 ) ( * 38590 )
+      NEW met1 ( 28290 38590 ) ( 29210 * )
+      NEW met2 ( 29210 38590 ) ( * 42500 )
+      NEW met2 ( 28750 42500 ) ( 29210 * )
+      NEW met2 ( 28750 42500 ) ( * 44540 )
+      NEW met3 ( 28750 44540 ) ( 28980 * )
+      NEW met3 ( 28980 44540 ) ( * 45220 )
+      NEW met1 ( 11270 53210 ) ( 20010 * )
+      NEW li1 ( 11270 52870 ) L1M1_PR_MR
+      NEW li1 ( 29210 45390 ) L1M1_PR_MR
+      NEW met1 ( 29210 45390 ) M1M2_PR
+      NEW met2 ( 29210 45220 ) via2_FR
+      NEW met2 ( 20010 45220 ) via2_FR
+      NEW met1 ( 20010 53210 ) M1M2_PR
+      NEW li1 ( 48530 45050 ) L1M1_PR_MR
+      NEW met1 ( 47150 45050 ) M1M2_PR
+      NEW met1 ( 47150 44030 ) M1M2_PR
+      NEW met1 ( 36110 44030 ) M1M2_PR
+      NEW met1 ( 36110 45390 ) M1M2_PR
+      NEW li1 ( 33350 28730 ) L1M1_PR_MR
+      NEW met1 ( 28750 29410 ) M1M2_PR
+      NEW met1 ( 28290 38590 ) M1M2_PR
+      NEW met1 ( 29210 38590 ) M1M2_PR
+      NEW met2 ( 28750 44540 ) via2_FR
+      NEW met1 ( 29210 45390 ) RECT ( -355 -70 0 70 )  ;
+    - _047_ ( _124_ C ) ( _123_ A ) ( _090_ A ) ( _087_ B ) ( _086_ A ) ( _085_ X ) + USE SIGNAL
+      + ROUTED met1 ( 26450 36550 ) ( 28750 * )
+      NEW met1 ( 20930 34170 ) ( * 34510 )
+      NEW met1 ( 20930 34510 ) ( 22310 * )
+      NEW met1 ( 22310 34170 ) ( * 34510 )
+      NEW met1 ( 22310 34170 ) ( 25990 * )
+      NEW met2 ( 25990 34170 ) ( * 36550 )
+      NEW met2 ( 25990 36550 ) ( 26450 * )
+      NEW met1 ( 26450 44370 ) ( 34270 * )
+      NEW met2 ( 34270 44370 ) ( * 47430 )
+      NEW met1 ( 34270 47430 ) ( 34730 * )
+      NEW met1 ( 25990 50830 ) ( * 51170 )
+      NEW met1 ( 25990 51170 ) ( 26450 * )
+      NEW met2 ( 26450 44370 ) ( * 51170 )
+      NEW met1 ( 15410 45050 ) ( 20010 * )
+      NEW met1 ( 20010 44370 ) ( * 45050 )
+      NEW met1 ( 20010 44370 ) ( 26450 * )
+      NEW met1 ( 14490 50830 ) ( 17250 * )
+      NEW met2 ( 17250 45050 ) ( * 50830 )
+      NEW met2 ( 26450 36550 ) ( * 44370 )
+      NEW li1 ( 28750 36550 ) L1M1_PR_MR
+      NEW met1 ( 26450 36550 ) M1M2_PR
+      NEW li1 ( 20930 34170 ) L1M1_PR_MR
+      NEW met1 ( 25990 34170 ) M1M2_PR
+      NEW met1 ( 26450 44370 ) M1M2_PR
+      NEW met1 ( 34270 44370 ) M1M2_PR
+      NEW met1 ( 34270 47430 ) M1M2_PR
+      NEW li1 ( 34730 47430 ) L1M1_PR_MR
+      NEW li1 ( 25990 50830 ) L1M1_PR_MR
+      NEW met1 ( 26450 51170 ) M1M2_PR
+      NEW li1 ( 15410 45050 ) L1M1_PR_MR
+      NEW li1 ( 14490 50830 ) L1M1_PR_MR
+      NEW met1 ( 17250 50830 ) M1M2_PR
+      NEW met1 ( 17250 45050 ) M1M2_PR
+      NEW met1 ( 17250 45050 ) RECT ( -595 -70 0 70 )  ;
+    - _048_ ( _101_ B ) ( _086_ X ) + USE SIGNAL
+      + ROUTED met1 ( 29670 37230 ) ( 37030 * )
+      NEW met2 ( 37030 37230 ) ( * 44710 )
+      NEW met1 ( 37030 44710 ) ( 49450 * )
+      NEW met1 ( 49450 44710 ) ( * 45050 )
+      NEW li1 ( 29670 37230 ) L1M1_PR_MR
+      NEW met1 ( 37030 37230 ) M1M2_PR
+      NEW met1 ( 37030 44710 ) M1M2_PR
+      NEW li1 ( 49450 45050 ) L1M1_PR_MR ;
+    - _049_ ( _149_ B1 ) ( _088_ B ) ( _087_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 7590 44370 ) ( * 47430 )
+      NEW met1 ( 15410 45730 ) ( 35190 * )
+      NEW met2 ( 15410 44370 ) ( * 45730 )
+      NEW met1 ( 7590 44370 ) ( 15410 * )
+      NEW met1 ( 35190 55590 ) ( 37950 * )
+      NEW met2 ( 35190 45730 ) ( * 55590 )
+      NEW li1 ( 7590 47430 ) L1M1_PR_MR
+      NEW met1 ( 7590 47430 ) M1M2_PR
+      NEW met1 ( 7590 44370 ) M1M2_PR
+      NEW li1 ( 15410 45730 ) L1M1_PR_MR
+      NEW met1 ( 35190 45730 ) M1M2_PR
+      NEW met1 ( 15410 44370 ) M1M2_PR
+      NEW met1 ( 15410 45730 ) M1M2_PR
+      NEW met1 ( 35190 55590 ) M1M2_PR
+      NEW li1 ( 37950 55590 ) L1M1_PR_MR
+      NEW met1 ( 7590 47430 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 15410 45730 ) RECT ( -595 -70 0 70 )  ;
+    - _050_ ( _140_ A ) ( _129_ A3 ) ( _089_ A ) ( _088_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 48990 61030 ) ( * 61370 )
+      NEW met1 ( 28290 28730 ) ( 29210 * )
+      NEW met1 ( 29210 28390 ) ( * 28730 )
+      NEW met1 ( 29210 28390 ) ( 33810 * )
+      NEW met2 ( 33810 28390 ) ( * 30940 )
+      NEW met3 ( 33810 30940 ) ( 35420 * )
+      NEW met2 ( 35650 57970 ) ( * 61370 )
+      NEW met1 ( 25070 57970 ) ( 35650 * )
+      NEW met1 ( 25070 57630 ) ( * 57970 )
+      NEW met1 ( 12650 57630 ) ( 25070 * )
+      NEW met2 ( 12650 47770 ) ( * 57630 )
+      NEW met1 ( 8970 47770 ) ( 12650 * )
+      NEW met2 ( 41170 60860 ) ( * 61030 )
+      NEW met3 ( 35650 60860 ) ( 41170 * )
+      NEW met3 ( 35420 52700 ) ( 35650 * )
+      NEW met2 ( 35650 52700 ) ( * 57970 )
+      NEW met4 ( 35420 30940 ) ( * 52700 )
+      NEW met1 ( 41170 61030 ) ( 48990 * )
+      NEW li1 ( 48990 61370 ) L1M1_PR_MR
+      NEW li1 ( 28290 28730 ) L1M1_PR_MR
+      NEW met1 ( 33810 28390 ) M1M2_PR
+      NEW met2 ( 33810 30940 ) via2_FR
+      NEW met3 ( 35420 30940 ) M3M4_PR_M
+      NEW li1 ( 35650 61370 ) L1M1_PR_MR
+      NEW met1 ( 35650 61370 ) M1M2_PR
+      NEW met1 ( 35650 57970 ) M1M2_PR
+      NEW met1 ( 12650 57630 ) M1M2_PR
+      NEW met1 ( 12650 47770 ) M1M2_PR
+      NEW li1 ( 8970 47770 ) L1M1_PR_MR
+      NEW met1 ( 41170 61030 ) M1M2_PR
+      NEW met2 ( 41170 60860 ) via2_FR
+      NEW met2 ( 35650 60860 ) via2_FR
+      NEW met3 ( 35420 52700 ) M3M4_PR_M
+      NEW met2 ( 35650 52700 ) via2_FR
+      NEW met1 ( 35650 61370 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 35650 60860 ) RECT ( -70 -485 70 0 ) 
+      NEW met3 ( 35420 52700 ) RECT ( -390 -150 0 150 )  ;
+    - _051_ ( _143_ A ) ( _129_ B2 ) ( _092_ A ) ( _089_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 21390 42330 ) ( * 42670 )
+      NEW met1 ( 21390 42670 ) ( 22770 * )
+      NEW met1 ( 22770 41990 ) ( * 42670 )
+      NEW met1 ( 22770 41990 ) ( 25990 * )
+      NEW met1 ( 15870 41990 ) ( 19550 * )
+      NEW met1 ( 19550 41990 ) ( * 42330 )
+      NEW met1 ( 19550 42330 ) ( 21390 * )
+      NEW met1 ( 40710 60350 ) ( * 61370 )
+      NEW met1 ( 36110 60350 ) ( 40710 * )
+      NEW met2 ( 36110 51340 ) ( * 60350 )
+      NEW met3 ( 27140 51340 ) ( 36110 * )
+      NEW met3 ( 27140 49980 ) ( * 51340 )
+      NEW met3 ( 25990 49980 ) ( 27140 * )
+      NEW met2 ( 25990 41990 ) ( * 49980 )
+      NEW met1 ( 40710 61370 ) ( 48530 * )
+      NEW li1 ( 48530 61370 ) L1M1_PR_MR
+      NEW li1 ( 21390 42330 ) L1M1_PR_MR
+      NEW met1 ( 25990 41990 ) M1M2_PR
+      NEW li1 ( 15870 41990 ) L1M1_PR_MR
+      NEW li1 ( 40710 61370 ) L1M1_PR_MR
+      NEW met1 ( 36110 60350 ) M1M2_PR
+      NEW met2 ( 36110 51340 ) via2_FR
+      NEW met2 ( 25990 49980 ) via2_FR ;
+    - _052_ ( _173_ A1 ) ( _113_ A ) ( _091_ C ) ( _090_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 35650 46750 ) ( 36110 * )
+      NEW met2 ( 36110 46580 ) ( * 46750 )
+      NEW met3 ( 36110 46580 ) ( 36340 * )
+      NEW met4 ( 36340 31620 ) ( * 46580 )
+      NEW met3 ( 34270 31620 ) ( 36340 * )
+      NEW met2 ( 34270 28730 ) ( * 31620 )
+      NEW met1 ( 29210 46750 ) ( 35650 * )
+      NEW met1 ( 21390 56270 ) ( 28750 * )
+      NEW met2 ( 28750 56270 ) ( * 57630 )
+      NEW met1 ( 28750 57630 ) ( 39790 * )
+      NEW met2 ( 39790 57630 ) ( * 63750 )
+      NEW met2 ( 28750 56270 ) ( 29210 * )
+      NEW met2 ( 29210 46750 ) ( * 56270 )
+      NEW li1 ( 35650 46750 ) L1M1_PR_MR
+      NEW met1 ( 36110 46750 ) M1M2_PR
+      NEW met2 ( 36110 46580 ) via2_FR
+      NEW met3 ( 36340 46580 ) M3M4_PR_M
+      NEW met3 ( 36340 31620 ) M3M4_PR_M
+      NEW met2 ( 34270 31620 ) via2_FR
+      NEW li1 ( 34270 28730 ) L1M1_PR_MR
+      NEW met1 ( 34270 28730 ) M1M2_PR
+      NEW met1 ( 29210 46750 ) M1M2_PR
+      NEW li1 ( 21390 56270 ) L1M1_PR_MR
+      NEW met1 ( 28750 56270 ) M1M2_PR
+      NEW met1 ( 28750 57630 ) M1M2_PR
+      NEW met1 ( 39790 57630 ) M1M2_PR
+      NEW li1 ( 39790 63750 ) L1M1_PR_MR
+      NEW met1 ( 39790 63750 ) M1M2_PR
+      NEW met3 ( 36110 46580 ) RECT ( -390 -150 0 150 ) 
+      NEW met1 ( 34270 28730 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 39790 63750 ) RECT ( 0 -70 355 70 )  ;
+    - _053_ ( _125_ A ) ( _114_ B ) ( _092_ B ) ( _091_ X ) + USE SIGNAL
+      + ROUTED met1 ( 14950 41990 ) ( * 42330 )
+      NEW met1 ( 14950 42330 ) ( 16330 * )
+      NEW met2 ( 16330 42330 ) ( * 44030 )
+      NEW met1 ( 16330 44030 ) ( 22770 * )
+      NEW met2 ( 22770 44030 ) ( * 55930 )
+      NEW met2 ( 27830 31110 ) ( * 37570 )
+      NEW met1 ( 23230 37570 ) ( 27830 * )
+      NEW met2 ( 23230 37570 ) ( * 41820 )
+      NEW met2 ( 22770 41820 ) ( 23230 * )
+      NEW met2 ( 22770 41820 ) ( * 44030 )
+      NEW met1 ( 34730 45050 ) ( 42090 * )
+      NEW met1 ( 34730 44030 ) ( * 45050 )
+      NEW met1 ( 22770 44030 ) ( 34730 * )
+      NEW li1 ( 14950 41990 ) L1M1_PR_MR
+      NEW met1 ( 16330 42330 ) M1M2_PR
+      NEW met1 ( 16330 44030 ) M1M2_PR
+      NEW met1 ( 22770 44030 ) M1M2_PR
+      NEW li1 ( 22770 55930 ) L1M1_PR_MR
+      NEW met1 ( 22770 55930 ) M1M2_PR
+      NEW li1 ( 27830 31110 ) L1M1_PR_MR
+      NEW met1 ( 27830 31110 ) M1M2_PR
+      NEW met1 ( 27830 37570 ) M1M2_PR
+      NEW met1 ( 23230 37570 ) M1M2_PR
+      NEW li1 ( 42090 45050 ) L1M1_PR_MR
+      NEW met1 ( 22770 55930 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 27830 31110 ) RECT ( -355 -70 0 70 )  ;
+    - _054_ ( _094_ A ) ( _093_ X ) + USE SIGNAL
+      + ROUTED met2 ( 16330 31110 ) ( * 33150 )
+      NEW met1 ( 16330 33150 ) ( 28290 * )
+      NEW li1 ( 16330 31110 ) L1M1_PR_MR
+      NEW met1 ( 16330 31110 ) M1M2_PR
+      NEW met1 ( 16330 33150 ) M1M2_PR
+      NEW li1 ( 28290 33150 ) L1M1_PR_MR
+      NEW met1 ( 16330 31110 ) RECT ( -355 -70 0 70 )  ;
+    - _055_ ( _110_ A ) ( _100_ B2 ) ( _095_ A ) ( _094_ X ) + USE SIGNAL
+      + ROUTED met1 ( 48070 33150 ) ( * 33490 )
+      NEW met1 ( 43010 33150 ) ( 48070 * )
+      NEW met2 ( 43010 31790 ) ( * 33150 )
+      NEW met1 ( 28290 31790 ) ( 43010 * )
+      NEW met1 ( 28290 31790 ) ( * 32130 )
+      NEW met1 ( 17250 32130 ) ( 28290 * )
+      NEW met1 ( 49910 33830 ) ( * 34170 )
+      NEW met1 ( 49910 33830 ) ( 55890 * )
+      NEW met1 ( 49910 33490 ) ( * 33830 )
+      NEW met1 ( 48070 33490 ) ( 49910 * )
+      NEW met1 ( 51750 55930 ) ( 52670 * )
+      NEW met1 ( 52670 55590 ) ( * 55930 )
+      NEW met1 ( 52670 55590 ) ( 55890 * )
+      NEW met1 ( 48070 52870 ) ( * 53210 )
+      NEW met1 ( 48070 53210 ) ( 55890 * )
+      NEW met2 ( 55890 33830 ) ( * 55590 )
+      NEW met1 ( 43010 33150 ) M1M2_PR
+      NEW met1 ( 43010 31790 ) M1M2_PR
+      NEW li1 ( 17250 32130 ) L1M1_PR_MR
+      NEW li1 ( 49910 34170 ) L1M1_PR_MR
+      NEW met1 ( 55890 33830 ) M1M2_PR
+      NEW li1 ( 51750 55930 ) L1M1_PR_MR
+      NEW met1 ( 55890 55590 ) M1M2_PR
+      NEW li1 ( 48070 52870 ) L1M1_PR_MR
+      NEW met1 ( 55890 53210 ) M1M2_PR
+      NEW met2 ( 55890 53210 ) RECT ( -70 -485 70 0 )  ;
+    - _056_ ( _111_ B ) ( _100_ A1 ) ( _095_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 41170 52530 ) ( 44850 * )
+      NEW met2 ( 41170 39100 ) ( * 52530 )
+      NEW met2 ( 40710 39100 ) ( 41170 * )
+      NEW met2 ( 40710 28730 ) ( * 39100 )
+      NEW met1 ( 54050 55930 ) ( * 56270 )
+      NEW met1 ( 47150 56270 ) ( 54050 * )
+      NEW met2 ( 47150 52530 ) ( * 56270 )
+      NEW met1 ( 44850 52530 ) ( 47150 * )
+      NEW li1 ( 44850 52530 ) L1M1_PR_MR
+      NEW met1 ( 41170 52530 ) M1M2_PR
+      NEW li1 ( 40710 28730 ) L1M1_PR_MR
+      NEW met1 ( 40710 28730 ) M1M2_PR
+      NEW li1 ( 54050 55930 ) L1M1_PR_MR
+      NEW met1 ( 47150 56270 ) M1M2_PR
+      NEW met1 ( 47150 52530 ) M1M2_PR
+      NEW met1 ( 40710 28730 ) RECT ( -355 -70 0 70 )  ;
+    - _057_ ( _097_ A ) ( _096_ X ) + USE SIGNAL
+      + ROUTED met2 ( 28750 45050 ) ( * 53890 )
+      NEW met1 ( 28750 45050 ) ( 33810 * )
+      NEW met1 ( 12650 53890 ) ( 28750 * )
+      NEW li1 ( 12650 53890 ) L1M1_PR_MR
+      NEW met1 ( 28750 53890 ) M1M2_PR
+      NEW met1 ( 28750 45050 ) M1M2_PR
+      NEW li1 ( 33810 45050 ) L1M1_PR_MR ;
+    - _058_ ( _104_ A ) ( _099_ A3 ) ( _098_ A ) ( _097_ X ) + USE SIGNAL
+      + ROUTED met2 ( 44850 31110 ) ( * 47430 )
+      NEW met1 ( 43010 31110 ) ( 44850 * )
+      NEW met1 ( 36570 45390 ) ( * 45730 )
+      NEW met1 ( 36570 45730 ) ( 44850 * )
+      NEW met1 ( 39330 58310 ) ( * 58650 )
+      NEW met1 ( 39330 58650 ) ( 39790 * )
+      NEW met1 ( 39790 58650 ) ( * 59330 )
+      NEW met1 ( 39790 59330 ) ( 44850 * )
+      NEW met2 ( 44850 47430 ) ( * 59330 )
+      NEW li1 ( 44850 47430 ) L1M1_PR_MR
+      NEW met1 ( 44850 47430 ) M1M2_PR
+      NEW met1 ( 44850 31110 ) M1M2_PR
+      NEW li1 ( 43010 31110 ) L1M1_PR_MR
+      NEW li1 ( 36570 45390 ) L1M1_PR_MR
+      NEW met1 ( 44850 45730 ) M1M2_PR
+      NEW li1 ( 39330 58310 ) L1M1_PR_MR
+      NEW met1 ( 44850 59330 ) M1M2_PR
+      NEW met1 ( 44850 47430 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 44850 45730 ) RECT ( -70 -485 70 0 )  ;
+    - _059_ ( _125_ B ) ( _107_ A ) ( _099_ B2 ) ( _098_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 41170 45390 ) ( 44390 * )
+      NEW met2 ( 44390 34170 ) ( * 45390 )
+      NEW met1 ( 39790 34170 ) ( 44390 * )
+      NEW met2 ( 44390 45390 ) ( * 46750 )
+      NEW met1 ( 43930 58310 ) ( 44390 * )
+      NEW met2 ( 44390 46750 ) ( * 58310 )
+      NEW li1 ( 41170 45390 ) L1M1_PR_MR
+      NEW met1 ( 44390 45390 ) M1M2_PR
+      NEW met1 ( 44390 34170 ) M1M2_PR
+      NEW li1 ( 39790 34170 ) L1M1_PR_MR
+      NEW li1 ( 44390 46750 ) L1M1_PR_MR
+      NEW met1 ( 44390 46750 ) M1M2_PR
+      NEW li1 ( 43930 58310 ) L1M1_PR_MR
+      NEW met1 ( 44390 58310 ) M1M2_PR
+      NEW met1 ( 44390 46750 ) RECT ( -355 -70 0 70 )  ;
+    - _060_ ( _160_ B ) ( _117_ B ) ( _101_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 11270 36550 ) ( * 38590 )
+      NEW met1 ( 28290 43010 ) ( 48990 * )
+      NEW met2 ( 48990 43010 ) ( * 44030 )
+      NEW met2 ( 27830 38590 ) ( * 41140 )
+      NEW met2 ( 27370 41140 ) ( 27830 * )
+      NEW met2 ( 27370 41140 ) ( * 43010 )
+      NEW met1 ( 27370 43010 ) ( 28290 * )
+      NEW met1 ( 11270 38590 ) ( 27830 * )
+      NEW li1 ( 11270 36550 ) L1M1_PR_MR
+      NEW met1 ( 11270 36550 ) M1M2_PR
+      NEW met1 ( 11270 38590 ) M1M2_PR
+      NEW li1 ( 28290 43010 ) L1M1_PR_MR
+      NEW met1 ( 48990 43010 ) M1M2_PR
+      NEW li1 ( 48990 44030 ) L1M1_PR_MR
+      NEW met1 ( 48990 44030 ) M1M2_PR
+      NEW met1 ( 27830 38590 ) M1M2_PR
+      NEW met1 ( 27370 43010 ) M1M2_PR
+      NEW met1 ( 11270 36550 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 48990 44030 ) RECT ( -355 -70 0 70 )  ;
+    - _061_ ( _158_ A ) ( _157_ A ) ( _103_ B ) ( _102_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 49910 41990 ) ( 50370 * )
+      NEW met1 ( 49910 41310 ) ( * 41990 )
+      NEW met2 ( 49910 40290 ) ( * 41310 )
+      NEW met2 ( 50830 36890 ) ( * 38590 )
+      NEW met1 ( 49910 38590 ) ( 50830 * )
+      NEW met2 ( 49910 38590 ) ( * 40290 )
+      NEW met1 ( 31510 39610 ) ( 37490 * )
+      NEW met1 ( 37490 39610 ) ( * 40290 )
+      NEW met1 ( 37490 40290 ) ( 49910 * )
+      NEW met3 ( 28980 41820 ) ( 34270 * )
+      NEW met4 ( 28980 41820 ) ( * 53380 )
+      NEW met3 ( 19090 53380 ) ( 28980 * )
+      NEW met2 ( 19090 53380 ) ( * 63410 )
+      NEW met1 ( 15870 63410 ) ( 19090 * )
+      NEW met2 ( 34270 39610 ) ( * 41820 )
+      NEW li1 ( 50370 41990 ) L1M1_PR_MR
+      NEW met1 ( 49910 41310 ) M1M2_PR
+      NEW met1 ( 49910 40290 ) M1M2_PR
+      NEW li1 ( 50830 36890 ) L1M1_PR_MR
+      NEW met1 ( 50830 36890 ) M1M2_PR
+      NEW met1 ( 50830 38590 ) M1M2_PR
+      NEW met1 ( 49910 38590 ) M1M2_PR
+      NEW li1 ( 31510 39610 ) L1M1_PR_MR
+      NEW met1 ( 34270 39610 ) M1M2_PR
+      NEW met2 ( 34270 41820 ) via2_FR
+      NEW met3 ( 28980 41820 ) M3M4_PR_M
+      NEW met3 ( 28980 53380 ) M3M4_PR_M
+      NEW met2 ( 19090 53380 ) via2_FR
+      NEW met1 ( 19090 63410 ) M1M2_PR
+      NEW li1 ( 15870 63410 ) L1M1_PR_MR
+      NEW met1 ( 50830 36890 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 34270 39610 ) RECT ( -595 -70 0 70 )  ;
+    - _062_ ( _172_ C ) ( _119_ B ) ( _104_ B ) ( _103_ X ) + USE SIGNAL
+      + ROUTED met1 ( 48070 33830 ) ( * 34170 )
+      NEW met2 ( 48070 34170 ) ( * 59330 )
+      NEW met1 ( 48070 59330 ) ( 50830 * )
+      NEW met1 ( 50830 58310 ) ( * 59330 )
+      NEW met2 ( 42090 31110 ) ( * 33830 )
+      NEW met1 ( 42090 33830 ) ( 48070 * )
+      NEW met1 ( 32430 38930 ) ( 48070 * )
+      NEW met1 ( 48530 33830 ) ( * 34170 )
+      NEW met2 ( 48530 34170 ) ( * 34340 )
+      NEW met3 ( 48530 34340 ) ( 55430 * )
+      NEW met2 ( 55430 34170 ) ( * 34340 )
+      NEW met1 ( 48070 33830 ) ( 48530 * )
+      NEW met1 ( 48070 34170 ) M1M2_PR
+      NEW met1 ( 48070 59330 ) M1M2_PR
+      NEW li1 ( 50830 58310 ) L1M1_PR_MR
+      NEW li1 ( 42090 31110 ) L1M1_PR_MR
+      NEW met1 ( 42090 31110 ) M1M2_PR
+      NEW met1 ( 42090 33830 ) M1M2_PR
+      NEW li1 ( 32430 38930 ) L1M1_PR_MR
+      NEW met1 ( 48070 38930 ) M1M2_PR
+      NEW met1 ( 48530 34170 ) M1M2_PR
+      NEW met2 ( 48530 34340 ) via2_FR
+      NEW met2 ( 55430 34340 ) via2_FR
+      NEW li1 ( 55430 34170 ) L1M1_PR_MR
+      NEW met1 ( 55430 34170 ) M1M2_PR
+      NEW met1 ( 42090 31110 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 48070 38930 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 55430 34170 ) RECT ( -355 -70 0 70 )  ;
+    - _063_ ( _108_ A ) ( _104_ X ) + USE SIGNAL
+      + ROUTED met2 ( 43930 31790 ) ( * 36550 )
+      NEW met1 ( 43930 36550 ) ( 44390 * )
+      NEW li1 ( 43930 31790 ) L1M1_PR_MR
+      NEW met1 ( 43930 31790 ) M1M2_PR
+      NEW met1 ( 43930 36550 ) M1M2_PR
+      NEW li1 ( 44390 36550 ) L1M1_PR_MR
+      NEW met1 ( 43930 31790 ) RECT ( -355 -70 0 70 )  ;
+    - _064_ ( _107_ B ) ( _105_ X ) + USE SIGNAL
+      + ROUTED met1 ( 37490 33150 ) ( 38870 * )
+      NEW met2 ( 37490 33150 ) ( * 47090 )
+      NEW met1 ( 21390 47090 ) ( 37490 * )
+      NEW li1 ( 38870 33150 ) L1M1_PR_MR
+      NEW met1 ( 37490 33150 ) M1M2_PR
+      NEW met1 ( 37490 47090 ) M1M2_PR
+      NEW li1 ( 21390 47090 ) L1M1_PR_MR ;
+    - _065_ ( _107_ C ) ( _106_ X ) + USE SIGNAL
+      + ROUTED met2 ( 38870 34170 ) ( * 52530 )
+      NEW met1 ( 35650 52530 ) ( 38870 * )
+      NEW li1 ( 38870 34170 ) L1M1_PR_MR
+      NEW met1 ( 38870 34170 ) M1M2_PR
+      NEW met1 ( 38870 52530 ) M1M2_PR
+      NEW li1 ( 35650 52530 ) L1M1_PR_MR
+      NEW met1 ( 38870 34170 ) RECT ( -355 -70 0 70 )  ;
+    - _066_ ( _108_ B ) ( _107_ X ) + USE SIGNAL
+      + ROUTED met1 ( 40710 33490 ) ( 45310 * )
+      NEW met2 ( 45310 33490 ) ( * 36550 )
+      NEW li1 ( 40710 33490 ) L1M1_PR_MR
+      NEW met1 ( 45310 33490 ) M1M2_PR
+      NEW li1 ( 45310 36550 ) L1M1_PR_MR
+      NEW met1 ( 45310 36550 ) M1M2_PR
+      NEW met1 ( 45310 36550 ) RECT ( -355 -70 0 70 )  ;
+    - _067_ ( _109_ A ) ( _108_ X ) + USE SIGNAL
+      + ROUTED met1 ( 46230 37570 ) ( 55430 * )
+      NEW met2 ( 55430 37570 ) ( * 39610 )
+      NEW li1 ( 46230 37570 ) L1M1_PR_MR
+      NEW met1 ( 55430 37570 ) M1M2_PR
+      NEW li1 ( 55430 39610 ) L1M1_PR_MR
+      NEW met1 ( 55430 39610 ) M1M2_PR
+      NEW met1 ( 55430 39610 ) RECT ( -355 -70 0 70 )  ;
+    - _068_ ( _112_ A ) ( _110_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 48990 31110 ) ( * 33150 )
+      NEW li1 ( 48990 31110 ) L1M1_PR_MR
+      NEW met1 ( 48990 31110 ) M1M2_PR
+      NEW li1 ( 48990 33150 ) L1M1_PR_MR
+      NEW met1 ( 48990 33150 ) M1M2_PR
+      NEW met1 ( 48990 31110 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 48990 33150 ) RECT ( -355 -70 0 70 )  ;
+    - _069_ ( _112_ B ) ( _111_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 42550 29070 ) ( 48070 * )
+      NEW met2 ( 48070 29070 ) ( * 31110 )
+      NEW li1 ( 42550 29070 ) L1M1_PR_MR
+      NEW met1 ( 48070 29070 ) M1M2_PR
+      NEW li1 ( 48070 31110 ) L1M1_PR_MR
+      NEW met1 ( 48070 31110 ) M1M2_PR
+      NEW met1 ( 48070 31110 ) RECT ( -355 -70 0 70 )  ;
+    - _070_ ( _115_ A ) ( _113_ X ) + USE SIGNAL
+      + ROUTED met1 ( 23230 36550 ) ( 25070 * )
+      NEW met2 ( 25070 36550 ) ( * 37060 )
+      NEW met3 ( 25070 37060 ) ( 30130 * )
+      NEW met2 ( 30130 29070 ) ( * 37060 )
+      NEW met1 ( 30130 29070 ) ( 35190 * )
+      NEW li1 ( 23230 36550 ) L1M1_PR_MR
+      NEW met1 ( 25070 36550 ) M1M2_PR
+      NEW met2 ( 25070 37060 ) via2_FR
+      NEW met2 ( 30130 37060 ) via2_FR
+      NEW met1 ( 30130 29070 ) M1M2_PR
+      NEW li1 ( 35190 29070 ) L1M1_PR_MR ;
+    - _071_ ( _115_ B ) ( _114_ X ) + USE SIGNAL
+      + ROUTED met2 ( 29210 32130 ) ( * 35870 )
+      NEW met1 ( 22310 35870 ) ( 29210 * )
+      NEW met1 ( 22310 35870 ) ( * 36550 )
+      NEW li1 ( 29210 32130 ) L1M1_PR_MR
+      NEW met1 ( 29210 32130 ) M1M2_PR
+      NEW met1 ( 29210 35870 ) M1M2_PR
+      NEW li1 ( 22310 36550 ) L1M1_PR_MR
+      NEW met1 ( 29210 32130 ) RECT ( -355 -70 0 70 )  ;
+    - _072_ ( _116_ B ) ( _115_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 36110 25670 ) ( 37950 * )
+      NEW met2 ( 36110 25670 ) ( * 36890 )
+      NEW met1 ( 23690 36890 ) ( 36110 * )
+      NEW li1 ( 37950 25670 ) L1M1_PR_MR
+      NEW met1 ( 36110 25670 ) M1M2_PR
+      NEW met1 ( 36110 36890 ) M1M2_PR
+      NEW li1 ( 23690 36890 ) L1M1_PR_MR ;
+    - _073_ ( _154_ B ) ( _117_ C ) ( _116_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 9430 39610 ) ( * 41310 )
+      NEW met1 ( 28290 41310 ) ( 35650 * )
+      NEW met2 ( 35650 26690 ) ( * 41310 )
+      NEW met1 ( 35650 26690 ) ( 38410 * )
+      NEW met1 ( 9430 41310 ) ( 28290 * )
+      NEW li1 ( 9430 39610 ) L1M1_PR_MR
+      NEW met1 ( 9430 39610 ) M1M2_PR
+      NEW met1 ( 9430 41310 ) M1M2_PR
+      NEW li1 ( 28290 41310 ) L1M1_PR_MR
+      NEW met1 ( 35650 41310 ) M1M2_PR
+      NEW met1 ( 35650 26690 ) M1M2_PR
+      NEW li1 ( 38410 26690 ) L1M1_PR_MR
+      NEW met1 ( 9430 39610 ) RECT ( -355 -70 0 70 )  ;
+    - _074_ ( _118_ B ) ( _117_ X ) + USE SIGNAL
+      + ROUTED met1 ( 29670 41990 ) ( * 42330 )
+      NEW met1 ( 25070 42330 ) ( 29670 * )
+      NEW met2 ( 25070 41820 ) ( * 42330 )
+      NEW met3 ( 9430 41820 ) ( 25070 * )
+      NEW met2 ( 9430 41820 ) ( * 45050 )
+      NEW li1 ( 29670 41990 ) L1M1_PR_MR
+      NEW met1 ( 25070 42330 ) M1M2_PR
+      NEW met2 ( 25070 41820 ) via2_FR
+      NEW met2 ( 9430 41820 ) via2_FR
+      NEW li1 ( 9430 45050 ) L1M1_PR_MR
+      NEW met1 ( 9430 45050 ) M1M2_PR
+      NEW met1 ( 9430 45050 ) RECT ( -355 -70 0 70 )  ;
+    - _075_ ( _120_ B ) ( _119_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 15410 39610 ) ( 17250 * )
+      NEW met2 ( 17250 34850 ) ( * 39610 )
+      NEW met1 ( 17250 34850 ) ( 54970 * )
+      NEW li1 ( 15410 39610 ) L1M1_PR_MR
+      NEW met1 ( 17250 39610 ) M1M2_PR
+      NEW met1 ( 17250 34850 ) M1M2_PR
+      NEW li1 ( 54970 34850 ) L1M1_PR_MR ;
+    - _076_ ( _150_ A ) ( _133_ A ) ( _121_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 37030 23290 ) ( * 23630 )
+      NEW met1 ( 37030 23630 ) ( 46230 * )
+      NEW met2 ( 46230 23630 ) ( * 50150 )
+      NEW met1 ( 46230 50150 ) ( 48530 * )
+      NEW met2 ( 36570 20230 ) ( * 23290 )
+      NEW met1 ( 36570 23290 ) ( 37030 * )
+      NEW li1 ( 37030 23290 ) L1M1_PR_MR
+      NEW met1 ( 46230 23630 ) M1M2_PR
+      NEW met1 ( 46230 50150 ) M1M2_PR
+      NEW li1 ( 48530 50150 ) L1M1_PR_MR
+      NEW li1 ( 36570 20230 ) L1M1_PR_MR
+      NEW met1 ( 36570 20230 ) M1M2_PR
+      NEW met1 ( 36570 23290 ) M1M2_PR
+      NEW met1 ( 36570 20230 ) RECT ( -355 -70 0 70 )  ;
+    - _077_ ( _123_ B ) ( _122_ Y ) + USE SIGNAL
+      + ROUTED met2 ( 10810 37570 ) ( * 41650 )
+      NEW met1 ( 10810 37570 ) ( 21390 * )
+      NEW met2 ( 21390 34170 ) ( * 37570 )
+      NEW met1 ( 21390 34170 ) ( 21850 * )
+      NEW li1 ( 10810 41650 ) L1M1_PR_MR
+      NEW met1 ( 10810 41650 ) M1M2_PR
+      NEW met1 ( 10810 37570 ) M1M2_PR
+      NEW met1 ( 21390 37570 ) M1M2_PR
+      NEW met1 ( 21390 34170 ) M1M2_PR
+      NEW li1 ( 21850 34170 ) L1M1_PR_MR
+      NEW met1 ( 10810 41650 ) RECT ( -355 -70 0 70 )  ;
+    - _078_ ( _132_ A ) ( _123_ Y ) + USE SIGNAL
+      + ROUTED met1 ( 22770 34510 ) ( 23230 * )
+      NEW met2 ( 23230 34510 ) ( * 35870 )
+      NEW met2 ( 23230 35870 ) ( 23690 * )
+      NEW met2 ( 23690 35870 ) ( * 37740 )
+      NEW met3 ( 23690 37740 ) ( 28750 * )
+      NEW met2 ( 28750 37740 ) ( * 41650 )
+      NEW met1 ( 28750 41650 ) ( 36110 * )
+      NEW met1 ( 36110 41650 ) ( * 41990 )
+      NEW li1 ( 22770 34510 ) L1M1_PR_MR
+      NEW met1 ( 23230 34510 ) M1M2_PR
+      NEW met2 ( 23690 37740 ) via2_FR
+      NEW met2 ( 28750 37740 ) via2_FR
+      NEW met1 ( 28750 41650 ) M1M2_PR
+      NEW li1 ( 36110 41990 ) L1M1_PR_MR ;
+    - cfg.cnfg\[0\] ( _183_ D ) ( _182_ Q ) ( _148_ B ) ( _124_ B ) ( _086_ B ) ( _083_ A ) + USE SIGNAL
+      + ROUTED met1 ( 27830 36210 ) ( 32890 * )
+      NEW met2 ( 32890 36210 ) ( * 37060 )
+      NEW met3 ( 31740 37060 ) ( 32890 * )
+      NEW met1 ( 29210 25670 ) ( 32430 * )
+      NEW met2 ( 32430 25670 ) ( * 33150 )
+      NEW met2 ( 32430 33150 ) ( 32890 * )
+      NEW met2 ( 32890 33150 ) ( * 36210 )
+      NEW met2 ( 27370 59500 ) ( * 63070 )
+      NEW met3 ( 27370 59500 ) ( 28060 * )
+      NEW met4 ( 28060 43180 ) ( * 59500 )
+      NEW met3 ( 28060 43180 ) ( 31740 * )
+      NEW met1 ( 22310 61030 ) ( 27370 * )
+      NEW met1 ( 14490 58310 ) ( 17710 * )
+      NEW met2 ( 17710 58310 ) ( * 60690 )
+      NEW met1 ( 17710 60690 ) ( 20930 * )
+      NEW met1 ( 20930 60690 ) ( * 61030 )
+      NEW met1 ( 20930 61030 ) ( 22310 * )
+      NEW met1 ( 13570 49470 ) ( 14490 * )
+      NEW met2 ( 13570 49470 ) ( * 58310 )
+      NEW met1 ( 13570 58310 ) ( 14490 * )
+      NEW met4 ( 31740 37060 ) ( * 43180 )
+      NEW li1 ( 27830 36210 ) L1M1_PR_MR
+      NEW met1 ( 32890 36210 ) M1M2_PR
+      NEW met2 ( 32890 37060 ) via2_FR
+      NEW met3 ( 31740 37060 ) M3M4_PR_M
+      NEW li1 ( 29210 25670 ) L1M1_PR_MR
+      NEW met1 ( 32430 25670 ) M1M2_PR
+      NEW li1 ( 27370 63070 ) L1M1_PR_MR
+      NEW met1 ( 27370 63070 ) M1M2_PR
+      NEW met2 ( 27370 59500 ) via2_FR
+      NEW met3 ( 28060 59500 ) M3M4_PR_M
+      NEW met3 ( 28060 43180 ) M3M4_PR_M
+      NEW met3 ( 31740 43180 ) M3M4_PR_M
+      NEW li1 ( 22310 61030 ) L1M1_PR_MR
+      NEW met1 ( 27370 61030 ) M1M2_PR
+      NEW li1 ( 14490 58310 ) L1M1_PR_MR
+      NEW met1 ( 17710 58310 ) M1M2_PR
+      NEW met1 ( 17710 60690 ) M1M2_PR
+      NEW li1 ( 14490 49470 ) L1M1_PR_MR
+      NEW met1 ( 13570 49470 ) M1M2_PR
+      NEW met1 ( 13570 58310 ) M1M2_PR
+      NEW met1 ( 27370 63070 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 27370 61030 ) RECT ( -70 -485 70 0 )  ;
+    - cfg.cnfg\[1\] ( _184_ D ) ( _183_ Q ) ( _148_ A ) ( _122_ A ) ( _114_ A ) ( _082_ A ) + USE SIGNAL
+      + ROUTED met1 ( 9430 41990 ) ( * 42330 )
+      NEW met1 ( 9430 42330 ) ( 10350 * )
+      NEW met2 ( 10350 42330 ) ( * 44030 )
+      NEW met1 ( 28750 30770 ) ( 30590 * )
+      NEW met2 ( 30590 26350 ) ( * 30770 )
+      NEW met1 ( 28290 26350 ) ( 30590 * )
+      NEW met1 ( 28290 25670 ) ( * 26350 )
+      NEW met1 ( 29210 52870 ) ( 31510 * )
+      NEW met2 ( 31510 50660 ) ( * 52870 )
+      NEW met3 ( 30820 50660 ) ( 31510 * )
+      NEW met4 ( 30820 33660 ) ( * 50660 )
+      NEW met3 ( 30590 33660 ) ( 30820 * )
+      NEW met2 ( 30590 30770 ) ( * 33660 )
+      NEW met1 ( 29210 61710 ) ( 31510 * )
+      NEW met2 ( 31510 52870 ) ( * 61710 )
+      NEW met1 ( 20470 58310 ) ( 31510 * )
+      NEW met1 ( 15870 44030 ) ( * 44370 )
+      NEW met1 ( 15870 44370 ) ( 19550 * )
+      NEW met2 ( 19550 44370 ) ( * 58310 )
+      NEW met1 ( 19550 58310 ) ( 20470 * )
+      NEW met1 ( 10350 44030 ) ( 15870 * )
+      NEW li1 ( 9430 41990 ) L1M1_PR_MR
+      NEW met1 ( 10350 42330 ) M1M2_PR
+      NEW met1 ( 10350 44030 ) M1M2_PR
+      NEW li1 ( 28750 30770 ) L1M1_PR_MR
+      NEW met1 ( 30590 30770 ) M1M2_PR
+      NEW met1 ( 30590 26350 ) M1M2_PR
+      NEW li1 ( 28290 25670 ) L1M1_PR_MR
+      NEW li1 ( 29210 52870 ) L1M1_PR_MR
+      NEW met1 ( 31510 52870 ) M1M2_PR
+      NEW met2 ( 31510 50660 ) via2_FR
+      NEW met3 ( 30820 50660 ) M3M4_PR_M
+      NEW met3 ( 30820 33660 ) M3M4_PR_M
+      NEW met2 ( 30590 33660 ) via2_FR
+      NEW li1 ( 29210 61710 ) L1M1_PR_MR
+      NEW met1 ( 31510 61710 ) M1M2_PR
+      NEW li1 ( 20470 58310 ) L1M1_PR_MR
+      NEW met1 ( 31510 58310 ) M1M2_PR
+      NEW met1 ( 19550 44370 ) M1M2_PR
+      NEW met1 ( 19550 58310 ) M1M2_PR
+      NEW met3 ( 30820 33660 ) RECT ( 0 -150 390 150 ) 
+      NEW met2 ( 31510 58310 ) RECT ( -70 -485 70 0 )  ;
+    - hfsm.clear ( _181_ X ) ( _170_ A ) ( _164_ A ) ( _162_ A ) ( _156_ A ) + USE SIGNAL
+      + ROUTED met1 ( 8510 34170 ) ( 8970 * )
+      NEW met2 ( 8970 34170 ) ( * 49470 )
+      NEW met1 ( 8970 33490 ) ( * 34170 )
+      NEW met2 ( 25530 14790 ) ( * 23290 )
+      NEW met1 ( 25530 14790 ) ( 34270 * )
+      NEW met2 ( 21850 23290 ) ( * 28730 )
+      NEW met1 ( 21850 23290 ) ( 25530 * )
+      NEW met2 ( 20010 31110 ) ( * 33490 )
+      NEW met1 ( 20010 31110 ) ( 21850 * )
+      NEW met2 ( 21850 28730 ) ( * 31110 )
+      NEW met1 ( 8970 33490 ) ( 20010 * )
+      NEW li1 ( 8510 34170 ) L1M1_PR_MR
+      NEW met1 ( 8970 34170 ) M1M2_PR
+      NEW li1 ( 8970 49470 ) L1M1_PR_MR
+      NEW met1 ( 8970 49470 ) M1M2_PR
+      NEW li1 ( 25530 23290 ) L1M1_PR_MR
+      NEW met1 ( 25530 23290 ) M1M2_PR
+      NEW met1 ( 25530 14790 ) M1M2_PR
+      NEW li1 ( 34270 14790 ) L1M1_PR_MR
+      NEW li1 ( 21850 28730 ) L1M1_PR_MR
+      NEW met1 ( 21850 28730 ) M1M2_PR
+      NEW met1 ( 21850 23290 ) M1M2_PR
+      NEW met1 ( 20010 33490 ) M1M2_PR
+      NEW met1 ( 20010 31110 ) M1M2_PR
+      NEW met1 ( 21850 31110 ) M1M2_PR
+      NEW met1 ( 8970 49470 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 25530 23290 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 21850 28730 ) RECT ( -355 -70 0 70 )  ;
+    - hfsm.in\[1\] ( _180_ A ) ( _168_ Y ) ( _163_ A ) ( _129_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 26450 55930 ) ( 26910 * )
+      NEW met2 ( 26450 55930 ) ( * 61370 )
+      NEW met1 ( 26450 61370 ) ( 33350 * )
+      NEW met1 ( 33350 61030 ) ( * 61370 )
+      NEW met1 ( 33350 61030 ) ( 38870 * )
+      NEW met1 ( 23230 55930 ) ( 26450 * )
+      NEW met2 ( 22310 25670 ) ( * 44710 )
+      NEW met1 ( 22310 44710 ) ( 23230 * )
+      NEW met1 ( 22310 21250 ) ( 27830 * )
+      NEW met2 ( 22310 21250 ) ( * 25670 )
+      NEW met2 ( 23230 44710 ) ( * 55930 )
+      NEW li1 ( 26910 55930 ) L1M1_PR_MR
+      NEW met1 ( 26450 55930 ) M1M2_PR
+      NEW met1 ( 26450 61370 ) M1M2_PR
+      NEW li1 ( 38870 61030 ) L1M1_PR_MR
+      NEW met1 ( 23230 55930 ) M1M2_PR
+      NEW li1 ( 22310 25670 ) L1M1_PR_MR
+      NEW met1 ( 22310 25670 ) M1M2_PR
+      NEW met1 ( 22310 44710 ) M1M2_PR
+      NEW met1 ( 23230 44710 ) M1M2_PR
+      NEW li1 ( 27830 21250 ) L1M1_PR_MR
+      NEW met1 ( 22310 21250 ) M1M2_PR
+      NEW met1 ( 22310 25670 ) RECT ( -355 -70 0 70 )  ;
+    - hfsm.lin\[0\] ( _179_ B ) ( _170_ Y ) ( _169_ A ) ( _142_ A2 ) ( _141_ B ) ( _080_ B ) + USE SIGNAL
+      + ROUTED met1 ( 25070 39610 ) ( 29210 * )
+      NEW met1 ( 29210 39610 ) ( * 39950 )
+      NEW met1 ( 29210 39950 ) ( 35190 * )
+      NEW met2 ( 35190 39950 ) ( * 41820 )
+      NEW met2 ( 35190 41820 ) ( 35650 * )
+      NEW met1 ( 17250 25670 ) ( 21390 * )
+      NEW met2 ( 21390 25670 ) ( * 33660 )
+      NEW met2 ( 21390 33660 ) ( 21850 * )
+      NEW met2 ( 21850 33660 ) ( * 38930 )
+      NEW met1 ( 21850 38930 ) ( 25070 * )
+      NEW met2 ( 25070 38930 ) ( * 39610 )
+      NEW met1 ( 21390 17850 ) ( 28290 * )
+      NEW met2 ( 21390 17850 ) ( * 25670 )
+      NEW met1 ( 26450 15470 ) ( 35650 * )
+      NEW met2 ( 26450 15470 ) ( * 17850 )
+      NEW met1 ( 35650 50490 ) ( * 50830 )
+      NEW met1 ( 35650 50830 ) ( 42090 * )
+      NEW met1 ( 42090 50490 ) ( * 50830 )
+      NEW met2 ( 35650 41820 ) ( * 50490 )
+      NEW li1 ( 25070 39610 ) L1M1_PR_MR
+      NEW met1 ( 35190 39950 ) M1M2_PR
+      NEW li1 ( 17250 25670 ) L1M1_PR_MR
+      NEW met1 ( 21390 25670 ) M1M2_PR
+      NEW met1 ( 21850 38930 ) M1M2_PR
+      NEW met1 ( 25070 38930 ) M1M2_PR
+      NEW met1 ( 25070 39610 ) M1M2_PR
+      NEW li1 ( 28290 17850 ) L1M1_PR_MR
+      NEW met1 ( 21390 17850 ) M1M2_PR
+      NEW li1 ( 35650 15470 ) L1M1_PR_MR
+      NEW met1 ( 26450 15470 ) M1M2_PR
+      NEW met1 ( 26450 17850 ) M1M2_PR
+      NEW li1 ( 35650 50490 ) L1M1_PR_MR
+      NEW li1 ( 42090 50490 ) L1M1_PR_MR
+      NEW met1 ( 35650 50490 ) M1M2_PR
+      NEW met1 ( 25070 39610 ) RECT ( -595 -70 0 70 ) 
+      NEW met1 ( 26450 17850 ) RECT ( -595 -70 0 70 ) 
+      NEW met1 ( 35650 50490 ) RECT ( -595 -70 0 70 )  ;
+    - hfsm.lin\[1\] ( _179_ A ) ( _164_ Y ) ( _163_ B ) ( _142_ A1 ) ( _129_ A2 ) ( _080_ A ) + USE SIGNAL
+      + ROUTED met1 ( 23230 25670 ) ( 23690 * )
+      NEW met2 ( 23690 25670 ) ( * 28220 )
+      NEW met3 ( 23690 28220 ) ( 26220 * )
+      NEW met4 ( 26220 28220 ) ( * 45900 )
+      NEW met3 ( 26220 45900 ) ( 36570 * )
+      NEW met1 ( 16330 25670 ) ( * 26010 )
+      NEW met1 ( 16330 26010 ) ( 23230 * )
+      NEW met1 ( 23230 25670 ) ( * 26010 )
+      NEW met2 ( 9890 26010 ) ( * 34170 )
+      NEW met1 ( 9890 26010 ) ( 16330 * )
+      NEW met2 ( 36570 50490 ) ( * 61370 )
+      NEW met1 ( 36570 50490 ) ( 40710 * )
+      NEW met2 ( 36570 45900 ) ( * 50490 )
+      NEW li1 ( 23230 25670 ) L1M1_PR_MR
+      NEW met1 ( 23690 25670 ) M1M2_PR
+      NEW met2 ( 23690 28220 ) via2_FR
+      NEW met3 ( 26220 28220 ) M3M4_PR_M
+      NEW met3 ( 26220 45900 ) M3M4_PR_M
+      NEW met2 ( 36570 45900 ) via2_FR
+      NEW li1 ( 16330 25670 ) L1M1_PR_MR
+      NEW li1 ( 9890 34170 ) L1M1_PR_MR
+      NEW met1 ( 9890 34170 ) M1M2_PR
+      NEW met1 ( 9890 26010 ) M1M2_PR
+      NEW li1 ( 36570 50490 ) L1M1_PR_MR
+      NEW met1 ( 36570 50490 ) M1M2_PR
+      NEW li1 ( 36570 61370 ) L1M1_PR_MR
+      NEW met1 ( 36570 61370 ) M1M2_PR
+      NEW li1 ( 40710 50490 ) L1M1_PR_MR
+      NEW met1 ( 9890 34170 ) RECT ( 0 -70 355 70 ) 
+      NEW met1 ( 36570 50490 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 36570 61370 ) RECT ( -355 -70 0 70 )  ;
+    - hfsm.lmatch\[0\] ( _156_ Y ) ( _155_ A ) ( _142_ B1 ) ( _079_ B ) + USE SIGNAL
+      + ROUTED met1 ( 22770 28050 ) ( 29210 * )
+      NEW met2 ( 29210 23290 ) ( * 28050 )
+      NEW met1 ( 29210 23290 ) ( 31050 * )
+      NEW met1 ( 20470 45390 ) ( 20930 * )
+      NEW met2 ( 20930 28050 ) ( * 45390 )
+      NEW met1 ( 20930 28050 ) ( 22770 * )
+      NEW met1 ( 20930 49810 ) ( 26910 * )
+      NEW met1 ( 26910 49810 ) ( * 50150 )
+      NEW met1 ( 26910 50150 ) ( 31050 * )
+      NEW met1 ( 31050 49810 ) ( * 50150 )
+      NEW met1 ( 31050 49810 ) ( 34270 * )
+      NEW met1 ( 34270 49810 ) ( * 50150 )
+      NEW met2 ( 20930 45390 ) ( * 49810 )
+      NEW li1 ( 22770 28050 ) L1M1_PR_MR
+      NEW met1 ( 29210 28050 ) M1M2_PR
+      NEW met1 ( 29210 23290 ) M1M2_PR
+      NEW li1 ( 31050 23290 ) L1M1_PR_MR
+      NEW li1 ( 20470 45390 ) L1M1_PR_MR
+      NEW met1 ( 20930 45390 ) M1M2_PR
+      NEW met1 ( 20930 28050 ) M1M2_PR
+      NEW met1 ( 20930 49810 ) M1M2_PR
+      NEW li1 ( 34270 50150 ) L1M1_PR_MR ;
+    - hfsm.lmatch\[1\] ( _162_ Y ) ( _161_ A ) ( _141_ A ) ( _129_ A1 ) ( _079_ A ) + USE SIGNAL
+      + ROUTED met1 ( 21390 59330 ) ( 37490 * )
+      NEW met2 ( 37490 59330 ) ( * 61370 )
+      NEW met1 ( 26450 22610 ) ( 29670 * )
+      NEW met2 ( 29670 17850 ) ( * 22610 )
+      NEW met1 ( 29670 17850 ) ( 34270 * )
+      NEW met1 ( 24150 39270 ) ( 27370 * )
+      NEW met2 ( 27370 33660 ) ( * 39270 )
+      NEW met2 ( 26910 33660 ) ( 27370 * )
+      NEW met2 ( 26910 22610 ) ( * 33660 )
+      NEW met2 ( 21390 39610 ) ( * 45390 )
+      NEW met1 ( 21390 39610 ) ( 24150 * )
+      NEW met1 ( 24150 39270 ) ( * 39610 )
+      NEW met2 ( 21390 45390 ) ( * 59330 )
+      NEW met1 ( 21390 59330 ) M1M2_PR
+      NEW met1 ( 37490 59330 ) M1M2_PR
+      NEW li1 ( 37490 61370 ) L1M1_PR_MR
+      NEW met1 ( 37490 61370 ) M1M2_PR
+      NEW li1 ( 26450 22610 ) L1M1_PR_MR
+      NEW met1 ( 29670 22610 ) M1M2_PR
+      NEW met1 ( 29670 17850 ) M1M2_PR
+      NEW li1 ( 34270 17850 ) L1M1_PR_MR
+      NEW li1 ( 24150 39270 ) L1M1_PR_MR
+      NEW met1 ( 27370 39270 ) M1M2_PR
+      NEW met1 ( 26910 22610 ) M1M2_PR
+      NEW li1 ( 21390 45390 ) L1M1_PR_MR
+      NEW met1 ( 21390 45390 ) M1M2_PR
+      NEW met1 ( 21390 39610 ) M1M2_PR
+      NEW met1 ( 37490 61370 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 26910 22610 ) RECT ( -595 -70 0 70 ) 
+      NEW met1 ( 21390 45390 ) RECT ( 0 -70 355 70 )  ;
+    - hfsm.nlmempty ( _180_ B ) ( _153_ A ) ( _118_ Y ) ( _081_ A ) + USE SIGNAL
+      + ROUTED met1 ( 27830 55930 ) ( 28290 * )
+      NEW met2 ( 27830 49980 ) ( * 55930 )
+      NEW met2 ( 27830 49980 ) ( 28290 * )
+      NEW met2 ( 28290 47260 ) ( * 47430 )
+      NEW met3 ( 28290 47260 ) ( 29900 * )
+      NEW met4 ( 29900 35020 ) ( * 47260 )
+      NEW met3 ( 29900 35020 ) ( 33350 * )
+      NEW met2 ( 33350 34170 ) ( * 35020 )
+      NEW met1 ( 9890 45390 ) ( 10350 * )
+      NEW met2 ( 10350 45390 ) ( * 47260 )
+      NEW met3 ( 10350 47260 ) ( 28290 * )
+      NEW met2 ( 28290 47430 ) ( * 49980 )
+      NEW li1 ( 28290 55930 ) L1M1_PR_MR
+      NEW met1 ( 27830 55930 ) M1M2_PR
+      NEW li1 ( 28290 47430 ) L1M1_PR_MR
+      NEW met1 ( 28290 47430 ) M1M2_PR
+      NEW met2 ( 28290 47260 ) via2_FR
+      NEW met3 ( 29900 47260 ) M3M4_PR_M
+      NEW met3 ( 29900 35020 ) M3M4_PR_M
+      NEW met2 ( 33350 35020 ) via2_FR
+      NEW li1 ( 33350 34170 ) L1M1_PR_MR
+      NEW met1 ( 33350 34170 ) M1M2_PR
+      NEW li1 ( 9890 45390 ) L1M1_PR_MR
+      NEW met1 ( 10350 45390 ) M1M2_PR
+      NEW met2 ( 10350 47260 ) via2_FR
+      NEW met1 ( 28290 47430 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 33350 34170 ) RECT ( -355 -70 0 70 )  ;
+    - vfsm.clear ( _173_ X ) ( _152_ A ) ( _137_ A ) ( _135_ A ) ( _120_ A ) + USE SIGNAL
+      + ROUTED met1 ( 18630 63070 ) ( 25070 * )
+      NEW met1 ( 25070 63070 ) ( * 63410 )
+      NEW met1 ( 25070 63410 ) ( 34730 * )
+      NEW met1 ( 14490 39610 ) ( * 39950 )
+      NEW met1 ( 14490 39950 ) ( 18630 * )
+      NEW met2 ( 18630 39950 ) ( * 52870 )
+      NEW met2 ( 16330 36550 ) ( * 39950 )
+      NEW met1 ( 14490 34170 ) ( * 34510 )
+      NEW met1 ( 14490 34510 ) ( 16330 * )
+      NEW met2 ( 16330 34510 ) ( * 36550 )
+      NEW met2 ( 18630 52870 ) ( * 63070 )
+      NEW met1 ( 18630 63070 ) M1M2_PR
+      NEW li1 ( 34730 63410 ) L1M1_PR_MR
+      NEW li1 ( 18630 52870 ) L1M1_PR_MR
+      NEW met1 ( 18630 52870 ) M1M2_PR
+      NEW li1 ( 14490 39610 ) L1M1_PR_MR
+      NEW met1 ( 18630 39950 ) M1M2_PR
+      NEW li1 ( 16330 36550 ) L1M1_PR_MR
+      NEW met1 ( 16330 36550 ) M1M2_PR
+      NEW met1 ( 16330 39950 ) M1M2_PR
+      NEW li1 ( 14490 34170 ) L1M1_PR_MR
+      NEW met1 ( 16330 34510 ) M1M2_PR
+      NEW met1 ( 18630 52870 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 16330 36550 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 16330 39950 ) RECT ( -595 -70 0 70 )  ;
+    - vfsm.in\[1\] ( _172_ A ) ( _159_ X ) ( _136_ A ) ( _099_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 47610 58310 ) ( 48070 * )
+      NEW met1 ( 47610 58310 ) ( * 58990 )
+      NEW met1 ( 43010 58990 ) ( 47610 * )
+      NEW met1 ( 43010 58310 ) ( * 58990 )
+      NEW met2 ( 48990 28730 ) ( * 30260 )
+      NEW met2 ( 48990 30260 ) ( 49450 * )
+      NEW met2 ( 49450 30260 ) ( * 41820 )
+      NEW met2 ( 49450 41820 ) ( 50370 * )
+      NEW met2 ( 50370 41820 ) ( * 58990 )
+      NEW met1 ( 47610 58990 ) ( 50370 * )
+      NEW met1 ( 48990 26690 ) ( 51290 * )
+      NEW met2 ( 48990 26690 ) ( * 28730 )
+      NEW li1 ( 48070 58310 ) L1M1_PR_MR
+      NEW li1 ( 43010 58310 ) L1M1_PR_MR
+      NEW li1 ( 48990 28730 ) L1M1_PR_MR
+      NEW met1 ( 48990 28730 ) M1M2_PR
+      NEW met1 ( 50370 58990 ) M1M2_PR
+      NEW li1 ( 51290 26690 ) L1M1_PR_MR
+      NEW met1 ( 48990 26690 ) M1M2_PR
+      NEW met1 ( 48990 28730 ) RECT ( -355 -70 0 70 )  ;
+    - vfsm.lin\[0\] ( _175_ A ) ( _171_ A ) ( _120_ Y ) ( _119_ A ) ( _106_ A1 ) ( _105_ B ) + USE SIGNAL
+      + ROUTED met2 ( 37030 31620 ) ( * 36550 )
+      NEW met2 ( 37030 31620 ) ( 37490 * )
+      NEW met2 ( 37490 17850 ) ( * 31620 )
+      NEW met1 ( 37490 17850 ) ( 40250 * )
+      NEW met2 ( 54510 30770 ) ( * 34170 )
+      NEW met1 ( 37490 30770 ) ( 54510 * )
+      NEW met3 ( 40710 42500 ) ( 40940 * )
+      NEW met3 ( 40940 38420 ) ( * 42500 )
+      NEW met3 ( 40940 38420 ) ( 41170 * )
+      NEW met2 ( 41170 37230 ) ( * 38420 )
+      NEW met1 ( 38410 37230 ) ( 41170 * )
+      NEW met1 ( 38410 36890 ) ( * 37230 )
+      NEW met1 ( 37030 36890 ) ( 38410 * )
+      NEW met1 ( 37030 36550 ) ( * 36890 )
+      NEW met2 ( 20470 47430 ) ( * 47940 )
+      NEW met3 ( 20470 47940 ) ( 36110 * )
+      NEW met1 ( 15410 38930 ) ( 20010 * )
+      NEW met2 ( 20010 38930 ) ( * 43860 )
+      NEW met2 ( 20010 43860 ) ( 20470 * )
+      NEW met2 ( 20470 43860 ) ( * 47430 )
+      NEW met1 ( 36110 49810 ) ( 40710 * )
+      NEW met2 ( 36110 47940 ) ( * 49810 )
+      NEW met2 ( 40710 42500 ) ( * 52870 )
+      NEW li1 ( 37030 36550 ) L1M1_PR_MR
+      NEW met1 ( 37030 36550 ) M1M2_PR
+      NEW met1 ( 37490 17850 ) M1M2_PR
+      NEW li1 ( 40250 17850 ) L1M1_PR_MR
+      NEW li1 ( 54510 34170 ) L1M1_PR_MR
+      NEW met1 ( 54510 34170 ) M1M2_PR
+      NEW met1 ( 54510 30770 ) M1M2_PR
+      NEW met1 ( 37490 30770 ) M1M2_PR
+      NEW met2 ( 40710 42500 ) via2_FR
+      NEW met2 ( 41170 38420 ) via2_FR
+      NEW met1 ( 41170 37230 ) M1M2_PR
+      NEW li1 ( 20470 47430 ) L1M1_PR_MR
+      NEW met1 ( 20470 47430 ) M1M2_PR
+      NEW met2 ( 20470 47940 ) via2_FR
+      NEW met2 ( 36110 47940 ) via2_FR
+      NEW li1 ( 15410 38930 ) L1M1_PR_MR
+      NEW met1 ( 20010 38930 ) M1M2_PR
+      NEW li1 ( 40710 52870 ) L1M1_PR_MR
+      NEW met1 ( 40710 52870 ) M1M2_PR
+      NEW met1 ( 36110 49810 ) M1M2_PR
+      NEW met1 ( 40710 49810 ) M1M2_PR
+      NEW met1 ( 37030 36550 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 54510 34170 ) RECT ( -355 -70 0 70 ) 
+      NEW met2 ( 37490 30770 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 20470 47430 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 40710 52870 ) RECT ( 0 -70 355 70 ) 
+      NEW met2 ( 40710 49810 ) RECT ( -70 -485 70 0 )  ;
+    - vfsm.lin\[1\] ( _175_ B ) ( _171_ B ) ( _137_ Y ) ( _136_ B ) ( _106_ A2 ) ( _099_ A2 ) + USE SIGNAL
+      + ROUTED met1 ( 49450 28390 ) ( * 28730 )
+      NEW met1 ( 40710 28390 ) ( 49450 * )
+      NEW met1 ( 40710 28050 ) ( * 28390 )
+      NEW met2 ( 40710 17850 ) ( * 28050 )
+      NEW met1 ( 40710 17850 ) ( 41170 * )
+      NEW met1 ( 38870 36210 ) ( * 36550 )
+      NEW met1 ( 38870 36210 ) ( 39330 * )
+      NEW met2 ( 39330 28390 ) ( * 36210 )
+      NEW met1 ( 39330 28390 ) ( 40710 * )
+      NEW met1 ( 39790 52870 ) ( 40250 * )
+      NEW met2 ( 40250 50150 ) ( * 52870 )
+      NEW met1 ( 39330 50150 ) ( 40250 * )
+      NEW met2 ( 39330 39780 ) ( * 50150 )
+      NEW met3 ( 39100 39780 ) ( 39330 * )
+      NEW met3 ( 39100 36380 ) ( * 39780 )
+      NEW met3 ( 39100 36380 ) ( 39330 * )
+      NEW met2 ( 39330 36210 ) ( * 36380 )
+      NEW met2 ( 40250 52870 ) ( * 58310 )
+      NEW met1 ( 17250 35870 ) ( 20470 * )
+      NEW met2 ( 20470 35870 ) ( * 39100 )
+      NEW met3 ( 20470 39100 ) ( 39100 * )
+      NEW li1 ( 49450 28730 ) L1M1_PR_MR
+      NEW met1 ( 40710 28050 ) M1M2_PR
+      NEW met1 ( 40710 17850 ) M1M2_PR
+      NEW li1 ( 41170 17850 ) L1M1_PR_MR
+      NEW li1 ( 38870 36550 ) L1M1_PR_MR
+      NEW met1 ( 39330 36210 ) M1M2_PR
+      NEW met1 ( 39330 28390 ) M1M2_PR
+      NEW li1 ( 39790 52870 ) L1M1_PR_MR
+      NEW met1 ( 40250 52870 ) M1M2_PR
+      NEW met1 ( 40250 50150 ) M1M2_PR
+      NEW met1 ( 39330 50150 ) M1M2_PR
+      NEW met2 ( 39330 39780 ) via2_FR
+      NEW met2 ( 39330 36380 ) via2_FR
+      NEW li1 ( 40250 58310 ) L1M1_PR_MR
+      NEW met1 ( 40250 58310 ) M1M2_PR
+      NEW li1 ( 17250 35870 ) L1M1_PR_MR
+      NEW met1 ( 20470 35870 ) M1M2_PR
+      NEW met2 ( 20470 39100 ) via2_FR
+      NEW met1 ( 40250 58310 ) RECT ( -355 -70 0 70 )  ;
+    - vfsm.lmatch\[0\] ( _174_ B ) ( _152_ Y ) ( _151_ A ) ( _106_ B1 ) + USE SIGNAL
+      + ROUTED met1 ( 22310 31110 ) ( * 31450 )
+      NEW met1 ( 22310 31450 ) ( 38870 * )
+      NEW met2 ( 38870 23290 ) ( * 31450 )
+      NEW met1 ( 38870 23290 ) ( 48530 * )
+      NEW met1 ( 15410 34850 ) ( 16790 * )
+      NEW met1 ( 16790 34510 ) ( * 34850 )
+      NEW met1 ( 16790 34510 ) ( 20470 * )
+      NEW met2 ( 20470 31450 ) ( * 34510 )
+      NEW met1 ( 20470 31450 ) ( 22310 * )
+      NEW met1 ( 38410 52870 ) ( 39330 * )
+      NEW met2 ( 39330 52700 ) ( * 52870 )
+      NEW met2 ( 39330 52700 ) ( 39790 * )
+      NEW met2 ( 39790 31450 ) ( * 52700 )
+      NEW met1 ( 38870 31450 ) ( 39790 * )
+      NEW li1 ( 22310 31110 ) L1M1_PR_MR
+      NEW met1 ( 38870 31450 ) M1M2_PR
+      NEW met1 ( 38870 23290 ) M1M2_PR
+      NEW li1 ( 48530 23290 ) L1M1_PR_MR
+      NEW li1 ( 15410 34850 ) L1M1_PR_MR
+      NEW met1 ( 20470 34510 ) M1M2_PR
+      NEW met1 ( 20470 31450 ) M1M2_PR
+      NEW li1 ( 38410 52870 ) L1M1_PR_MR
+      NEW met1 ( 39330 52870 ) M1M2_PR
+      NEW met1 ( 39790 31450 ) M1M2_PR ;
+    - vfsm.lmatch\[1\] ( _174_ A ) ( _135_ Y ) ( _134_ A ) ( _105_ A ) ( _099_ A1 ) + USE SIGNAL
+      + ROUTED met1 ( 19090 47430 ) ( 19550 * )
+      NEW met1 ( 43470 25670 ) ( 43930 * )
+      NEW met2 ( 43470 25670 ) ( * 26180 )
+      NEW met3 ( 40940 26180 ) ( 43470 * )
+      NEW met4 ( 40940 26180 ) ( * 43180 )
+      NEW met3 ( 40940 43180 ) ( 41630 * )
+      NEW met2 ( 47150 23630 ) ( * 25330 )
+      NEW met1 ( 43930 25330 ) ( 47150 * )
+      NEW met1 ( 43930 25330 ) ( * 25670 )
+      NEW met1 ( 47150 23630 ) ( 49450 * )
+      NEW met1 ( 41170 58310 ) ( 41630 * )
+      NEW met1 ( 21850 52870 ) ( 28750 * )
+      NEW met1 ( 28750 52530 ) ( * 52870 )
+      NEW met1 ( 28750 52530 ) ( 30130 * )
+      NEW met1 ( 30130 52190 ) ( * 52530 )
+      NEW met1 ( 30130 52190 ) ( 41630 * )
+      NEW met3 ( 19090 52700 ) ( 23690 * )
+      NEW met2 ( 23690 52700 ) ( * 52870 )
+      NEW met2 ( 19090 47430 ) ( * 52700 )
+      NEW met2 ( 41630 43180 ) ( * 58310 )
+      NEW li1 ( 49450 23630 ) L1M1_PR_MR
+      NEW met1 ( 19090 47430 ) M1M2_PR
+      NEW li1 ( 19550 47430 ) L1M1_PR_MR
+      NEW li1 ( 43930 25670 ) L1M1_PR_MR
+      NEW met1 ( 43470 25670 ) M1M2_PR
+      NEW met2 ( 43470 26180 ) via2_FR
+      NEW met3 ( 40940 26180 ) M3M4_PR_M
+      NEW met3 ( 40940 43180 ) M3M4_PR_M
+      NEW met2 ( 41630 43180 ) via2_FR
+      NEW met1 ( 47150 23630 ) M1M2_PR
+      NEW met1 ( 47150 25330 ) M1M2_PR
+      NEW met1 ( 41630 58310 ) M1M2_PR
+      NEW li1 ( 41170 58310 ) L1M1_PR_MR
+      NEW li1 ( 21850 52870 ) L1M1_PR_MR
+      NEW met1 ( 41630 52190 ) M1M2_PR
+      NEW met2 ( 19090 52700 ) via2_FR
+      NEW met2 ( 23690 52700 ) via2_FR
+      NEW met1 ( 23690 52870 ) M1M2_PR
+      NEW met2 ( 41630 52190 ) RECT ( -70 -485 70 0 ) 
+      NEW met1 ( 23690 52870 ) RECT ( -595 -70 0 70 )  ;
+    - vfsm.nlmempty ( _178_ Y ) ( _176_ A ) ( _172_ B ) ( _121_ A ) + USE SIGNAL
+      + ROUTED met1 ( 40250 42330 ) ( 45310 * )
+      NEW met2 ( 40250 14790 ) ( * 42330 )
+      NEW met2 ( 49450 42670 ) ( * 50490 )
+      NEW met1 ( 45310 42670 ) ( 49450 * )
+      NEW met1 ( 45310 42330 ) ( * 42670 )
+      NEW met1 ( 49450 58310 ) ( 49910 * )
+      NEW met2 ( 49910 56610 ) ( * 58310 )
+      NEW met1 ( 48990 56610 ) ( 49910 * )
+      NEW met2 ( 48990 52020 ) ( * 56610 )
+      NEW met2 ( 48990 52020 ) ( 49450 * )
+      NEW met2 ( 49450 50490 ) ( * 52020 )
+      NEW li1 ( 45310 42330 ) L1M1_PR_MR
+      NEW met1 ( 40250 42330 ) M1M2_PR
+      NEW li1 ( 40250 14790 ) L1M1_PR_MR
+      NEW met1 ( 40250 14790 ) M1M2_PR
+      NEW li1 ( 49450 50490 ) L1M1_PR_MR
+      NEW met1 ( 49450 50490 ) M1M2_PR
+      NEW met1 ( 49450 42670 ) M1M2_PR
+      NEW li1 ( 49450 58310 ) L1M1_PR_MR
+      NEW met1 ( 49910 58310 ) M1M2_PR
+      NEW met1 ( 49910 56610 ) M1M2_PR
+      NEW met1 ( 48990 56610 ) M1M2_PR
+      NEW met1 ( 40250 14790 ) RECT ( -355 -70 0 70 ) 
+      NEW met1 ( 49450 50490 ) RECT ( -355 -70 0 70 )  ;
+END NETS
+END DESIGN
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/hierarchy.dot b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/hierarchy.dot
new file mode 100644
index 0000000..dd41000
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/hierarchy.dot
@@ -0,0 +1,201 @@
+digraph "ycell" {
+label="ycell";
+rankdir="LR";
+remincross=true;
+n21 [ shape=diamond, label="bvout", color="black", fontcolor="black" ];
+n22 [ shape=diamond, label="vmatch", color="black", fontcolor="black" ];
+n23 [ shape=diamond, label="bhout", color="black", fontcolor="black" ];
+n24 [ shape=diamond, label="hmatch", color="black", fontcolor="black" ];
+n25 [ shape=diamond, label="vback", color="black", fontcolor="black" ];
+n26 [ shape=diamond, label="vout", color="black", fontcolor="black" ];
+n27 [ shape=diamond, label="vin", color="black", fontcolor="black" ];
+n28 [ shape=diamond, label="vreset", color="black", fontcolor="black" ];
+n29 [ shape=diamond, label="hback", color="black", fontcolor="black" ];
+n30 [ shape=diamond, label="hout", color="black", fontcolor="black" ];
+n31 [ shape=diamond, label="hin", color="black", fontcolor="black" ];
+n32 [ shape=diamond, label="hreset", color="black", fontcolor="black" ];
+n33 [ shape=diamond, label="vmatch1", color="black", fontcolor="black" ];
+n34 [ shape=diamond, label="vmatch0", color="black", fontcolor="black" ];
+n35 [ shape=diamond, label="vbypass", color="black", fontcolor="black" ];
+n36 [ shape=diamond, label="vblock", color="black", fontcolor="black" ];
+n37 [ shape=diamond, label="hmatch1", color="black", fontcolor="black" ];
+n38 [ shape=diamond, label="hmatch0", color="black", fontcolor="black" ];
+n39 [ shape=diamond, label="hbypass", color="black", fontcolor="black" ];
+n40 [ shape=diamond, label="hblock", color="black", fontcolor="black" ];
+n41 [ shape=diamond, label="empty", color="black", fontcolor="black" ];
+n42 [ shape=octagon, label="rout", color="black", fontcolor="black" ];
+n43 [ shape=octagon, label="rin", color="black", fontcolor="black" ];
+n44 [ shape=octagon, label="rempty", color="black", fontcolor="black" ];
+n45 [ shape=octagon, label="lout", color="black", fontcolor="black" ];
+n46 [ shape=octagon, label="lin", color="black", fontcolor="black" ];
+n47 [ shape=octagon, label="lempty", color="black", fontcolor="black" ];
+n48 [ shape=octagon, label="dout", color="black", fontcolor="black" ];
+n49 [ shape=octagon, label="din", color="black", fontcolor="black" ];
+n50 [ shape=octagon, label="dempty", color="black", fontcolor="black" ];
+n51 [ shape=octagon, label="uout", color="black", fontcolor="black" ];
+n52 [ shape=octagon, label="uin", color="black", fontcolor="black" ];
+n53 [ shape=octagon, label="uempty", color="black", fontcolor="black" ];
+n54 [ shape=octagon, label="vempty", color="black", fontcolor="black" ];
+n55 [ shape=octagon, label="hempty", color="black", fontcolor="black" ];
+n56 [ shape=octagon, label="cbitout", color="black", fontcolor="black" ];
+n57 [ shape=octagon, label="cbitin", color="black", fontcolor="black" ];
+n58 [ shape=octagon, label="confclk", color="black", fontcolor="black" ];
+n59 [ shape=octagon, label="reset", color="black", fontcolor="black" ];
+c64 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$51\n$mux|{<p63> Y}}" ];
+c65 [ shape=record, label="{{<p60> A|<p61> B}|$50\n$or|{<p63> Y}}" ];
+c66 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$49\n$mux|{<p63> Y}}" ];
+x0 [ shape=record, style=rounded, label="<s1> 0:0 - 1:1 |0 -&gt; 0:0 " ];
+x0:e -> c66:p61:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", style="setlinewidth(3)", label=""];
+c67 [ shape=record, label="{{<p60> A}|$48\n$not|{<p63> Y}}" ];
+c68 [ shape=record, label="{{<p60> A|<p61> B}|$47\n$or|{<p63> Y}}" ];
+x1 [ shape=record, style=rounded, label="<s0> 0:0 - 0:0 " ];
+x1:e -> c68:p61:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+x2 [ shape=record, style=rounded, label="<s0> 1:1 - 0:0 " ];
+x2:e -> c68:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c69 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$46\n$mux|{<p63> Y}}" ];
+c73 [ shape=record, label="{{<p70> in|<p71> match|<p59> reset}|vfsm\nycfsm|{<p72> out}}" ];
+c74 [ shape=record, label="{{<p60> A|<p61> B}|$45\n$and|{<p63> Y}}" ];
+x3 [ shape=record, style=rounded, label="<s0> 1:1 - 0:0 " ];
+x3:e -> c74:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c75 [ shape=record, label="{{<p60> A|<p61> B}|$44\n$and|{<p63> Y}}" ];
+x4 [ shape=record, style=rounded, label="<s0> 0:0 - 0:0 " ];
+x4:e -> c75:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c76 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$43\n$mux|{<p63> Y}}" ];
+c77 [ shape=record, label="{{<p60> A|<p61> B}|$42\n$or|{<p63> Y}}" ];
+c78 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$41\n$mux|{<p63> Y}}" ];
+x5 [ shape=record, style=rounded, label="<s1> 0:0 - 1:1 |0 -&gt; 0:0 " ];
+x5:e -> c78:p61:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", style="setlinewidth(3)", label=""];
+c79 [ shape=record, label="{{<p60> A}|$40\n$not|{<p63> Y}}" ];
+c80 [ shape=record, label="{{<p60> A|<p61> B}|$39\n$or|{<p63> Y}}" ];
+x6 [ shape=record, style=rounded, label="<s0> 0:0 - 0:0 " ];
+x6:e -> c80:p61:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+x7 [ shape=record, style=rounded, label="<s0> 1:1 - 0:0 " ];
+x7:e -> c80:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c81 [ shape=record, label="{{<p60> A|<p61> B|<p62> S}|$38\n$mux|{<p63> Y}}" ];
+c82 [ shape=record, label="{{<p70> in|<p71> match|<p59> reset}|hfsm\nycfsm|{<p72> out}}" ];
+c83 [ shape=record, label="{{<p60> A|<p61> B}|$37\n$and|{<p63> Y}}" ];
+x8 [ shape=record, style=rounded, label="<s0> 1:1 - 0:0 " ];
+x8:e -> c83:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c84 [ shape=record, label="{{<p60> A|<p61> B}|$36\n$and|{<p63> Y}}" ];
+x9 [ shape=record, style=rounded, label="<s0> 0:0 - 0:0 " ];
+x9:e -> c84:p60:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""];
+c85 [ shape=record, label="{{<p60> A|<p61> B}|$35\n$or|{<p63> Y}}" ];
+c86 [ shape=record, label="{{<p60> A|<p61> B}|$34\n$or|{<p63> Y}}" ];
+c87 [ shape=record, label="{{<p60> A|<p61> B}|$33\n$or|{<p63> Y}}" ];
+c88 [ shape=record, label="{{<p60> A|<p61> B}|$32\n$or|{<p63> Y}}" ];
+c89 [ shape=record, label="{{<p57> cbitin|<p58> confclk}|cfg\nycconfig|{<p56> cbitout|<p41> empty|<p40> hblock|<p39> hbypass|<p38> hmatch0|<p37> hmatch1|<p36> vblock|<p35> vbypass|<p34> vmatch0|<p33> vmatch1}}" ];
+x10 [shape=box, style=rounded, label="BUF"];
+x11 [shape=box, style=rounded, label="BUF"];
+x12 [shape=box, style=rounded, label="BUF"];
+x13 [shape=box, style=rounded, label="BUF"];
+x14 [ shape=record, style=rounded, label="<s1> 0:0 - 1:1 |<s0> 0:0 - 0:0 " ];
+x15 [shape=box, style=rounded, label="BUF"];
+x16 [shape=box, style=rounded, label="BUF"];
+x17 [shape=box, style=rounded, label="BUF"];
+x18 [shape=box, style=rounded, label="BUF"];
+x19 [shape=box, style=rounded, label="BUF"];
+x20 [ shape=record, style=rounded, label="<s1> 0:0 - 1:1 |<s0> 0:0 - 0:0 " ];
+x21 [shape=box, style=rounded, label="BUF"];
+x22 [shape=box, style=rounded, label="BUF"];
+x23 [shape=box, style=rounded, label="BUF"];
+x24 [shape=box, style=rounded, label="BUF"];
+x25 [shape=box, style=rounded, label="BUF"];
+c64:p63:e -> x24:w:w [color="black", style="setlinewidth(3)", label=""];
+c77:p63:e -> c76:p62:w [color="black", label=""];
+c78:p63:e -> x17:w:w [color="black", style="setlinewidth(3)", label=""];
+c79:p63:e -> x5:s1:w [color="black", label=""];
+c80:p63:e -> c79:p60:w [color="black", label=""];
+c81:p63:e -> x15:w:w [color="black", style="setlinewidth(3)", label=""];
+c83:p63:e -> x14:s1:w [color="black", label=""];
+c84:p63:e -> x14:s0:w [color="black", label=""];
+c85:p63:e -> x13:w:w [color="black", label=""];
+c86:p63:e -> x12:w:w [color="black", label=""];
+c87:p63:e -> x11:w:w [color="black", label=""];
+c65:p63:e -> c64:p62:w [color="black", label=""];
+c88:p63:e -> x10:w:w [color="black", label=""];
+x21:e:e -> n21:w [color="black", style="setlinewidth(3)", label=""];
+n21:e -> c64:p61:w [color="black", style="setlinewidth(3)", label=""];
+n21:e -> x22:w:w [color="black", style="setlinewidth(3)", label=""];
+x20:e -> n22:w [color="black", style="setlinewidth(3)", label=""];
+n22:e -> c73:p71:w [color="black", style="setlinewidth(3)", label=""];
+x15:e:e -> n23:w [color="black", style="setlinewidth(3)", label=""];
+n23:e -> c76:p61:w [color="black", style="setlinewidth(3)", label=""];
+n23:e -> x16:w:w [color="black", style="setlinewidth(3)", label=""];
+x14:e -> n24:w [color="black", style="setlinewidth(3)", label=""];
+n24:e -> c82:p71:w [color="black", style="setlinewidth(3)", label=""];
+x24:e:e -> n25:w [color="black", style="setlinewidth(3)", label=""];
+n25:e -> x1:s0:w [color="black", style="setlinewidth(3)", label=""];
+n25:e -> x25:w:w [color="black", style="setlinewidth(3)", label=""];
+n25:e -> x2:s0:w [color="black", style="setlinewidth(3)", label=""];
+n25:e -> x8:s0:w [color="black", style="setlinewidth(3)", label=""];
+n25:e -> x9:s0:w [color="black", style="setlinewidth(3)", label=""];
+c73:p72:e -> n26:w [color="black", style="setlinewidth(3)", label=""];
+n26:e -> c69:p60:w [color="black", style="setlinewidth(3)", label=""];
+x23:e:e -> n27:w [color="black", style="setlinewidth(3)", label=""];
+n27:e -> c69:p61:w [color="black", style="setlinewidth(3)", label=""];
+n27:e -> c73:p70:w [color="black", style="setlinewidth(3)", label=""];
+x13:e:e -> n28:w [color="black", label=""];
+n28:e -> c73:p59:w [color="black", label=""];
+x18:e:e -> n29:w [color="black", style="setlinewidth(3)", label=""];
+n29:e -> x19:w:w [color="black", style="setlinewidth(3)", label=""];
+n29:e -> x3:s0:w [color="black", style="setlinewidth(3)", label=""];
+n29:e -> x4:s0:w [color="black", style="setlinewidth(3)", label=""];
+n29:e -> x6:s0:w [color="black", style="setlinewidth(3)", label=""];
+n29:e -> x7:s0:w [color="black", style="setlinewidth(3)", label=""];
+c66:p63:e -> x23:w:w [color="black", style="setlinewidth(3)", label=""];
+c82:p72:e -> n30:w [color="black", style="setlinewidth(3)", label=""];
+n30:e -> c81:p60:w [color="black", style="setlinewidth(3)", label=""];
+x17:e:e -> n31:w [color="black", style="setlinewidth(3)", label=""];
+n31:e -> c81:p61:w [color="black", style="setlinewidth(3)", label=""];
+n31:e -> c82:p70:w [color="black", style="setlinewidth(3)", label=""];
+x11:e:e -> n32:w [color="black", label=""];
+n32:e -> c82:p59:w [color="black", label=""];
+c89:p33:e -> n33:w [color="black", label=""];
+n33:e -> c74:p61:w [color="black", label=""];
+c89:p34:e -> n34:w [color="black", label=""];
+n34:e -> c75:p61:w [color="black", label=""];
+c89:p35:e -> n35:w [color="black", label=""];
+n35:e -> c69:p62:w [color="black", label=""];
+c89:p36:e -> n36:w [color="black", label=""];
+n36:e -> c85:p61:w [color="black", label=""];
+n36:e -> c86:p61:w [color="black", label=""];
+c89:p37:e -> n37:w [color="black", label=""];
+n37:e -> c83:p61:w [color="black", label=""];
+c89:p38:e -> n38:w [color="black", label=""];
+n38:e -> c84:p61:w [color="black", label=""];
+c89:p39:e -> n39:w [color="black", label=""];
+n39:e -> c81:p62:w [color="black", label=""];
+c67:p63:e -> x0:s1:w [color="black", label=""];
+c89:p40:e -> n40:w [color="black", label=""];
+n40:e -> c87:p61:w [color="black", label=""];
+n40:e -> c88:p61:w [color="black", label=""];
+c89:p41:e -> n41:w [color="black", label=""];
+n41:e -> c86:p60:w [color="black", label=""];
+n41:e -> c88:p60:w [color="black", label=""];
+x16:e:e -> n42:w [color="black", style="setlinewidth(3)", label=""];
+n43:e -> c76:p60:w [color="black", style="setlinewidth(3)", label=""];
+n44:e -> c77:p60:w [color="black", label=""];
+x19:e:e -> n45:w [color="black", style="setlinewidth(3)", label=""];
+n46:e -> c78:p60:w [color="black", style="setlinewidth(3)", label=""];
+n47:e -> c78:p62:w [color="black", label=""];
+x22:e:e -> n48:w [color="black", style="setlinewidth(3)", label=""];
+n49:e -> c64:p60:w [color="black", style="setlinewidth(3)", label=""];
+c68:p63:e -> c67:p60:w [color="black", label=""];
+n50:e -> c65:p60:w [color="black", label=""];
+x25:e:e -> n51:w [color="black", style="setlinewidth(3)", label=""];
+n52:e -> c66:p60:w [color="black", style="setlinewidth(3)", label=""];
+n53:e -> c66:p62:w [color="black", label=""];
+x12:e:e -> n54:w [color="black", label=""];
+n54:e -> c65:p61:w [color="black", label=""];
+x10:e:e -> n55:w [color="black", label=""];
+n55:e -> c77:p61:w [color="black", label=""];
+c89:p56:e -> n56:w [color="black", label=""];
+n57:e -> c89:p57:w [color="black", label=""];
+n58:e -> c89:p58:w [color="black", label=""];
+n59:e -> c85:p60:w [color="black", label=""];
+n59:e -> c87:p60:w [color="black", label=""];
+c69:p63:e -> x21:w:w [color="black", style="setlinewidth(3)", label=""];
+c74:p63:e -> x20:s1:w [color="black", label=""];
+c75:p63:e -> x20:s0:w [color="black", label=""];
+c76:p63:e -> x18:w:w [color="black", style="setlinewidth(3)", label=""];
+}
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/merged_unpadded.lef b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/merged_unpadded.lef
new file mode 120000
index 0000000..c48782e
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/merged_unpadded.lef
@@ -0,0 +1 @@
+../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/yosys.sdc b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/yosys.sdc
new file mode 100644
index 0000000..ff08f2a
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/synthesis/yosys.sdc
@@ -0,0 +1,2 @@
+set_driving_cell sky130_fd_sc_hd__inv_8
+set_load 17.65
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/tracks_copy.info b/openlane/morphle_ycell/runs/morphle_ycell/tmp/tracks_copy.info
new file mode 100644
index 0000000..948dbd2
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/tracks_copy.info
@@ -0,0 +1,12 @@
+li1 X 0.23 0.46
+li1 Y 0.17 0.34
+met1 X 0.17 0.34
+met1 Y 0.17 0.34
+met2 X 0.23 0.46
+met2 Y 0.23 0.46
+met3 X 0.34 0.68
+met3 Y 0.34 0.68
+met4 X 0.46 0.92
+met4 Y 0.46 0.92
+met5 X 1.70 3.40
+met5 Y 1.70 3.40
diff --git a/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib b/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib
new file mode 100644
index 0000000..1f1f6ff
--- /dev/null
+++ b/openlane/morphle_ycell/runs/morphle_ycell/tmp/trimmed.lib
@@ -0,0 +1,27326 @@
+library ("sky130_fd_sc_hd__tt_025C_1v80") {
+    define(def_sim_opt,library,string);
+    define(default_arc_mode,library,string);
+    define(default_constraint_arc_mode,library,string);
+    define(driver_model,library,string);
+    define(leakage_sim_opt,library,string);
+    define(min_pulse_width_mode,library,string);
+    define(simulator,library,string);
+    define(switching_power_split_model,library,string);
+    define(sim_opt,timing,string);
+    define(violation_delay_degrade_pct,timing,string);
+    technology("cmos");
+    delay_model : "table_lookup";
+    bus_naming_style : "%s[%d]";
+    time_unit : "1ns";
+    voltage_unit : "1V";
+    leakage_power_unit : "1nW";
+    current_unit : "1mA";
+    pulling_resistance_unit : "1kohm";
+    capacitive_load_unit(1.0000000000, "pf");
+    revision : 1.0000000000;
+    default_cell_leakage_power : 0.0000000000;
+    default_fanout_load : 0.0000000000;
+    default_inout_pin_cap : 0.0000000000;
+    default_input_pin_cap : 0.0000000000;
+    default_max_transition : 1.5000000000;
+    default_output_pin_cap : 0.0000000000;
+    default_arc_mode : "worst_edges";
+    default_constraint_arc_mode : "worst";
+    default_leakage_power_density : 0.0000000000;
+    default_operating_conditions : "tt_025C_1v80";
+    operating_conditions ("tt_025C_1v80") {
+        voltage : 1.8000000000;
+        process : 1.0000000000;
+        temperature : 25.000000000;
+        tree_type : "balanced_tree";
+    }
+    power_lut_template ("power_inputs_1") {
+        variable_1 : "input_transition_time";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+    }
+    power_lut_template ("power_outputs_1") {
+        variable_1 : "input_transition_time";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("constraint_3_0_1") {
+        variable_1 : "related_pin_transition";
+        index_1("1, 2, 3");
+    }
+    lu_table_template ("del_1_7_7") {
+        variable_1 : "input_net_transition";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("driver_waveform_template") {
+        variable_1 : "input_net_transition";
+        variable_2 : "normalized_voltage";
+        index_1("1, 2");
+        index_2("1, 2");
+    }
+    lu_table_template ("vio_3_3_1") {
+        variable_1 : "related_pin_transition";
+        variable_2 : "constrained_pin_transition";
+        index_1("1, 2, 3");
+        index_2("1, 2, 3");
+    }
+    normalized_driver_waveform ("driver_waveform_template") {
+        index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
+        index_2("0.0000000000, 0.5000000000, 1.0000000000");
+        driver_waveform_name : "ramp";
+        values("0.0000000000, 0.0083333333, 0.0166666670", \
+            "0.0000000000, 0.0192088180, 0.0384176350", \
+            "0.0000000000, 0.0442774400, 0.0885548810", \
+            "0.0000000000, 0.1020620700, 0.2041241500", \
+            "0.0000000000, 0.2352590100, 0.4705180100", \
+            "0.0000000000, 0.4166666700, 0.8333333300", \
+            "0.0000000000, 0.5422856800, 1.0845714000", \
+            "0.0000000000, 1.2500000000, 2.5000000000");
+    }
+    library_features("report_delay_calculation");
+    voltage_map("VSS", 0.0000000000);
+    voltage_map("KAPWR", 1.8000000000);
+    voltage_map("LOWLVPWR", 1.8000000000);
+    voltage_map("VGND", 0.0000000000);
+    voltage_map("VNB", 0.0000000000);
+    voltage_map("VPB", 1.8000000000);
+    voltage_map("VPWR", 1.8000000000);
+    voltage_map("VPWRIN", 1.8000000000);
+    driver_model : "ramp";
+    in_place_swap_mode : "match_footprint";
+    input_threshold_pct_fall : 50.000000000;
+    input_threshold_pct_rise : 50.000000000;
+    min_pulse_width_mode : "max";
+    nom_process : 1.0000000000;
+    nom_temperature : 25.000000000;
+    nom_voltage : 1.8000000000;
+    output_threshold_pct_fall : 50.000000000;
+    output_threshold_pct_rise : 50.000000000;
+    simulation : "true";
+    slew_derate_from_library : 1.0000000000;
+    slew_lower_threshold_pct_fall : 20.000000000;
+    slew_lower_threshold_pct_rise : 20.000000000;
+    slew_upper_threshold_pct_fall : 80.000000000;
+    slew_upper_threshold_pct_rise : 80.000000000;
+    switching_power_split_model : "true";
+
+/* removed sky130_fd_sc_hd__a2111o_1 */
+
+/* removed sky130_fd_sc_hd__a2111o_2 */
+
+    cell ("sky130_fd_sc_hd__a2111o_4") {
+        leakage_power () {
+            value : 0.0054313000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0118340000;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0250712000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0370519000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0252806000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0467636000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0250182000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0353823000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0054324000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0084080000;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020969000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0042066000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020850000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0040078000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019724000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020893000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0054327000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0081938000;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020969000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0042066000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020855000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0040078000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019724000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020893000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0022713000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0057660000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020096000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0022608000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0020059000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0022651000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0019466000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0020131000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111o";
+        cell_leakage_power : 0.0091485880;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041120000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091843000, 0.0091789000, 0.0091663000, 0.0091665000, 0.0091668000, 0.0091678000, 0.0091699000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007162600, -0.007171300, -0.007191300, -0.007177900, -0.007146600, -0.007074600, -0.006908600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044800000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082704000, 0.0082618000, 0.0082421000, 0.0082419000, 0.0082416000, 0.0082410000, 0.0082394000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008197000, -0.008192400, -0.008181700, -0.008185200, -0.008193000, -0.008211000, -0.008252500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047410000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0098281000, 0.0098192000, 0.0097987000, 0.0097977000, 0.0097954000, 0.0097899000, 0.0097776000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006882700, -0.006935400, -0.007057100, -0.007089600, -0.007164600, -0.007337600, -0.007736200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047720000;
+        }
+        pin ("C1") {
+            capacitance : 0.0043980000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040410000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082912000, 0.0082878000, 0.0082801000, 0.0082801000, 0.0082800000, 0.0082797000, 0.0082794000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007180700, -0.007315800, -0.007627400, -0.007641400, -0.007673600, -0.007748000, -0.007919200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047560000;
+        }
+        pin ("D1") {
+            capacitance : 0.0043130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0050873000, 0.0050847000, 0.0050786000, 0.0051019000, 0.0051558000, 0.0052800000, 0.0055661000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003587000, -0.003579200, -0.003561200, -0.003562600, -0.003566000, -0.003573400, -0.003590700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047000000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1) | (D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0370431000, 0.0350998000, 0.0298243000, 0.0150922000, -0.036520400, -0.217091800, -0.812282800", \
+                        "0.0367890000, 0.0348262000, 0.0295256000, 0.0147655000, -0.036743700, -0.217342500, -0.812414000", \
+                        "0.0362817000, 0.0343347000, 0.0294153000, 0.0142798000, -0.037335900, -0.217877000, -0.813066500", \
+                        "0.0357731000, 0.0337640000, 0.0284689000, 0.0135311000, -0.037964700, -0.218513900, -0.813542400", \
+                        "0.0353114000, 0.0333889000, 0.0279938000, 0.0130309000, -0.038514600, -0.219067600, -0.814099500", \
+                        "0.0352202000, 0.0333423000, 0.0279620000, 0.0130431000, -0.038681400, -0.219343000, -0.814368300", \
+                        "0.0452960000, 0.0431922000, 0.0369365000, 0.0182128000, -0.039937300, -0.219501700, -0.814312600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0378468000, 0.0397922000, 0.0460762000, 0.0655750000, 0.1247708000, 0.3103613000, 0.8999013000", \
+                        "0.0376934000, 0.0396198000, 0.0458719000, 0.0653036000, 0.1246188000, 0.3096418000, 0.8987992000", \
+                        "0.0373458000, 0.0393472000, 0.0454998000, 0.0652333000, 0.1244342000, 0.3097854000, 0.8992468000", \
+                        "0.0370942000, 0.0389606000, 0.0452460000, 0.0648849000, 0.1240305000, 0.3095824000, 0.8990234000", \
+                        "0.0372350000, 0.0391143000, 0.0453372000, 0.0644245000, 0.1233493000, 0.3090626000, 0.8991017000", \
+                        "0.0394021000, 0.0411684000, 0.0468009000, 0.0647048000, 0.1231621000, 0.3078197000, 0.8988902000", \
+                        "0.0426433000, 0.0443353000, 0.0507613000, 0.0687756000, 0.1264536000, 0.3101726000, 0.9000545000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0430825000, 0.0410898000, 0.0356724000, 0.0207536000, -0.030850200, -0.212074400, -0.807594500", \
+                        "0.0428761000, 0.0409369000, 0.0356206000, 0.0205269000, -0.031111400, -0.212207700, -0.807715600", \
+                        "0.0429502000, 0.0409991000, 0.0355622000, 0.0205369000, -0.031380900, -0.212536500, -0.808055200", \
+                        "0.0422856000, 0.0402780000, 0.0349615000, 0.0199373000, -0.031757300, -0.212838400, -0.808388900", \
+                        "0.0419281000, 0.0399258000, 0.0346136000, 0.0196177000, -0.032231300, -0.213198500, -0.808576300", \
+                        "0.0420237000, 0.0400077000, 0.0346329000, 0.0195910000, -0.032394200, -0.213382800, -0.808744500", \
+                        "0.0505946000, 0.0484930000, 0.0421522000, 0.0236464000, -0.033142100, -0.213344500, -0.808521500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0386293000, 0.0405579000, 0.0468313000, 0.0663775000, 0.1255400000, 0.3104193000, 0.9002008000", \
+                        "0.0385139000, 0.0404205000, 0.0466813000, 0.0660757000, 0.1253177000, 0.3103760000, 0.9032879000", \
+                        "0.0382459000, 0.0401523000, 0.0463403000, 0.0658774000, 0.1249757000, 0.3102738000, 0.8995112000", \
+                        "0.0379147000, 0.0398002000, 0.0461112000, 0.0657450000, 0.1247783000, 0.3101180000, 0.8991192000", \
+                        "0.0381898000, 0.0401605000, 0.0463096000, 0.0654483000, 0.1246343000, 0.3093500000, 0.9016978000", \
+                        "0.0407860000, 0.0425737000, 0.0482729000, 0.0664494000, 0.1243317000, 0.3090972000, 0.8991015000", \
+                        "0.0428108000, 0.0445661000, 0.0501175000, 0.0682025000, 0.1256943000, 0.3105553000, 0.8986520000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0375007000, 0.0355553000, 0.0301077000, 0.0150807000, -0.036826500, -0.217752300, -0.813069700", \
+                        "0.0371931000, 0.0351892000, 0.0297945000, 0.0146990000, -0.037153800, -0.218033200, -0.813376800", \
+                        "0.0364590000, 0.0344844000, 0.0291999000, 0.0140888000, -0.037576400, -0.218423100, -0.813661800", \
+                        "0.0364061000, 0.0345024000, 0.0290023000, 0.0139636000, -0.037957900, -0.218864200, -0.814116700", \
+                        "0.0357090000, 0.0336994000, 0.0285635000, 0.0134469000, -0.038382900, -0.219294300, -0.814451900", \
+                        "0.0359428000, 0.0339137000, 0.0285238000, 0.0133868000, -0.038598800, -0.219374400, -0.814446700", \
+                        "0.0447162000, 0.0425787000, 0.0361847000, 0.0171906000, -0.039157400, -0.219265700, -0.814324700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0349719000, 0.0369699000, 0.0433398000, 0.0626883000, 0.1218261000, 0.3080500000, 0.9002615000", \
+                        "0.0349867000, 0.0369790000, 0.0433233000, 0.0627094000, 0.1218535000, 0.3064868000, 0.9003501000", \
+                        "0.0350080000, 0.0370468000, 0.0433456000, 0.0627084000, 0.1217315000, 0.3068702000, 0.8973238000", \
+                        "0.0348630000, 0.0368340000, 0.0430164000, 0.0621766000, 0.1211635000, 0.3065484000, 0.8970224000", \
+                        "0.0349607000, 0.0368107000, 0.0428607000, 0.0617782000, 0.1205394000, 0.3060511000, 0.8967277000", \
+                        "0.0365306000, 0.0383188000, 0.0440415000, 0.0624877000, 0.1204079000, 0.3049592000, 0.8957521000", \
+                        "0.0398922000, 0.0415317000, 0.0471981000, 0.0652671000, 0.1235346000, 0.3080686000, 0.8946060000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0339570000, 0.0320178000, 0.0266484000, 0.0115754000, -0.040186400, -0.220844200, -0.815726300", \
+                        "0.0336898000, 0.0317046000, 0.0263997000, 0.0113350000, -0.040451100, -0.221031300, -0.816046100", \
+                        "0.0332202000, 0.0312859000, 0.0259727000, 0.0110177000, -0.040813600, -0.221501200, -0.816475500", \
+                        "0.0329918000, 0.0310144000, 0.0256891000, 0.0103988000, -0.041220300, -0.221940700, -0.816927100", \
+                        "0.0323432000, 0.0304357000, 0.0251113000, 0.0101349000, -0.041610500, -0.222288800, -0.817293700", \
+                        "0.0325139000, 0.0305673000, 0.0254898000, 0.0105536000, -0.041687100, -0.222482700, -0.817374100", \
+                        "0.0415562000, 0.0393891000, 0.0329247000, 0.0137850000, -0.042334500, -0.222350300, -0.816796900");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0330249000, 0.0350280000, 0.0412725000, 0.0604756000, 0.1194725000, 0.3047105000, 0.8936072000", \
+                        "0.0331587000, 0.0351213000, 0.0413815000, 0.0606113000, 0.1195693000, 0.3059467000, 0.8948953000", \
+                        "0.0334022000, 0.0354031000, 0.0416408000, 0.0608067000, 0.1197893000, 0.3051785000, 0.8938583000", \
+                        "0.0331790000, 0.0351000000, 0.0412594000, 0.0602795000, 0.1192456000, 0.3048228000, 0.8982117000", \
+                        "0.0331629000, 0.0350317000, 0.0410159000, 0.0598460000, 0.1184984000, 0.3043212000, 0.8968101000", \
+                        "0.0342229000, 0.0360229000, 0.0417321000, 0.0602359000, 0.1182571000, 0.3030233000, 0.8962260000", \
+                        "0.0370409000, 0.0387308000, 0.0442581000, 0.0623741000, 0.1206597000, 0.3050319000, 0.8916636000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0322863000, 0.0302956000, 0.0247789000, 0.0100184000, -0.041787400, -0.222339900, -0.817159700", \
+                        "0.0318474000, 0.0299104000, 0.0245896000, 0.0095267000, -0.042122100, -0.222570300, -0.817504600", \
+                        "0.0314484000, 0.0294694000, 0.0240673000, 0.0092043000, -0.042559000, -0.223050700, -0.817878500", \
+                        "0.0309156000, 0.0289601000, 0.0236288000, 0.0086595000, -0.043047700, -0.223584000, -0.818279800", \
+                        "0.0307047000, 0.0287053000, 0.0233329000, 0.0083716000, -0.043375900, -0.223912100, -0.818570100", \
+                        "0.0314190000, 0.0294362000, 0.0239977000, 0.0096901000, -0.043000300, -0.223324000, -0.818131500", \
+                        "0.0445028000, 0.0422378000, 0.0356596000, 0.0166719000, -0.041675300, -0.221951000, -0.816626100");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
+                    values("0.0252292000, 0.0272266000, 0.0334461000, 0.0527404000, 0.1116176000, 0.2968349000, 0.8877106000", \
+                        "0.0252576000, 0.0272529000, 0.0334966000, 0.0527502000, 0.1117030000, 0.2978237000, 0.8875495000", \
+                        "0.0251661000, 0.0271509000, 0.0333350000, 0.0525200000, 0.1114021000, 0.2961668000, 0.8867464000", \
+                        "0.0249880000, 0.0269113000, 0.0329497000, 0.0519505000, 0.1108438000, 0.2959818000, 0.8864121000", \
+                        "0.0250726000, 0.0268820000, 0.0327630000, 0.0514884000, 0.1100945000, 0.2956256000, 0.8861587000", \
+                        "0.0262419000, 0.0280199000, 0.0337328000, 0.0522248000, 0.1098933000, 0.2945102000, 0.8862561000", \
+                        "0.0287704000, 0.0304465000, 0.0359998000, 0.0539533000, 0.1123027000, 0.2962995000, 0.8839073000");
+                }
+            }
+            max_capacitance : 0.5355930000;
+            max_transition : 1.4995610000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4115941000, 0.4175033000, 0.4329213000, 0.4673878000, 0.5380981000, 0.6840060000, 1.0310978000", \
+                        "0.4148444000, 0.4208039000, 0.4362171000, 0.4709618000, 0.5413902000, 0.6874268000, 1.0344630000", \
+                        "0.4240364000, 0.4299260000, 0.4452283000, 0.4797683000, 0.5504759000, 0.6966396000, 1.0435759000", \
+                        "0.4476691000, 0.4535678000, 0.4689522000, 0.5034552000, 0.5735579000, 0.7200097000, 1.0671127000", \
+                        "0.5006885000, 0.5065925000, 0.5217816000, 0.5565836000, 0.6268304000, 0.7731911000, 1.1202571000", \
+                        "0.6093019000, 0.6151657000, 0.6306063000, 0.6652667000, 0.7359207000, 0.8822514000, 1.2292292000", \
+                        "0.7955708000, 0.8019144000, 0.8185901000, 0.8554488000, 0.9303637000, 1.0832956000, 1.4359871000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.1155489000, 0.1203134000, 0.1330129000, 0.1638583000, 0.2420998000, 0.4686905000, 1.1810698000", \
+                        "0.1197018000, 0.1245155000, 0.1371148000, 0.1679199000, 0.2461037000, 0.4734624000, 1.1838098000", \
+                        "0.1298974000, 0.1346235000, 0.1471999000, 0.1780507000, 0.2561422000, 0.4826625000, 1.1942837000", \
+                        "0.1552305000, 0.1598858000, 0.1723547000, 0.2029143000, 0.2805815000, 0.5071073000, 1.2191946000", \
+                        "0.2088519000, 0.2136007000, 0.2262018000, 0.2565188000, 0.3336759000, 0.5596335000, 1.2697078000", \
+                        "0.2817954000, 0.2870962000, 0.3011684000, 0.3331898000, 0.4110781000, 0.6367311000, 1.3472002000", \
+                        "0.3553420000, 0.3623765000, 0.3793410000, 0.4170586000, 0.4976368000, 0.7214785000, 1.4311019000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0480871000, 0.0516464000, 0.0612945000, 0.0840995000, 0.1367413000, 0.2717778000, 0.6683779000", \
+                        "0.0481557000, 0.0516889000, 0.0614237000, 0.0847249000, 0.1370753000, 0.2719025000, 0.6696127000", \
+                        "0.0480849000, 0.0516376000, 0.0618651000, 0.0850511000, 0.1367214000, 0.2718762000, 0.6681152000", \
+                        "0.0484118000, 0.0516246000, 0.0613440000, 0.0837803000, 0.1372669000, 0.2714812000, 0.6689925000", \
+                        "0.0480523000, 0.0516124000, 0.0615392000, 0.0837779000, 0.1376039000, 0.2717303000, 0.6693000000", \
+                        "0.0490720000, 0.0526121000, 0.0624202000, 0.0847987000, 0.1371412000, 0.2716447000, 0.6694921000", \
+                        "0.0553412000, 0.0590917000, 0.0689578000, 0.0936347000, 0.1485734000, 0.2816013000, 0.6740966000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0273855000, 0.0310724000, 0.0420489000, 0.0728962000, 0.1688231000, 0.4833386000, 1.4953184000", \
+                        "0.0272884000, 0.0311188000, 0.0419613000, 0.0727831000, 0.1688928000, 0.4824634000, 1.4977052000", \
+                        "0.0272541000, 0.0309167000, 0.0417322000, 0.0725854000, 0.1684801000, 0.4832154000, 1.4979696000", \
+                        "0.0267420000, 0.0305250000, 0.0410955000, 0.0719168000, 0.1681389000, 0.4830277000, 1.4957076000", \
+                        "0.0280348000, 0.0315696000, 0.0420309000, 0.0721543000, 0.1672298000, 0.4825285000, 1.4988765000", \
+                        "0.0348975000, 0.0384332000, 0.0479786000, 0.0764804000, 0.1690848000, 0.4812143000, 1.4975465000", \
+                        "0.0479628000, 0.0516827000, 0.0633244000, 0.0906918000, 0.1740881000, 0.4832356000, 1.4952820000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4448634000, 0.4512119000, 0.4675791000, 0.5036939000, 0.5755290000, 0.7225767000, 1.0703615000", \
+                        "0.4490507000, 0.4554687000, 0.4718586000, 0.5078594000, 0.5790171000, 0.7264089000, 1.0745699000", \
+                        "0.4605443000, 0.4668559000, 0.4831666000, 0.5192426000, 0.5912253000, 0.7383091000, 1.0861898000", \
+                        "0.4862011000, 0.4924626000, 0.5089066000, 0.5448581000, 0.6169412000, 0.7642657000, 1.1119535000", \
+                        "0.5399827000, 0.5462258000, 0.5627007000, 0.5985560000, 0.6706983000, 0.8172709000, 1.1653039000", \
+                        "0.6470642000, 0.6534038000, 0.6698226000, 0.7058856000, 0.7780186000, 0.9253514000, 1.2731071000", \
+                        "0.8370855000, 0.8436525000, 0.8609594000, 0.8997531000, 0.9754298000, 1.1281145000, 1.4803317000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.1199637000, 0.1247155000, 0.1373762000, 0.1682281000, 0.2462877000, 0.4729104000, 1.1819367000", \
+                        "0.1241539000, 0.1289057000, 0.1415068000, 0.1722762000, 0.2502615000, 0.4772293000, 1.1889939000", \
+                        "0.1324321000, 0.1371600000, 0.1497774000, 0.1804953000, 0.2584480000, 0.4847056000, 1.1967375000", \
+                        "0.1514974000, 0.1561837000, 0.1687262000, 0.1993471000, 0.2770071000, 0.5034633000, 1.2155169000", \
+                        "0.1912431000, 0.1960713000, 0.2087525000, 0.2394528000, 0.3169678000, 0.5434870000, 1.2539781000", \
+                        "0.2526128000, 0.2578559000, 0.2716620000, 0.3036127000, 0.3821604000, 0.6080910000, 1.3173027000", \
+                        "0.3203928000, 0.3270385000, 0.3436597000, 0.3798863000, 0.4608751000, 0.6867595000, 1.3958078000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0521519000, 0.0558590000, 0.0660838000, 0.0878332000, 0.1402059000, 0.2737608000, 0.6712583000", \
+                        "0.0522502000, 0.0559862000, 0.0656455000, 0.0877887000, 0.1404759000, 0.2738836000, 0.6711888000", \
+                        "0.0521065000, 0.0560804000, 0.0655479000, 0.0875770000, 0.1398165000, 0.2735885000, 0.6712502000", \
+                        "0.0520543000, 0.0559837000, 0.0659450000, 0.0879500000, 0.1398222000, 0.2738454000, 0.6711001000", \
+                        "0.0523931000, 0.0560042000, 0.0658888000, 0.0879303000, 0.1397945000, 0.2738825000, 0.6716265000", \
+                        "0.0521955000, 0.0559536000, 0.0659648000, 0.0881366000, 0.1395719000, 0.2737408000, 0.6711478000", \
+                        "0.0587509000, 0.0625227000, 0.0724000000, 0.0956862000, 0.1485478000, 0.2804633000, 0.6757898000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0274192000, 0.0312213000, 0.0417866000, 0.0727488000, 0.1686087000, 0.4828856000, 1.4990658000", \
+                        "0.0272715000, 0.0310303000, 0.0418953000, 0.0726723000, 0.1687138000, 0.4825555000, 1.4995613000", \
+                        "0.0271192000, 0.0308935000, 0.0418020000, 0.0725791000, 0.1686530000, 0.4832557000, 1.4957356000", \
+                        "0.0268580000, 0.0306139000, 0.0412676000, 0.0722630000, 0.1683329000, 0.4828969000, 1.4979197000", \
+                        "0.0280082000, 0.0318064000, 0.0425007000, 0.0724488000, 0.1677774000, 0.4821093000, 1.4954128000", \
+                        "0.0325901000, 0.0362795000, 0.0469310000, 0.0761606000, 0.1697543000, 0.4818549000, 1.4991328000", \
+                        "0.0420402000, 0.0461143000, 0.0574055000, 0.0856753000, 0.1740334000, 0.4838551000, 1.4935611000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.4260579000, 0.4323966000, 0.4487163000, 0.4847882000, 0.5568040000, 0.7041508000, 1.0520426000", \
+                        "0.4282617000, 0.4345690000, 0.4510168000, 0.4871672000, 0.5591589000, 0.7066823000, 1.0537284000", \
+                        "0.4369451000, 0.4429887000, 0.4595819000, 0.4955998000, 0.5668102000, 0.7142840000, 1.0627386000", \
+                        "0.4596542000, 0.4659618000, 0.4822875000, 0.5183359000, 0.5903281000, 0.7377422000, 1.0856083000", \
+                        "0.5117728000, 0.5180855000, 0.5344215000, 0.5704623000, 0.6424428000, 0.7897626000, 1.1376965000", \
+                        "0.6238796000, 0.6301412000, 0.6466553000, 0.6827395000, 0.7548828000, 0.9021879000, 1.2504355000", \
+                        "0.8321034000, 0.8390568000, 0.8569158000, 0.8965711000, 0.9740722000, 1.1284773000, 1.4812391000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0744878000, 0.0780968000, 0.0880337000, 0.1137975000, 0.1859537000, 0.4089993000, 1.1182703000", \
+                        "0.0791558000, 0.0827642000, 0.0926919000, 0.1184921000, 0.1904914000, 0.4135318000, 1.1226089000", \
+                        "0.0904908000, 0.0941425000, 0.1039857000, 0.1296799000, 0.2018417000, 0.4249385000, 1.1320305000", \
+                        "0.1163552000, 0.1199349000, 0.1296344000, 0.1550484000, 0.2270181000, 0.4503184000, 1.1575541000", \
+                        "0.1573870000, 0.1614313000, 0.1720743000, 0.1983847000, 0.2703751000, 0.4939892000, 1.2012703000", \
+                        "0.2039934000, 0.2091821000, 0.2223291000, 0.2515462000, 0.3244359000, 0.5472220000, 1.2577883000", \
+                        "0.2351040000, 0.2418778000, 0.2591554000, 0.2960127000, 0.3734746000, 0.5964407000, 1.3030648000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0521095000, 0.0560787000, 0.0655455000, 0.0875655000, 0.1397707000, 0.2737905000, 0.6710662000", \
+                        "0.0520471000, 0.0558503000, 0.0655094000, 0.0877114000, 0.1398383000, 0.2732096000, 0.6715268000", \
+                        "0.0523024000, 0.0562556000, 0.0654785000, 0.0877994000, 0.1406029000, 0.2739475000, 0.6711502000", \
+                        "0.0521535000, 0.0562051000, 0.0655470000, 0.0875649000, 0.1398186000, 0.2737783000, 0.6709121000", \
+                        "0.0520456000, 0.0558053000, 0.0655826000, 0.0876098000, 0.1400015000, 0.2735221000, 0.6717790000", \
+                        "0.0526572000, 0.0562642000, 0.0665058000, 0.0883732000, 0.1397664000, 0.2737919000, 0.6701952000", \
+                        "0.0612598000, 0.0651435000, 0.0760417000, 0.0999324000, 0.1529886000, 0.2828456000, 0.6786085000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0198037000, 0.0228179000, 0.0321572000, 0.0615093000, 0.1586521000, 0.4756767000, 1.4944943000", \
+                        "0.0198198000, 0.0227999000, 0.0320844000, 0.0614283000, 0.1587177000, 0.4750618000, 1.4944143000", \
+                        "0.0196803000, 0.0227173000, 0.0319789000, 0.0614144000, 0.1588066000, 0.4758108000, 1.4921978000", \
+                        "0.0198888000, 0.0228547000, 0.0320599000, 0.0612261000, 0.1584023000, 0.4750961000, 1.4939916000", \
+                        "0.0244362000, 0.0273059000, 0.0356048000, 0.0633472000, 0.1585914000, 0.4758947000, 1.4939372000", \
+                        "0.0328225000, 0.0356976000, 0.0440039000, 0.0693326000, 0.1609359000, 0.4749983000, 1.4905308000", \
+                        "0.0457933000, 0.0496005000, 0.0595614000, 0.0846516000, 0.1670669000, 0.4785687000, 1.4910705000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.3879921000, 0.3943458000, 0.4106597000, 0.4468968000, 0.5187451000, 0.6655091000, 1.0134882000", \
+                        "0.3900253000, 0.3964577000, 0.4128411000, 0.4488208000, 0.5211057000, 0.6675859000, 1.0158707000", \
+                        "0.3977841000, 0.4041448000, 0.4204827000, 0.4566127000, 0.5285767000, 0.6752152000, 1.0232879000", \
+                        "0.4202141000, 0.4265851000, 0.4429844000, 0.4790262000, 0.5511084000, 0.6985656000, 1.0456881000", \
+                        "0.4746845000, 0.4809805000, 0.4970734000, 0.5333782000, 0.6050709000, 0.7525278000, 1.1007366000", \
+                        "0.6023234000, 0.6092777000, 0.6250918000, 0.6615928000, 0.7330948000, 0.8810347000, 1.2288970000", \
+                        "0.8467784000, 0.8538470000, 0.8722110000, 0.9126857000, 0.9906848000, 1.1456123000, 1.4953718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0754043000, 0.0790623000, 0.0890920000, 0.1149562000, 0.1867036000, 0.4089906000, 1.1156904000", \
+                        "0.0800322000, 0.0836774000, 0.0937203000, 0.1196167000, 0.1915537000, 0.4139878000, 1.1215527000", \
+                        "0.0911567000, 0.0948162000, 0.1048271000, 0.1306075000, 0.2025511000, 0.4249992000, 1.1313385000", \
+                        "0.1160362000, 0.1196838000, 0.1296467000, 0.1553387000, 0.2271078000, 0.4498247000, 1.1587681000", \
+                        "0.1545259000, 0.1586868000, 0.1695713000, 0.1963022000, 0.2684931000, 0.4912278000, 1.1989528000", \
+                        "0.1962747000, 0.2016587000, 0.2149924000, 0.2449023000, 0.3182509000, 0.5409259000, 1.2504535000", \
+                        "0.2192432000, 0.2262179000, 0.2439352000, 0.2818392000, 0.3606363000, 0.5835799000, 1.2902825000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0522805000, 0.0556485000, 0.0660161000, 0.0885238000, 0.1411741000, 0.2740345000, 0.6720995000", \
+                        "0.0524252000, 0.0557000000, 0.0657058000, 0.0878135000, 0.1398764000, 0.2742993000, 0.6709960000", \
+                        "0.0522849000, 0.0557163000, 0.0657158000, 0.0891508000, 0.1393863000, 0.2734984000, 0.6710753000", \
+                        "0.0518516000, 0.0557694000, 0.0663125000, 0.0892823000, 0.1398731000, 0.2736480000, 0.6717066000", \
+                        "0.0524370000, 0.0562503000, 0.0654116000, 0.0879080000, 0.1403088000, 0.2739899000, 0.6715009000", \
+                        "0.0530770000, 0.0562914000, 0.0663907000, 0.0891292000, 0.1402306000, 0.2738280000, 0.6709798000", \
+                        "0.0647640000, 0.0687660000, 0.0796031000, 0.1022917000, 0.1538639000, 0.2835343000, 0.6787885000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0198304000, 0.0228452000, 0.0322018000, 0.0613072000, 0.1581851000, 0.4761771000, 1.4924715000", \
+                        "0.0197899000, 0.0228318000, 0.0321057000, 0.0613059000, 0.1579673000, 0.4764470000, 1.4944048000", \
+                        "0.0198202000, 0.0228212000, 0.0321892000, 0.0612604000, 0.1581291000, 0.4763507000, 1.4922742000", \
+                        "0.0202291000, 0.0232366000, 0.0324482000, 0.0614150000, 0.1582107000, 0.4763656000, 1.4957900000", \
+                        "0.0245618000, 0.0276892000, 0.0362075000, 0.0639145000, 0.1587554000, 0.4760822000, 1.4920548000", \
+                        "0.0336128000, 0.0368419000, 0.0449341000, 0.0705546000, 0.1614461000, 0.4754817000, 1.4926511000", \
+                        "0.0474977000, 0.0512071000, 0.0619531000, 0.0867678000, 0.1686170000, 0.4782090000, 1.4910144000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.3110585000, 0.3172504000, 0.3336273000, 0.3695194000, 0.4417545000, 0.5892191000, 0.9374352000", \
+                        "0.3127800000, 0.3191797000, 0.3355941000, 0.3715809000, 0.4434412000, 0.5912803000, 0.9391263000", \
+                        "0.3194079000, 0.3257943000, 0.3417628000, 0.3779203000, 0.4502843000, 0.5976453000, 0.9457015000", \
+                        "0.3398970000, 0.3462114000, 0.3626021000, 0.3984869000, 0.4708007000, 0.6182709000, 0.9662278000", \
+                        "0.3990611000, 0.4053723000, 0.4217635000, 0.4578828000, 0.5298072000, 0.6774880000, 1.0256809000", \
+                        "0.5436333000, 0.5497073000, 0.5654677000, 0.6000526000, 0.6718743000, 0.8174607000, 1.1653948000", \
+                        "0.8114407000, 0.8187266000, 0.8383094000, 0.8796452000, 0.9572032000, 1.1066177000, 1.4560900000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0688532000, 0.0725058000, 0.0825234000, 0.1084050000, 0.1800581000, 0.4019365000, 1.1124712000", \
+                        "0.0736062000, 0.0772628000, 0.0872979000, 0.1131922000, 0.1847907000, 0.4072825000, 1.1152105000", \
+                        "0.0848911000, 0.0885370000, 0.0985153000, 0.1243479000, 0.1961611000, 0.4180276000, 1.1246665000", \
+                        "0.1089366000, 0.1126230000, 0.1226063000, 0.1483408000, 0.2201861000, 0.4426174000, 1.1491034000", \
+                        "0.1438876000, 0.1482606000, 0.1593990000, 0.1863978000, 0.2587674000, 0.4815920000, 1.1883340000", \
+                        "0.1806834000, 0.1862489000, 0.2003267000, 0.2311591000, 0.3051920000, 0.5278019000, 1.2380603000", \
+                        "0.1983939000, 0.2056802000, 0.2244082000, 0.2643278000, 0.3449503000, 0.5675256000, 1.2747871000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0518893000, 0.0559179000, 0.0660463000, 0.0886613000, 0.1407176000, 0.2736480000, 0.6717947000", \
+                        "0.0522783000, 0.0557100000, 0.0657644000, 0.0877981000, 0.1399779000, 0.2737548000, 0.6703403000", \
+                        "0.0521889000, 0.0560053000, 0.0652399000, 0.0882672000, 0.1394243000, 0.2731839000, 0.6710925000", \
+                        "0.0522055000, 0.0560829000, 0.0654860000, 0.0882540000, 0.1400610000, 0.2735360000, 0.6720643000", \
+                        "0.0519813000, 0.0557948000, 0.0661301000, 0.0885273000, 0.1411355000, 0.2733639000, 0.6714394000", \
+                        "0.0511529000, 0.0546518000, 0.0645173000, 0.0868458000, 0.1389177000, 0.2746212000, 0.6718032000", \
+                        "0.0711283000, 0.0753986000, 0.0852996000, 0.1070884000, 0.1529148000, 0.2806175000, 0.6777284000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
+                    values("0.0194558000, 0.0224630000, 0.0317362000, 0.0608019000, 0.1576397000, 0.4758674000, 1.4979532000", \
+                        "0.0194579000, 0.0224621000, 0.0318045000, 0.0609183000, 0.1578312000, 0.4765524000, 1.4965551000", \
+                        "0.0194551000, 0.0225120000, 0.0317885000, 0.0610427000, 0.1578143000, 0.4752955000, 1.4956085000", \
+                        "0.0204835000, 0.0235030000, 0.0325711000, 0.0614602000, 0.1577602000, 0.4754265000, 1.4950411000", \
+                        "0.0255533000, 0.0283522000, 0.0370597000, 0.0644891000, 0.1585852000, 0.4758463000, 1.4952510000", \
+                        "0.0356467000, 0.0385614000, 0.0471194000, 0.0723618000, 0.1616285000, 0.4752353000, 1.4951923000", \
+                        "0.0506853000, 0.0546160000, 0.0653799000, 0.0908023000, 0.1710229000, 0.4771084000, 1.4912365000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2111oi_0 */
+
+/* removed sky130_fd_sc_hd__a2111oi_1 */
+
+/* removed sky130_fd_sc_hd__a2111oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2111oi_4") {
+        leakage_power () {
+            value : 0.0040425000;
+            when : "!A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0065744000;
+            when : "!A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "!A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "!A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002728000;
+            when : "!A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "!A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "!A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "!A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0040425000;
+            when : "!A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0083402000;
+            when : "!A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "!A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "!A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002729000;
+            when : "!A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "!A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "!A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "!A1&A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0040425000;
+            when : "A1&!A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0079083000;
+            when : "A1&!A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002915000;
+            when : "A1&!A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0026514000;
+            when : "A1&!A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0002732000;
+            when : "A1&!A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0023693000;
+            when : "A1&!A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001528000;
+            when : "A1&!A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0002870000;
+            when : "A1&!A2&B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0003745000;
+            when : "A1&A2&!B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0033081000;
+            when : "A1&A2&!B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001722000;
+            when : "A1&A2&!B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0003826000;
+            when : "A1&A2&!B1&C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0003510000;
+            when : "A1&A2&B1&!C1&D1";
+        }
+        leakage_power () {
+            value : 0.0003984000;
+            when : "A1&A2&B1&!C1&!D1";
+        }
+        leakage_power () {
+            value : 0.0001269000;
+            when : "A1&A2&B1&C1&D1";
+        }
+        leakage_power () {
+            value : 0.0001883000;
+            when : "A1&A2&B1&C1&!D1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a2111oi";
+        cell_leakage_power : 0.0018227260;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0084230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181535000, 0.0181564000, 0.0181631000, 0.0181659000, 0.0181724000, 0.0181874000, 0.0182220000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012960300, -0.012981500, -0.013030400, -0.012991600, -0.012902200, -0.012696100, -0.012221000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087470000;
+        }
+        pin ("A2") {
+            capacitance : 0.0087170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0160182000, 0.0160123000, 0.0159988000, 0.0160009000, 0.0160057000, 0.0160169000, 0.0160426000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015953900, -0.015947400, -0.015932600, -0.015932900, -0.015933400, -0.015934800, -0.015937800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091580000;
+        }
+        pin ("B1") {
+            capacitance : 0.0084530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0230492000, 0.0230424000, 0.0230267000, 0.0230259000, 0.0230242000, 0.0230203000, 0.0230112000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013304300, -0.013419500, -0.013685000, -0.013751400, -0.013904400, -0.014257100, -0.015070100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090270000;
+        }
+        pin ("C1") {
+            capacitance : 0.0083860000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077220000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0168871000, 0.0168843000, 0.0168780000, 0.0168853000, 0.0169020000, 0.0169407000, 0.0170297000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.014006800, -0.014278900, -0.014906100, -0.014936600, -0.015006900, -0.015169100, -0.015542900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090500000;
+        }
+        pin ("D1") {
+            capacitance : 0.0084560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0076860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0070130000, 0.0070113000, 0.0070073000, 0.0070235000, 0.0070607000, 0.0071464000, 0.0073440000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006827100, -0.006833300, -0.006847400, -0.006844500, -0.006837800, -0.006822400, -0.006786900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092270000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0154412000, 0.0142968000, 0.0114620000, 0.0045753000, -0.012214800, -0.053262200, -0.153626400", \
+                        "0.0156108000, 0.0144557000, 0.0116597000, 0.0048107000, -0.011933100, -0.052933500, -0.153281000", \
+                        "0.0159635000, 0.0148388000, 0.0120726000, 0.0052760000, -0.011343700, -0.052240000, -0.152489400", \
+                        "0.0153489000, 0.0142404000, 0.0115016000, 0.0048894000, -0.011578100, -0.052281200, -0.152381200", \
+                        "0.0157784000, 0.0144773000, 0.0116643000, 0.0048014000, -0.011945800, -0.052381000, -0.152246700", \
+                        "0.0164497000, 0.0152845000, 0.0124526000, 0.0055693000, -0.011202500, -0.051692100, -0.152190100", \
+                        "0.0205497000, 0.0193247000, 0.0163903000, 0.0092023000, -0.007958400, -0.048913700, -0.150010600");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0484968000, 0.0496914000, 0.0525959000, 0.0596357000, 0.0766356000, 0.1175880000, 0.2169141000", \
+                        "0.0478011000, 0.0490473000, 0.0519275000, 0.0590651000, 0.0761616000, 0.1172805000, 0.2168211000", \
+                        "0.0470342000, 0.0482874000, 0.0511632000, 0.0582242000, 0.0753521000, 0.1167293000, 0.2165900000", \
+                        "0.0463877000, 0.0476024000, 0.0504947000, 0.0575168000, 0.0744937000, 0.1160013000, 0.2159778000", \
+                        "0.0458935000, 0.0470801000, 0.0499501000, 0.0568360000, 0.0738097000, 0.1150032000, 0.2148958000", \
+                        "0.0457446000, 0.0469101000, 0.0497468000, 0.0567781000, 0.0737387000, 0.1146090000, 0.2141973000", \
+                        "0.0466716000, 0.0478551000, 0.0506132000, 0.0574564000, 0.0741223000, 0.1148746000, 0.2147139000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0015452000, 0.0004271000, -0.002322300, -0.009175100, -0.026136200, -0.067514200, -0.168168100", \
+                        "0.0011328000, 4.400000e-05, -0.002652400, -0.009370000, -0.026121800, -0.067280800, -0.167789400", \
+                        "0.0004780000, -0.000602300, -0.003261400, -0.009832100, -0.026250400, -0.067116900, -0.167454100", \
+                        "-0.000247100, -0.001371600, -0.003942500, -0.010485900, -0.026778600, -0.067221700, -0.167206000", \
+                        "3.720000e-05, -0.001039900, -0.003729300, -0.010306400, -0.026946400, -0.067382700, -0.167191700", \
+                        "0.0008333000, -0.000335900, -0.003156200, -0.009790900, -0.026536200, -0.067238000, -0.167188200", \
+                        "0.0051264000, 0.0038580000, 0.0007854000, -0.006590700, -0.023712200, -0.065107300, -0.165455100");
+                }
+                related_pin : "D1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0486991000, 0.0499202000, 0.0528926000, 0.0601570000, 0.0773388000, 0.1184517000, 0.2181123000", \
+                        "0.0478388000, 0.0490782000, 0.0520754000, 0.0593483000, 0.0765048000, 0.1179640000, 0.2178546000", \
+                        "0.0471685000, 0.0483604000, 0.0513619000, 0.0583555000, 0.0756045000, 0.1171491000, 0.2175230000", \
+                        "0.0466519000, 0.0478513000, 0.0505773000, 0.0576021000, 0.0747497000, 0.1163281000, 0.2165555000", \
+                        "0.0465485000, 0.0476917000, 0.0505014000, 0.0574066000, 0.0742342000, 0.1153859000, 0.2155768000", \
+                        "0.0489098000, 0.0500399000, 0.0527647000, 0.0596385000, 0.0751609000, 0.1158525000, 0.2150199000", \
+                        "0.0525890000, 0.0536673000, 0.0563581000, 0.0629656000, 0.0791350000, 0.1186919000, 0.2170144000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0289515000, 0.0277852000, 0.0249500000, 0.0180320000, 0.0012009000, -0.039767000, -0.139385700", \
+                        "0.0285124000, 0.0273514000, 0.0245357000, 0.0176653000, 0.0008155000, -0.040185300, -0.139800800", \
+                        "0.0279871000, 0.0268194000, 0.0240089000, 0.0170815000, 0.0002887000, -0.040656100, -0.140330800", \
+                        "0.0272963000, 0.0261262000, 0.0234167000, 0.0165357000, -0.000334000, -0.041277100, -0.140924100", \
+                        "0.0266524000, 0.0255056000, 0.0227632000, 0.0160802000, -0.000779200, -0.041662900, -0.141221100", \
+                        "0.0259030000, 0.0247516000, 0.0219209000, 0.0149855000, -0.001405000, -0.042280500, -0.141511300", \
+                        "0.0298226000, 0.0286787000, 0.0258159000, 0.0189346000, 0.0023602000, -0.039554900, -0.140887900");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0541483000, 0.0553531000, 0.0582765000, 0.0652318000, 0.0821347000, 0.1230572000, 0.2225209000", \
+                        "0.0533846000, 0.0546481000, 0.0575590000, 0.0648152000, 0.0817813000, 0.1228017000, 0.2235682000", \
+                        "0.0523511000, 0.0535825000, 0.0565565000, 0.0637449000, 0.0810308000, 0.1224125000, 0.2222211000", \
+                        "0.0516390000, 0.0528933000, 0.0558649000, 0.0629471000, 0.0800360000, 0.1216244000, 0.2217404000", \
+                        "0.0510761000, 0.0522727000, 0.0552109000, 0.0621918000, 0.0791393000, 0.1205729000, 0.2212182000", \
+                        "0.0509793000, 0.0521479000, 0.0549833000, 0.0619257000, 0.0787943000, 0.1203100000, 0.2199191000", \
+                        "0.0507873000, 0.0519839000, 0.0548267000, 0.0619069000, 0.0789040000, 0.1193148000, 0.2197019000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0315479000, 0.0303827000, 0.0275664000, 0.0206151000, 0.0037727000, -0.037177900, -0.136825400", \
+                        "0.0310447000, 0.0298852000, 0.0270705000, 0.0201761000, 0.0033141000, -0.037660700, -0.137256900", \
+                        "0.0304988000, 0.0293362000, 0.0265010000, 0.0195944000, 0.0027592000, -0.038243600, -0.137869100", \
+                        "0.0299641000, 0.0288264000, 0.0260037000, 0.0191485000, 0.0022765000, -0.038758900, -0.138429900", \
+                        "0.0295944000, 0.0284363000, 0.0256246000, 0.0188127000, 0.0021027000, -0.038885900, -0.138508100", \
+                        "0.0290952000, 0.0278977000, 0.0251905000, 0.0183363000, 0.0014346000, -0.039280700, -0.138986200", \
+                        "0.0321254000, 0.0309306000, 0.0280252000, 0.0211643000, 0.0039671000, -0.037644700, -0.138626300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0688265000, 0.0699564000, 0.0728051000, 0.0797369000, 0.0965005000, 0.1373680000, 0.2367023000", \
+                        "0.0682578000, 0.0694514000, 0.0723245000, 0.0792632000, 0.0960643000, 0.1369882000, 0.2363721000", \
+                        "0.0676682000, 0.0687894000, 0.0717129000, 0.0787108000, 0.0955975000, 0.1366311000, 0.2360693000", \
+                        "0.0670096000, 0.0682062000, 0.0711050000, 0.0781153000, 0.0950286000, 0.1361706000, 0.2358075000", \
+                        "0.0664946000, 0.0676989000, 0.0705179000, 0.0775102000, 0.0944155000, 0.1355356000, 0.2353233000", \
+                        "0.0662482000, 0.0674313000, 0.0702900000, 0.0772253000, 0.0940702000, 0.1350269000, 0.2347841000", \
+                        "0.0661768000, 0.0673355000, 0.0702512000, 0.0772227000, 0.0940449000, 0.1349469000, 0.2346230000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0204779000, 0.0192959000, 0.0164681000, 0.0095953000, -0.007221300, -0.048055700, -0.148067600", \
+                        "0.0204909000, 0.0193328000, 0.0164881000, 0.0095890000, -0.007169800, -0.048023200, -0.148019400", \
+                        "0.0204836000, 0.0193243000, 0.0165165000, 0.0096892000, -0.007101200, -0.047928100, -0.147890000", \
+                        "0.0198848000, 0.0187425000, 0.0159410000, 0.0091291000, -0.007504600, -0.048182600, -0.148114300", \
+                        "0.0194189000, 0.0182738000, 0.0154896000, 0.0087082000, -0.007607800, -0.048240700, -0.148060500", \
+                        "0.0209419000, 0.0198013000, 0.0169821000, 0.0100759000, -0.006809300, -0.047973500, -0.148038700", \
+                        "0.0254725000, 0.0242618000, 0.0213377000, 0.0142095000, -0.002861800, -0.044357600, -0.145506800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
+                    values("0.0519259000, 0.0531336000, 0.0559949000, 0.0630608000, 0.0799414000, 0.1208429000, 0.2203961000", \
+                        "0.0512559000, 0.0524645000, 0.0554056000, 0.0624154000, 0.0794814000, 0.1205470000, 0.2200400000", \
+                        "0.0504344000, 0.0516079000, 0.0545148000, 0.0616494000, 0.0787614000, 0.1200581000, 0.2197832000", \
+                        "0.0497831000, 0.0509902000, 0.0538733000, 0.0608974000, 0.0779379000, 0.1192651000, 0.2192973000", \
+                        "0.0492809000, 0.0504344000, 0.0532595000, 0.0602150000, 0.0771750000, 0.1183517000, 0.2182724000", \
+                        "0.0490579000, 0.0501926000, 0.0530133000, 0.0599999000, 0.0767595000, 0.1178181000, 0.2176222000", \
+                        "0.0492126000, 0.0503577000, 0.0532131000, 0.0601983000, 0.0770146000, 0.1180387000, 0.2176914000");
+                }
+            }
+            max_capacitance : 0.1052960000;
+            max_transition : 1.5000270000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0345311000, 0.0362170000, 0.0401163000, 0.0492228000, 0.0699652000, 0.1162848000, 0.2204881000", \
+                        "0.0391834000, 0.0408276000, 0.0446358000, 0.0536352000, 0.0741424000, 0.1202989000, 0.2244269000", \
+                        "0.0508691000, 0.0523195000, 0.0558915000, 0.0644440000, 0.0844361000, 0.1300924000, 0.2343200000", \
+                        "0.0750916000, 0.0769049000, 0.0814274000, 0.0911035000, 0.1098633000, 0.1541844000, 0.2569184000", \
+                        "0.1069953000, 0.1095456000, 0.1155179000, 0.1287897000, 0.1562429000, 0.2092952000, 0.3121709000", \
+                        "0.1412854000, 0.1449778000, 0.1536307000, 0.1732886000, 0.2126793000, 0.2908991000, 0.4304660000", \
+                        "0.1510325000, 0.1565964000, 0.1693347000, 0.1979827000, 0.2581545000, 0.3761315000, 0.5872233000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2353509000, 0.2421938000, 0.2593210000, 0.2997171000, 0.3959593000, 0.6277714000, 1.1920388000", \
+                        "0.2374875000, 0.2448798000, 0.2617631000, 0.3030369000, 0.4001110000, 0.6331309000, 1.2056589000", \
+                        "0.2469594000, 0.2538633000, 0.2706934000, 0.3126838000, 0.4109569000, 0.6453312000, 1.2115007000", \
+                        "0.2739980000, 0.2809072000, 0.2976949000, 0.3388343000, 0.4371444000, 0.6715829000, 1.2395489000", \
+                        "0.3329486000, 0.3396131000, 0.3564089000, 0.3962631000, 0.4929899000, 0.7288193000, 1.2980973000", \
+                        "0.4419191000, 0.4504237000, 0.4679979000, 0.5120699000, 0.6105537000, 0.8458660000, 1.4118720000", \
+                        "0.6256540000, 0.6349273000, 0.6568119000, 0.7089941000, 0.8250341000, 1.0835384000, 1.6564784000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0479751000, 0.0499923000, 0.0548804000, 0.0664691000, 0.0934509000, 0.1554311000, 0.3004395000", \
+                        "0.0466602000, 0.0487171000, 0.0537314000, 0.0655752000, 0.0927113000, 0.1549011000, 0.3002123000", \
+                        "0.0467610000, 0.0485734000, 0.0530461000, 0.0641601000, 0.0910385000, 0.1537764000, 0.2996791000", \
+                        "0.0583282000, 0.0599124000, 0.0640868000, 0.0728720000, 0.0954204000, 0.1530548000, 0.2989613000", \
+                        "0.0821621000, 0.0842941000, 0.0893949000, 0.1013961000, 0.1244672000, 0.1749544000, 0.3038638000", \
+                        "0.1286859000, 0.1315295000, 0.1380450000, 0.1526260000, 0.1838950000, 0.2450402000, 0.3696662000", \
+                        "0.2102025000, 0.2144825000, 0.2245761000, 0.2465321000, 0.2917096000, 0.3766607000, 0.5332474000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1508708000, 0.1597921000, 0.1808156000, 0.2332620000, 0.3611033000, 0.6716003000, 1.4267170000", \
+                        "0.1506659000, 0.1595097000, 0.1815766000, 0.2337034000, 0.3611288000, 0.6712646000, 1.4398693000", \
+                        "0.1512074000, 0.1602650000, 0.1815984000, 0.2334239000, 0.3610691000, 0.6716039000, 1.4266620000", \
+                        "0.1515202000, 0.1600124000, 0.1813181000, 0.2342364000, 0.3613007000, 0.6717157000, 1.4268736000", \
+                        "0.1526616000, 0.1614800000, 0.1818437000, 0.2342612000, 0.3610091000, 0.6717903000, 1.4315611000", \
+                        "0.1716144000, 0.1799262000, 0.1997020000, 0.2479943000, 0.3694374000, 0.6741422000, 1.4273401000", \
+                        "0.2187338000, 0.2274530000, 0.2480916000, 0.3001059000, 0.4239132000, 0.7153298000, 1.4421089000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0405000000, 0.0420575000, 0.0458598000, 0.0548314000, 0.0753532000, 0.1217469000, 0.2262497000", \
+                        "0.0449303000, 0.0465725000, 0.0503719000, 0.0593207000, 0.0797776000, 0.1256937000, 0.2299401000", \
+                        "0.0542539000, 0.0557999000, 0.0594117000, 0.0680994000, 0.0883877000, 0.1342769000, 0.2385359000", \
+                        "0.0728919000, 0.0746480000, 0.0788332000, 0.0880391000, 0.1080755000, 0.1531723000, 0.2572513000", \
+                        "0.1019200000, 0.1040840000, 0.1092008000, 0.1209501000, 0.1455240000, 0.1957236000, 0.3008409000", \
+                        "0.1359729000, 0.1391698000, 0.1470820000, 0.1631693000, 0.1981414000, 0.2665399000, 0.3913713000", \
+                        "0.1519715000, 0.1567375000, 0.1679287000, 0.1924280000, 0.2458690000, 0.3476654000, 0.5282499000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2878613000, 0.2947414000, 0.3112910000, 0.3508005000, 0.4477843000, 0.6812966000, 1.2480867000", \
+                        "0.2905748000, 0.2976880000, 0.3146083000, 0.3547770000, 0.4517767000, 0.6854425000, 1.2526180000", \
+                        "0.3011534000, 0.3079187000, 0.3254424000, 0.3659690000, 0.4634438000, 0.6977926000, 1.2654783000", \
+                        "0.3273903000, 0.3345845000, 0.3516507000, 0.3921476000, 0.4897137000, 0.7249425000, 1.2942378000", \
+                        "0.3834274000, 0.3908038000, 0.4071529000, 0.4478085000, 0.5450220000, 0.7798123000, 1.3494686000", \
+                        "0.4892964000, 0.4966734000, 0.5145891000, 0.5571964000, 0.6547243000, 0.8890880000, 1.4579685000", \
+                        "0.6661491000, 0.6744327000, 0.6950243000, 0.7445721000, 0.8564838000, 1.1096198000, 1.6831935000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0471845000, 0.0492456000, 0.0541498000, 0.0658212000, 0.0930451000, 0.1548477000, 0.3000765000", \
+                        "0.0465059000, 0.0485105000, 0.0534301000, 0.0651971000, 0.0922532000, 0.1544742000, 0.2999120000", \
+                        "0.0464123000, 0.0482705000, 0.0530192000, 0.0643399000, 0.0914166000, 0.1539336000, 0.2994428000", \
+                        "0.0534027000, 0.0551640000, 0.0591529000, 0.0690326000, 0.0935084000, 0.1535018000, 0.2990981000", \
+                        "0.0726287000, 0.0743250000, 0.0787967000, 0.0889706000, 0.1117746000, 0.1652872000, 0.3016546000", \
+                        "0.1116540000, 0.1137762000, 0.1194919000, 0.1312315000, 0.1572027000, 0.2148929000, 0.3385614000", \
+                        "0.1828975000, 0.1858125000, 0.1929121000, 0.2092859000, 0.2435306000, 0.3140454000, 0.4543378000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1972737000, 0.2066901000, 0.2275939000, 0.2811552000, 0.4089064000, 0.7213269000, 1.4796754000", \
+                        "0.1981734000, 0.2071144000, 0.2279522000, 0.2803881000, 0.4091268000, 0.7212038000, 1.4788198000", \
+                        "0.1976336000, 0.2069356000, 0.2289198000, 0.2803909000, 0.4091096000, 0.7211629000, 1.4793199000", \
+                        "0.1982738000, 0.2072247000, 0.2279003000, 0.2818620000, 0.4091894000, 0.7236458000, 1.4809260000", \
+                        "0.1986605000, 0.2073942000, 0.2291516000, 0.2805238000, 0.4104062000, 0.7212222000, 1.4843994000", \
+                        "0.2129944000, 0.2212571000, 0.2415320000, 0.2915272000, 0.4158711000, 0.7219157000, 1.4820536000", \
+                        "0.2574702000, 0.2667840000, 0.2891973000, 0.3402587000, 0.4665422000, 0.7595592000, 1.4964138000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0232670000, 0.0243025000, 0.0266350000, 0.0322352000, 0.0449255000, 0.0733494000, 0.1381523000", \
+                        "0.0283898000, 0.0293405000, 0.0316671000, 0.0371043000, 0.0496123000, 0.0779726000, 0.1427368000", \
+                        "0.0405032000, 0.0415034000, 0.0438087000, 0.0489537000, 0.0609012000, 0.0888826000, 0.1535724000", \
+                        "0.0589413000, 0.0603612000, 0.0636477000, 0.0706609000, 0.0856236000, 0.1143309000, 0.1784337000", \
+                        "0.0806831000, 0.0827108000, 0.0874930000, 0.0981776000, 0.1204393000, 0.1627615000, 0.2373349000", \
+                        "0.0955709000, 0.0986887000, 0.1060581000, 0.1230955000, 0.1575317000, 0.2225474000, 0.3363409000", \
+                        "0.0789200000, 0.0837265000, 0.0950041000, 0.1206165000, 0.1731621000, 0.2744872000, 0.4519131000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2667752000, 0.2738141000, 0.2906936000, 0.3311000000, 0.4282533000, 0.6625288000, 1.2301800000", \
+                        "0.2682914000, 0.2753814000, 0.2924381000, 0.3332392000, 0.4312493000, 0.6659610000, 1.2338021000", \
+                        "0.2765708000, 0.2836507000, 0.3006388000, 0.3412621000, 0.4399291000, 0.6757598000, 1.2447140000", \
+                        "0.3003460000, 0.3075450000, 0.3245714000, 0.3646288000, 0.4631864000, 0.6993614000, 1.2694898000", \
+                        "0.3549939000, 0.3615327000, 0.3783913000, 0.4190482000, 0.5168930000, 0.7521273000, 1.3223395000", \
+                        "0.4627497000, 0.4705041000, 0.4891456000, 0.5332484000, 0.6327103000, 0.8673931000, 1.4367217000", \
+                        "0.6489581000, 0.6584707000, 0.6817360000, 0.7367960000, 0.8570201000, 1.1208520000, 1.6973067000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0329807000, 0.0340119000, 0.0365923000, 0.0429887000, 0.0582021000, 0.0948677000, 0.1833936000", \
+                        "0.0322481000, 0.0332538000, 0.0357524000, 0.0421622000, 0.0577219000, 0.0945554000, 0.1832448000", \
+                        "0.0353979000, 0.0362211000, 0.0382993000, 0.0437550000, 0.0576510000, 0.0939929000, 0.1830313000", \
+                        "0.0493811000, 0.0502387000, 0.0522775000, 0.0574417000, 0.0684667000, 0.0991059000, 0.1826755000", \
+                        "0.0763466000, 0.0775570000, 0.0805006000, 0.0868476000, 0.1016157000, 0.1319510000, 0.2017943000", \
+                        "0.1253554000, 0.1271295000, 0.1312685000, 0.1406856000, 0.1610254000, 0.2027025000, 0.2817965000", \
+                        "0.2124326000, 0.2151707000, 0.2217000000, 0.2357070000, 0.2675837000, 0.3285233000, 0.4412154000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1971377000, 0.2066019000, 0.2274341000, 0.2815671000, 0.4091720000, 0.7236763000, 1.4843411000", \
+                        "0.1975099000, 0.2060431000, 0.2284339000, 0.2804759000, 0.4089257000, 0.7211686000, 1.4794209000", \
+                        "0.1972916000, 0.2067462000, 0.2275980000, 0.2814789000, 0.4090635000, 0.7213468000, 1.4797065000", \
+                        "0.1983215000, 0.2072287000, 0.2278241000, 0.2810769000, 0.4089680000, 0.7213614000, 1.4799418000", \
+                        "0.1988496000, 0.2079437000, 0.2296270000, 0.2821194000, 0.4089692000, 0.7212198000, 1.4793403000", \
+                        "0.2260729000, 0.2344829000, 0.2533418000, 0.3018118000, 0.4227037000, 0.7234812000, 1.4816286000", \
+                        "0.2962616000, 0.3052713000, 0.3268635000, 0.3777011000, 0.4974418000, 0.7796483000, 1.5000274000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0220852000, 0.0229603000, 0.0249152000, 0.0295701000, 0.0401644000, 0.0646455000, 0.1227497000", \
+                        "0.0268757000, 0.0277287000, 0.0296794000, 0.0341841000, 0.0447651000, 0.0692749000, 0.1273291000", \
+                        "0.0376513000, 0.0385949000, 0.0407761000, 0.0452970000, 0.0556801000, 0.0801295000, 0.1382348000", \
+                        "0.0520796000, 0.0534712000, 0.0567037000, 0.0638392000, 0.0785802000, 0.1053140000, 0.1633206000", \
+                        "0.0658946000, 0.0685094000, 0.0733797000, 0.0844150000, 0.1069312000, 0.1485652000, 0.2213156000", \
+                        "0.0682692000, 0.0716558000, 0.0795310000, 0.0966298000, 0.1325048000, 0.1977530000, 0.3109825000", \
+                        "0.0273170000, 0.0325441000, 0.0447534000, 0.0720278000, 0.1289483000, 0.2317970000, 0.4095903000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.2219286000, 0.2290668000, 0.2460704000, 0.2867501000, 0.3843346000, 0.6185715000, 1.1875927000", \
+                        "0.2226666000, 0.2298478000, 0.2469787000, 0.2883983000, 0.3865844000, 0.6218995000, 1.1913367000", \
+                        "0.2300187000, 0.2374273000, 0.2546996000, 0.2956104000, 0.3941402000, 0.6302543000, 1.1997092000", \
+                        "0.2535664000, 0.2607474000, 0.2778995000, 0.3183240000, 0.4165167000, 0.6527250000, 1.2232957000", \
+                        "0.3096253000, 0.3165056000, 0.3335860000, 0.3736652000, 0.4713296000, 0.7066211000, 1.2767906000", \
+                        "0.4248784000, 0.4332623000, 0.4526021000, 0.4996460000, 0.6023835000, 0.8373432000, 1.4065799000", \
+                        "0.6288982000, 0.6403791000, 0.6673723000, 0.7282684000, 0.8605415000, 1.1333692000, 1.7141745000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0235072000, 0.0244522000, 0.0267772000, 0.0324765000, 0.0461254000, 0.0788893000, 0.1587961000", \
+                        "0.0230648000, 0.0239901000, 0.0263055000, 0.0321228000, 0.0459675000, 0.0788547000, 0.1587321000", \
+                        "0.0267489000, 0.0275068000, 0.0295301000, 0.0344719000, 0.0468945000, 0.0787328000, 0.1588029000", \
+                        "0.0409663000, 0.0418514000, 0.0439628000, 0.0488515000, 0.0595327000, 0.0859656000, 0.1597907000", \
+                        "0.0672613000, 0.0681978000, 0.0711002000, 0.0777111000, 0.0918629000, 0.1210741000, 0.1823092000", \
+                        "0.1139782000, 0.1156944000, 0.1199971000, 0.1301762000, 0.1506145000, 0.1915803000, 0.2661135000", \
+                        "0.2005359000, 0.2033587000, 0.2099698000, 0.2247250000, 0.2553599000, 0.3165200000, 0.4233003000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1973544000, 0.2066313000, 0.2274880000, 0.2802686000, 0.4090384000, 0.7213601000, 1.4808271000", \
+                        "0.1976940000, 0.2068784000, 0.2275930000, 0.2804606000, 0.4104909000, 0.7236591000, 1.4814060000", \
+                        "0.1977378000, 0.2068543000, 0.2282967000, 0.2801768000, 0.4091568000, 0.7211325000, 1.4818939000", \
+                        "0.1975237000, 0.2067706000, 0.2275449000, 0.2805006000, 0.4089464000, 0.7217061000, 1.4789646000", \
+                        "0.2014467000, 0.2097801000, 0.2302731000, 0.2822721000, 0.4091510000, 0.7214947000, 1.4791811000", \
+                        "0.2393933000, 0.2466926000, 0.2654157000, 0.3115244000, 0.4282701000, 0.7277478000, 1.4790151000", \
+                        "0.3310665000, 0.3402099000, 0.3616438000, 0.4143988000, 0.5365574000, 0.7995751000, 1.4965519000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0161569000, 0.0168754000, 0.0185615000, 0.0225092000, 0.0317548000, 0.0535000000, 0.1060772000", \
+                        "0.0208282000, 0.0215484000, 0.0232680000, 0.0271944000, 0.0364622000, 0.0583377000, 0.1109989000", \
+                        "0.0287182000, 0.0298258000, 0.0323080000, 0.0376217000, 0.0475032000, 0.0694245000, 0.1220420000", \
+                        "0.0374615000, 0.0391427000, 0.0429541000, 0.0511946000, 0.0670931000, 0.0950021000, 0.1474669000", \
+                        "0.0425844000, 0.0452832000, 0.0514007000, 0.0643833000, 0.0901437000, 0.1331765000, 0.2054551000", \
+                        "0.0311951000, 0.0354964000, 0.0455826000, 0.0658835000, 0.1062681000, 0.1761996000, 0.2884404000", \
+                        "-0.031164200, -0.024276700, -0.008511000, 0.0246778000, 0.0891399000, 0.2004354000, 0.3805528000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1418014000, 0.1488970000, 0.1666060000, 0.2081663000, 0.3070887000, 0.5426214000, 1.1112122000", \
+                        "0.1422476000, 0.1495284000, 0.1664453000, 0.2089141000, 0.3080244000, 0.5446540000, 1.1139785000", \
+                        "0.1499350000, 0.1567758000, 0.1742202000, 0.2148991000, 0.3141047000, 0.5513657000, 1.1222427000", \
+                        "0.1739214000, 0.1806494000, 0.1965225000, 0.2370693000, 0.3352521000, 0.5719791000, 1.1437113000", \
+                        "0.2394765000, 0.2452138000, 0.2599680000, 0.2974057000, 0.3936158000, 0.6287223000, 1.1991291000", \
+                        "0.3730779000, 0.3813429000, 0.4003889000, 0.4440176000, 0.5407672000, 0.7692256000, 1.3351927000", \
+                        "0.5882214000, 0.6000276000, 0.6282198000, 0.6927974000, 0.8300472000, 1.1012853000, 1.6584791000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.0124167000, 0.0133210000, 0.0156505000, 0.0212962000, 0.0346389000, 0.0648213000, 0.1362390000", \
+                        "0.0130989000, 0.0139303000, 0.0160849000, 0.0213875000, 0.0346828000, 0.0648147000, 0.1363119000", \
+                        "0.0202272000, 0.0209297000, 0.0226240000, 0.0262580000, 0.0372059000, 0.0651689000, 0.1362677000", \
+                        "0.0355992000, 0.0364339000, 0.0382776000, 0.0427766000, 0.0529490000, 0.0748193000, 0.1380495000", \
+                        "0.0630573000, 0.0640997000, 0.0666878000, 0.0726953000, 0.0855752000, 0.1125876000, 0.1644155000", \
+                        "0.1125305000, 0.1139477000, 0.1170297000, 0.1258133000, 0.1442521000, 0.1814121000, 0.2527015000", \
+                        "0.2038305000, 0.2059747000, 0.2109711000, 0.2237230000, 0.2505027000, 0.3069522000, 0.4068186000");
+                }
+                related_pin : "D1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
+                    values("0.1919651000, 0.2016277000, 0.2244716000, 0.2791912000, 0.4096578000, 0.7233397000, 1.4844077000", \
+                        "0.1910709000, 0.2002506000, 0.2231019000, 0.2776904000, 0.4090987000, 0.7207641000, 1.4816465000", \
+                        "0.1888859000, 0.1987328000, 0.2215779000, 0.2768189000, 0.4086638000, 0.7211313000, 1.4800223000", \
+                        "0.1833146000, 0.1924057000, 0.2161689000, 0.2741539000, 0.4066425000, 0.7217537000, 1.4788292000", \
+                        "0.1906062000, 0.1993470000, 0.2192240000, 0.2719825000, 0.4005863000, 0.7208312000, 1.4794048000", \
+                        "0.2387513000, 0.2487646000, 0.2724144000, 0.3177764000, 0.4288639000, 0.7217739000, 1.4794257000", \
+                        "0.3233296000, 0.3346813000, 0.3616530000, 0.4236127000, 0.5560842000, 0.8235763000, 1.4995479000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211o_1 */
+
+/* removed sky130_fd_sc_hd__a211o_2 */
+
+    cell ("sky130_fd_sc_hd__a211o_4") {
+        leakage_power () {
+            value : 0.0033710000;
+            when : "!A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0098061000;
+            when : "!A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "!A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "!A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0033711000;
+            when : "!A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0107815000;
+            when : "!A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "!A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "!A1&A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0033720000;
+            when : "A1&!A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0104498000;
+            when : "A1&!A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021701000;
+            when : "A1&!A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0036611000;
+            when : "A1&!A2&B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0022448000;
+            when : "A1&A2&!B1&C1";
+        }
+        leakage_power () {
+            value : 0.0044171000;
+            when : "A1&A2&!B1&!C1";
+        }
+        leakage_power () {
+            value : 0.0021068000;
+            when : "A1&A2&B1&C1";
+        }
+        leakage_power () {
+            value : 0.0022659000;
+            when : "A1&A2&B1&!C1";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__a211o";
+        cell_leakage_power : 0.0043549920;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041770000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0087844000, 0.0087905000, 0.0088045000, 0.0088028000, 0.0087988000, 0.0087896000, 0.0087685000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006940900, -0.006947100, -0.006961200, -0.006948800, -0.006920300, -0.006854500, -0.006703000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045470000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047860000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082288000, 0.0082254000, 0.0082177000, 0.0082178000, 0.0082181000, 0.0082188000, 0.0082204000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008218100, -0.008216500, -0.008212700, -0.008214200, -0.008217700, -0.008225700, -0.008244200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050090000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048560000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045050000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0077562000, 0.0077565000, 0.0077572000, 0.0077598000, 0.0077660000, 0.0077802000, 0.0078129000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006955100, -0.007100300, -0.007435000, -0.007444000, -0.007464600, -0.007512200, -0.007621800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0052080000;
+        }
+        pin ("C1") {
+            capacitance : 0.0044290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051831000, 0.0051775000, 0.0051647000, 0.0051795000, 0.0052138000, 0.0052927000, 0.0054745000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003443200, -0.003448300, -0.003460100, -0.003463300, -0.003470500, -0.003487100, -0.003525300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047710000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1) | (C1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0322366000, 0.0302823000, 0.0248279000, 0.0094306000, -0.044650200, -0.234971500, -0.859179000", \
+                        "0.0319452000, 0.0299994000, 0.0245276000, 0.0091660000, -0.044943400, -0.235196200, -0.859442000", \
+                        "0.0315587000, 0.0295940000, 0.0239852000, 0.0086813000, -0.045427100, -0.235671300, -0.859908600", \
+                        "0.0311294000, 0.0292645000, 0.0237727000, 0.0082858000, -0.045830700, -0.236155700, -0.860359000", \
+                        "0.0307593000, 0.0288234000, 0.0232542000, 0.0078733000, -0.046392500, -0.236565900, -0.860871800", \
+                        "0.0309979000, 0.0289888000, 0.0233394000, 0.0077548000, -0.046513300, -0.236773700, -0.860912000", \
+                        "0.0412584000, 0.0391754000, 0.0327867000, 0.0137215000, -0.046081700, -0.236869900, -0.860900800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0358321000, 0.0378453000, 0.0442643000, 0.0643075000, 0.1255932000, 0.3187886000, 0.9371956000", \
+                        "0.0357061000, 0.0376208000, 0.0440536000, 0.0640619000, 0.1254495000, 0.3180666000, 0.9355197000", \
+                        "0.0354528000, 0.0374393000, 0.0438628000, 0.0638494000, 0.1251442000, 0.3179808000, 0.9362197000", \
+                        "0.0353372000, 0.0373293000, 0.0436037000, 0.0634959000, 0.1245722000, 0.3174247000, 0.9382001000", \
+                        "0.0355908000, 0.0375132000, 0.0438121000, 0.0632414000, 0.1236452000, 0.3173482000, 0.9357538000", \
+                        "0.0372837000, 0.0390865000, 0.0449146000, 0.0636648000, 0.1235029000, 0.3155146000, 0.9375613000", \
+                        "0.0402401000, 0.0419649000, 0.0476608000, 0.0664073000, 0.1247814000, 0.3172446000, 0.9333955000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0388081000, 0.0368478000, 0.0312879000, 0.0161034000, -0.038226000, -0.228689200, -0.852861800", \
+                        "0.0388851000, 0.0369405000, 0.0313777000, 0.0159348000, -0.038461100, -0.228928900, -0.853078500", \
+                        "0.0383306000, 0.0363692000, 0.0308024000, 0.0155273000, -0.038716000, -0.229167500, -0.853331100", \
+                        "0.0379444000, 0.0360708000, 0.0305727000, 0.0151858000, -0.039098500, -0.229377900, -0.853531500", \
+                        "0.0377875000, 0.0359094000, 0.0303897000, 0.0149368000, -0.039406400, -0.229906900, -0.853854800", \
+                        "0.0379917000, 0.0359354000, 0.0302192000, 0.0149090000, -0.039456600, -0.229981000, -0.853991400", \
+                        "0.0487253000, 0.0466167000, 0.0402500000, 0.0211483000, -0.039033300, -0.230110400, -0.854027500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0371342000, 0.0391607000, 0.0454953000, 0.0655306000, 0.1268459000, 0.3195929000, 0.9371482000", \
+                        "0.0369710000, 0.0389137000, 0.0452903000, 0.0653077000, 0.1265614000, 0.3195008000, 0.9380592000", \
+                        "0.0366122000, 0.0385979000, 0.0450162000, 0.0650067000, 0.1262577000, 0.3190832000, 0.9372443000", \
+                        "0.0366085000, 0.0385489000, 0.0449533000, 0.0648352000, 0.1258742000, 0.3188115000, 0.9371064000", \
+                        "0.0363940000, 0.0383395000, 0.0445965000, 0.0639752000, 0.1252599000, 0.3184400000, 0.9358991000", \
+                        "0.0378470000, 0.0396619000, 0.0455784000, 0.0645139000, 0.1244030000, 0.3170427000, 0.9361182000", \
+                        "0.0406575000, 0.0423947000, 0.0480541000, 0.0667897000, 0.1269176000, 0.3188760000, 0.9337865000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0349991000, 0.0330485000, 0.0276174000, 0.0120792000, -0.042267600, -0.232769200, -0.856923300", \
+                        "0.0343230000, 0.0323731000, 0.0268161000, 0.0115481000, -0.042652000, -0.233088400, -0.857250100", \
+                        "0.0341569000, 0.0320580000, 0.0264899000, 0.0111268000, -0.043202400, -0.233605800, -0.857673400", \
+                        "0.0335394000, 0.0316242000, 0.0259706000, 0.0106103000, -0.043722200, -0.233975800, -0.858125300", \
+                        "0.0331254000, 0.0312136000, 0.0256728000, 0.0102231000, -0.044139600, -0.234454100, -0.858492100", \
+                        "0.0336789000, 0.0315251000, 0.0257275000, 0.0100326000, -0.044434100, -0.234676700, -0.858709800", \
+                        "0.0455546000, 0.0433790000, 0.0368587000, 0.0174462000, -0.043330400, -0.234337100, -0.858160300");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0323189000, 0.0342946000, 0.0405127000, 0.0597846000, 0.1197641000, 0.3115664000, 0.9287061000", \
+                        "0.0323618000, 0.0343690000, 0.0405841000, 0.0598435000, 0.1198887000, 0.3112516000, 0.9297896000", \
+                        "0.0325377000, 0.0345110000, 0.0407503000, 0.0599526000, 0.1200496000, 0.3115101000, 0.9300385000", \
+                        "0.0322009000, 0.0341291000, 0.0402289000, 0.0593853000, 0.1195764000, 0.3118091000, 0.9315314000", \
+                        "0.0323330000, 0.0341207000, 0.0399170000, 0.0589817000, 0.1188819000, 0.3107754000, 0.9295041000", \
+                        "0.0332604000, 0.0350398000, 0.0408480000, 0.0596606000, 0.1189334000, 0.3101296000, 0.9279700000", \
+                        "0.0363276000, 0.0379671000, 0.0436678000, 0.0619664000, 0.1220409000, 0.3137059000, 0.9283750000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0322925000, 0.0304839000, 0.0250289000, 0.0096642000, -0.044693000, -0.235137200, -0.859213300", \
+                        "0.0321046000, 0.0301830000, 0.0246468000, 0.0092171000, -0.045114200, -0.235483700, -0.859573500", \
+                        "0.0314025000, 0.0295666000, 0.0239825000, 0.0086047000, -0.045600900, -0.235943100, -0.860154200", \
+                        "0.0310072000, 0.0290426000, 0.0235712000, 0.0081655000, -0.046094100, -0.236508200, -0.860599100", \
+                        "0.0307371000, 0.0288258000, 0.0233036000, 0.0079065000, -0.046508900, -0.236944400, -0.860823800", \
+                        "0.0326261000, 0.0306511000, 0.0247789000, 0.0089381000, -0.045710200, -0.235805200, -0.859761700", \
+                        "0.0464652000, 0.0442177000, 0.0376299000, 0.0180290000, -0.042715500, -0.234164800, -0.858128000");
+                }
+                related_pin : "C1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
+                    values("0.0257314000, 0.0277222000, 0.0341068000, 0.0535940000, 0.1139127000, 0.3059156000, 0.9201938000", \
+                        "0.0257258000, 0.0277341000, 0.0340505000, 0.0535849000, 0.1139353000, 0.3060225000, 0.9220799000", \
+                        "0.0255962000, 0.0275840000, 0.0338497000, 0.0533008000, 0.1136834000, 0.3075420000, 0.9204270000", \
+                        "0.0252476000, 0.0271591000, 0.0333280000, 0.0525872000, 0.1130867000, 0.3060837000, 0.9242634000", \
+                        "0.0254932000, 0.0273017000, 0.0330918000, 0.0521805000, 0.1122592000, 0.3050259000, 0.9238082000", \
+                        "0.0264709000, 0.0282508000, 0.0340303000, 0.0529474000, 0.1122653000, 0.3039954000, 0.9239495000", \
+                        "0.0295100000, 0.0310320000, 0.0365463000, 0.0549085000, 0.1150527000, 0.3068675000, 0.9211552000");
+                }
+            }
+            max_capacitance : 0.5593970000;
+            max_transition : 1.5038380000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2856875000, 0.2907152000, 0.3038002000, 0.3336763000, 0.3953710000, 0.5298653000, 0.8767365000", \
+                        "0.2897101000, 0.2946884000, 0.3077783000, 0.3376397000, 0.3994204000, 0.5339537000, 0.8809217000", \
+                        "0.3008832000, 0.3057412000, 0.3187812000, 0.3486222000, 0.4104058000, 0.5449607000, 0.8919538000", \
+                        "0.3276211000, 0.3325058000, 0.3455298000, 0.3752545000, 0.4370445000, 0.5715335000, 0.9184025000", \
+                        "0.3844702000, 0.3894142000, 0.4023747000, 0.4322060000, 0.4941514000, 0.6286189000, 0.9756872000", \
+                        "0.4989101000, 0.5040336000, 0.5174861000, 0.5479965000, 0.6104372000, 0.7455878000, 1.0928406000", \
+                        "0.7011541000, 0.7067448000, 0.7214533000, 0.7547786000, 0.8237358000, 0.9665440000, 1.3192777000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0870568000, 0.0909593000, 0.1015945000, 0.1287866000, 0.2019244000, 0.4245159000, 1.1333072000", \
+                        "0.0911613000, 0.0950457000, 0.1056855000, 0.1328349000, 0.2061697000, 0.4291782000, 1.1391758000", \
+                        "0.1014643000, 0.1053385000, 0.1159254000, 0.1429754000, 0.2162203000, 0.4393680000, 1.1498124000", \
+                        "0.1258936000, 0.1297045000, 0.1401067000, 0.1667886000, 0.2394457000, 0.4618272000, 1.1707414000", \
+                        "0.1675881000, 0.1715945000, 0.1822803000, 0.2091956000, 0.2820890000, 0.5046221000, 1.2133975000", \
+                        "0.2166980000, 0.2216004000, 0.2339987000, 0.2619284000, 0.3352502000, 0.5576050000, 1.2678381000", \
+                        "0.2512288000, 0.2576838000, 0.2737073000, 0.3085520000, 0.3832113000, 0.6056036000, 1.3137018000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0395493000, 0.0425731000, 0.0509027000, 0.0713082000, 0.1206289000, 0.2545721000, 0.6867869000", \
+                        "0.0396083000, 0.0426168000, 0.0509258000, 0.0713888000, 0.1205623000, 0.2545313000, 0.6859112000", \
+                        "0.0398908000, 0.0427884000, 0.0510599000, 0.0713834000, 0.1203893000, 0.2543065000, 0.6861525000", \
+                        "0.0398880000, 0.0429261000, 0.0512620000, 0.0707105000, 0.1204592000, 0.2544822000, 0.6868598000", \
+                        "0.0396150000, 0.0425976000, 0.0515378000, 0.0712927000, 0.1202607000, 0.2538110000, 0.6868153000", \
+                        "0.0425603000, 0.0454232000, 0.0535827000, 0.0737196000, 0.1226405000, 0.2554626000, 0.6854725000", \
+                        "0.0504605000, 0.0537204000, 0.0627603000, 0.0837482000, 0.1352099000, 0.2684315000, 0.6920695000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0221420000, 0.0253788000, 0.0350325000, 0.0644038000, 0.1599166000, 0.4763629000, 1.5006503000", \
+                        "0.0220745000, 0.0254608000, 0.0349854000, 0.0643152000, 0.1599054000, 0.4763859000, 1.5018790000", \
+                        "0.0219934000, 0.0253035000, 0.0348202000, 0.0641945000, 0.1599498000, 0.4771170000, 1.5007886000", \
+                        "0.0215959000, 0.0247730000, 0.0345702000, 0.0639018000, 0.1595833000, 0.4769025000, 1.5004827000", \
+                        "0.0244742000, 0.0276922000, 0.0368462000, 0.0652750000, 0.1600285000, 0.4757880000, 1.5009133000", \
+                        "0.0316981000, 0.0348820000, 0.0434008000, 0.0701915000, 0.1619774000, 0.4755160000, 1.4978976000", \
+                        "0.0439959000, 0.0479741000, 0.0582612000, 0.0830331000, 0.1675975000, 0.4780273000, 1.4994200000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2947404000, 0.2998014000, 0.3130372000, 0.3423936000, 0.4029793000, 0.5342904000, 0.8785426000", \
+                        "0.2995128000, 0.3045240000, 0.3178222000, 0.3473564000, 0.4078730000, 0.5391741000, 0.8834115000", \
+                        "0.3119515000, 0.3170078000, 0.3302422000, 0.3598966000, 0.4202167000, 0.5515271000, 0.8957877000", \
+                        "0.3400740000, 0.3451296000, 0.3584006000, 0.3879574000, 0.4487248000, 0.5794059000, 0.9239829000", \
+                        "0.3979464000, 0.4030038000, 0.4161824000, 0.4456933000, 0.5062855000, 0.6377213000, 0.9820627000", \
+                        "0.5136720000, 0.5189028000, 0.5325164000, 0.5624919000, 0.6238005000, 0.7553355000, 1.0994171000", \
+                        "0.7155839000, 0.7213721000, 0.7364562000, 0.7699874000, 0.8371058000, 0.9762655000, 1.3252539000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0919621000, 0.0958728000, 0.1065032000, 0.1336478000, 0.2068716000, 0.4290899000, 1.1368835000", \
+                        "0.0962468000, 0.1000905000, 0.1107211000, 0.1378956000, 0.2111282000, 0.4341549000, 1.1422930000", \
+                        "0.1055451000, 0.1094313000, 0.1200296000, 0.1471154000, 0.2203695000, 0.4434668000, 1.1538521000", \
+                        "0.1270807000, 0.1309170000, 0.1413932000, 0.1682033000, 0.2411985000, 0.4642995000, 1.1746963000", \
+                        "0.1663586000, 0.1704235000, 0.1813711000, 0.2087338000, 0.2812732000, 0.5037598000, 1.2114370000", \
+                        "0.2193578000, 0.2241095000, 0.2363330000, 0.2653826000, 0.3386695000, 0.5607617000, 1.2726694000", \
+                        "0.2660851000, 0.2721988000, 0.2877465000, 0.3218159000, 0.3981853000, 0.6208490000, 1.3280050000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0399153000, 0.0429451000, 0.0511477000, 0.0711413000, 0.1178846000, 0.2498336000, 0.6840482000", \
+                        "0.0399771000, 0.0428513000, 0.0509184000, 0.0709225000, 0.1171143000, 0.2496866000, 0.6836586000", \
+                        "0.0399164000, 0.0429465000, 0.0511406000, 0.0698012000, 0.1178867000, 0.2498139000, 0.6840331000", \
+                        "0.0402358000, 0.0432961000, 0.0509044000, 0.0707649000, 0.1171320000, 0.2495772000, 0.6822868000", \
+                        "0.0402614000, 0.0434810000, 0.0517350000, 0.0701564000, 0.1182133000, 0.2501686000, 0.6821884000", \
+                        "0.0424804000, 0.0454344000, 0.0532435000, 0.0721925000, 0.1191672000, 0.2503546000, 0.6847166000", \
+                        "0.0505379000, 0.0537991000, 0.0625121000, 0.0828114000, 0.1314729000, 0.2618848000, 0.6877049000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0220719000, 0.0253359000, 0.0350170000, 0.0643221000, 0.1601706000, 0.4768894000, 1.5016929000", \
+                        "0.0221197000, 0.0254232000, 0.0350479000, 0.0642975000, 0.1602991000, 0.4761465000, 1.4995321000", \
+                        "0.0220779000, 0.0253586000, 0.0349067000, 0.0642437000, 0.1601298000, 0.4771194000, 1.5007138000", \
+                        "0.0218905000, 0.0251249000, 0.0347010000, 0.0640408000, 0.1600192000, 0.4770311000, 1.4992503000", \
+                        "0.0240649000, 0.0272985000, 0.0365176000, 0.0653659000, 0.1598889000, 0.4767225000, 1.5018399000", \
+                        "0.0296268000, 0.0327797000, 0.0424360000, 0.0693618000, 0.1619229000, 0.4759914000, 1.5004781000", \
+                        "0.0406895000, 0.0445766000, 0.0545610000, 0.0810396000, 0.1661865000, 0.4784668000, 1.5000430000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2751185000, 0.2801948000, 0.2934522000, 0.3230257000, 0.3836559000, 0.5148733000, 0.8591881000", \
+                        "0.2780202000, 0.2830934000, 0.2963526000, 0.3260194000, 0.3863829000, 0.5177151000, 0.8620067000", \
+                        "0.2874797000, 0.2926251000, 0.3058590000, 0.3354859000, 0.3962107000, 0.5270501000, 0.8709657000", \
+                        "0.3118258000, 0.3168998000, 0.3301195000, 0.3596421000, 0.4204821000, 0.5513047000, 0.8959385000", \
+                        "0.3696403000, 0.3747238000, 0.3879025000, 0.4173840000, 0.4781049000, 0.6093613000, 0.9539698000", \
+                        "0.4976659000, 0.5028265000, 0.5167283000, 0.5473300000, 0.6087907000, 0.7403285000, 1.0845896000", \
+                        "0.7277179000, 0.7338088000, 0.7498294000, 0.7850099000, 0.8541070000, 0.9945134000, 1.3446726000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0641276000, 0.0673347000, 0.0762717000, 0.1001156000, 0.1695035000, 0.3894394000, 1.0988571000", \
+                        "0.0688170000, 0.0720353000, 0.0809709000, 0.1048315000, 0.1743784000, 0.3942491000, 1.1016815000", \
+                        "0.0797849000, 0.0830214000, 0.0919498000, 0.1157029000, 0.1853582000, 0.4053166000, 1.1126370000", \
+                        "0.1037092000, 0.1069615000, 0.1159059000, 0.1397282000, 0.2092109000, 0.4295811000, 1.1371222000", \
+                        "0.1370553000, 0.1409217000, 0.1509485000, 0.1759518000, 0.2458683000, 0.4665454000, 1.1737853000", \
+                        "0.1705527000, 0.1755878000, 0.1882528000, 0.2166583000, 0.2876653000, 0.5090986000, 1.2178673000", \
+                        "0.1813109000, 0.1880057000, 0.2048736000, 0.2412281000, 0.3174280000, 0.5380643000, 1.2436114000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0399733000, 0.0430311000, 0.0515516000, 0.0707541000, 0.1168975000, 0.2496567000, 0.6836965000", \
+                        "0.0399176000, 0.0429511000, 0.0511648000, 0.0698079000, 0.1178293000, 0.2495296000, 0.6838731000", \
+                        "0.0399188000, 0.0428882000, 0.0511631000, 0.0701808000, 0.1176901000, 0.2501305000, 0.6841683000", \
+                        "0.0402234000, 0.0428939000, 0.0510426000, 0.0698359000, 0.1174895000, 0.2496598000, 0.6823333000", \
+                        "0.0402486000, 0.0429500000, 0.0513386000, 0.0699309000, 0.1172787000, 0.2491601000, 0.6838548000", \
+                        "0.0439201000, 0.0470331000, 0.0549710000, 0.0739028000, 0.1199637000, 0.2519704000, 0.6843851000", \
+                        "0.0565186000, 0.0599273000, 0.0683941000, 0.0887207000, 0.1354372000, 0.2633751000, 0.6901671000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0178087000, 0.0206291000, 0.0295061000, 0.0585200000, 0.1556262000, 0.4741025000, 1.5011904000", \
+                        "0.0178121000, 0.0206827000, 0.0295447000, 0.0585504000, 0.1557912000, 0.4733520000, 1.4977766000", \
+                        "0.0178160000, 0.0205849000, 0.0295516000, 0.0584993000, 0.1556400000, 0.4735015000, 1.4974745000", \
+                        "0.0188272000, 0.0215192000, 0.0301720000, 0.0587197000, 0.1557986000, 0.4737341000, 1.4975263000", \
+                        "0.0238424000, 0.0263464000, 0.0344322000, 0.0612809000, 0.1559380000, 0.4734331000, 1.4968941000", \
+                        "0.0333320000, 0.0358511000, 0.0438703000, 0.0681013000, 0.1579896000, 0.4735695000, 1.4999765000", \
+                        "0.0466599000, 0.0505911000, 0.0605936000, 0.0844909000, 0.1650072000, 0.4759026000, 1.4929707000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.2345037000, 0.2395532000, 0.2529028000, 0.2824408000, 0.3429973000, 0.4743609000, 0.8186568000", \
+                        "0.2368269000, 0.2419679000, 0.2552630000, 0.2848683000, 0.3455166000, 0.4770112000, 0.8209336000", \
+                        "0.2447472000, 0.2498002000, 0.2628241000, 0.2924909000, 0.3529225000, 0.4842410000, 0.8286449000", \
+                        "0.2693265000, 0.2743672000, 0.2876051000, 0.3171219000, 0.3777202000, 0.5092421000, 0.8532542000", \
+                        "0.3328171000, 0.3379156000, 0.3511336000, 0.3807395000, 0.4413456000, 0.5728198000, 0.9172853000", \
+                        "0.4810669000, 0.4863471000, 0.5000815000, 0.5302728000, 0.5911433000, 0.7209153000, 1.0649812000", \
+                        "0.7338798000, 0.7403906000, 0.7575583000, 0.7947115000, 0.8641746000, 1.0008790000, 1.3498923000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0616369000, 0.0649783000, 0.0742901000, 0.0987160000, 0.1685097000, 0.3881851000, 1.0960394000", \
+                        "0.0662534000, 0.0696063000, 0.0788887000, 0.1034282000, 0.1732460000, 0.3929230000, 1.1139482000", \
+                        "0.0773148000, 0.0806414000, 0.0898821000, 0.1143149000, 0.1843586000, 0.4045158000, 1.1132999000", \
+                        "0.0999440000, 0.1033689000, 0.1127314000, 0.1371624000, 0.2073858000, 0.4283673000, 1.1341664000", \
+                        "0.1301315000, 0.1342994000, 0.1447946000, 0.1705622000, 0.2411686000, 0.4624461000, 1.1686591000", \
+                        "0.1573492000, 0.1627031000, 0.1763241000, 0.2061366000, 0.2780827000, 0.4986991000, 1.2068481000", \
+                        "0.1570861000, 0.1640671000, 0.1822407000, 0.2209569000, 0.2993921000, 0.5195087000, 1.2267019000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0400509000, 0.0428737000, 0.0510754000, 0.0709754000, 0.1170794000, 0.2497207000, 0.6840254000", \
+                        "0.0399623000, 0.0430360000, 0.0511577000, 0.0700017000, 0.1172588000, 0.2500031000, 0.6844618000", \
+                        "0.0400599000, 0.0430569000, 0.0509788000, 0.0707152000, 0.1178490000, 0.2493851000, 0.6831913000", \
+                        "0.0399166000, 0.0429725000, 0.0508312000, 0.0707839000, 0.1169752000, 0.2502215000, 0.6842946000", \
+                        "0.0402251000, 0.0430459000, 0.0507812000, 0.0698500000, 0.1171400000, 0.2496105000, 0.6832820000", \
+                        "0.0448358000, 0.0478526000, 0.0564413000, 0.0733712000, 0.1187520000, 0.2518399000, 0.6847739000", \
+                        "0.0637558000, 0.0672903000, 0.0762557000, 0.0966580000, 0.1383432000, 0.2614313000, 0.6891412000");
+                }
+                related_pin : "C1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
+                    values("0.0182508000, 0.0210669000, 0.0300391000, 0.0588733000, 0.1553422000, 0.4737125000, 1.5009366000", \
+                        "0.0182249000, 0.0210988000, 0.0300378000, 0.0589251000, 0.1558124000, 0.4761699000, 1.5038376000", \
+                        "0.0182313000, 0.0210784000, 0.0300926000, 0.0588997000, 0.1557027000, 0.4761833000, 1.4992977000", \
+                        "0.0198243000, 0.0225180000, 0.0311881000, 0.0594178000, 0.1558606000, 0.4753937000, 1.5033070000", \
+                        "0.0253861000, 0.0279727000, 0.0360848000, 0.0625571000, 0.1564769000, 0.4749359000, 1.5019243000", \
+                        "0.0358669000, 0.0387199000, 0.0467705000, 0.0708261000, 0.1591203000, 0.4737829000, 1.4984619000", \
+                        "0.0502490000, 0.0545919000, 0.0652988000, 0.0899610000, 0.1682293000, 0.4751127000, 1.4962180000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a211oi_1 */
+
+/* removed sky130_fd_sc_hd__a211oi_2 */
+
+/* removed sky130_fd_sc_hd__a211oi_4 */
+
+/* removed sky130_fd_sc_hd__a21bo_1 */
+
+/* removed sky130_fd_sc_hd__a21bo_2 */
+
+    cell ("sky130_fd_sc_hd__a21bo_4") {
+        leakage_power () {
+            value : 0.0083995000;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0093676000;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0090397000;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0058546000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0075080000;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0029896000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21bo";
+        cell_leakage_power : 0.0068585080;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042300000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075869000, 0.0075855000, 0.0075821000, 0.0075834000, 0.0075866000, 0.0075938000, 0.0076105000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007591200, -0.007585700, -0.007573200, -0.007575600, -0.007581300, -0.007594300, -0.007624300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045930000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082730000, 0.0082754000, 0.0082810000, 0.0082783000, 0.0082720000, 0.0082576000, 0.0082244000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008297100, -0.008289300, -0.008271400, -0.008266600, -0.008255700, -0.008230500, -0.008172500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050270000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0023800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0150112000, 0.0148767000, 0.0145667000, 0.0147210000, 0.0150768000, 0.0158968000, 0.0177870000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045746000, 0.0044631000, 0.0042062000, 0.0043370000, 0.0046384000, 0.0053330000, 0.0069343000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025040000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (!B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0287793000, 0.0271694000, 0.0220928000, 0.0080520000, -0.040900100, -0.206192300, -0.730139000", \
+                        "0.0284898000, 0.0268578000, 0.0217968000, 0.0077329000, -0.041169300, -0.206484400, -0.730406200", \
+                        "0.0280752000, 0.0263928000, 0.0213284000, 0.0072575000, -0.041584400, -0.206885400, -0.730785400", \
+                        "0.0276595000, 0.0260156000, 0.0208948000, 0.0067593000, -0.042059400, -0.207346300, -0.731212700", \
+                        "0.0273730000, 0.0257394000, 0.0205815000, 0.0063134000, -0.042680000, -0.207770100, -0.731619000", \
+                        "0.0274773000, 0.0256214000, 0.0200771000, 0.0051556000, -0.043275500, -0.208016000, -0.731728900", \
+                        "0.0351097000, 0.0331327000, 0.0273658000, 0.0102528000, -0.042476700, -0.208205400, -0.731476800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0333402000, 0.0351655000, 0.0410453000, 0.0590654000, 0.1131127000, 0.2794436000, 0.7979701000", \
+                        "0.0330529000, 0.0349316000, 0.0408465000, 0.0588127000, 0.1128753000, 0.2792588000, 0.7974006000", \
+                        "0.0327559000, 0.0346593000, 0.0405588000, 0.0584922000, 0.1125829000, 0.2790510000, 0.7973509000", \
+                        "0.0326359000, 0.0345006000, 0.0403115000, 0.0580010000, 0.1119062000, 0.2782930000, 0.7969835000", \
+                        "0.0325387000, 0.0343185000, 0.0400873000, 0.0576573000, 0.1112481000, 0.2779141000, 0.7969836000", \
+                        "0.0339698000, 0.0357156000, 0.0412736000, 0.0584154000, 0.1112888000, 0.2773279000, 0.7969349000", \
+                        "0.0366732000, 0.0383493000, 0.0436714000, 0.0606443000, 0.1129905000, 0.2791802000, 0.7965250000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0339691000, 0.0323885000, 0.0273396000, 0.0131172000, -0.036043700, -0.201253800, -0.725046400", \
+                        "0.0338321000, 0.0321215000, 0.0270626000, 0.0129102000, -0.036236300, -0.201454300, -0.725246400", \
+                        "0.0334752000, 0.0318821000, 0.0268585000, 0.0126001000, -0.036453200, -0.201736100, -0.725527300", \
+                        "0.0331887000, 0.0315567000, 0.0264820000, 0.0123323000, -0.036788900, -0.202035600, -0.725830600", \
+                        "0.0329923000, 0.0313791000, 0.0263054000, 0.0119304000, -0.037213200, -0.202393600, -0.726119300", \
+                        "0.0322166000, 0.0303558000, 0.0250221000, 0.0108796000, -0.037733200, -0.202653200, -0.726238700", \
+                        "0.0405651000, 0.0386272000, 0.0328419000, 0.0157682000, -0.037011600, -0.202233500, -0.725604600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0340306000, 0.0358822000, 0.0418081000, 0.0596959000, 0.1137801000, 0.2801414000, 0.7984925000", \
+                        "0.0337596000, 0.0356374000, 0.0415791000, 0.0594662000, 0.1135741000, 0.2796059000, 0.7982059000", \
+                        "0.0334470000, 0.0353312000, 0.0412274000, 0.0591395000, 0.1132261000, 0.2793379000, 0.7978198000", \
+                        "0.0332315000, 0.0351187000, 0.0408322000, 0.0585830000, 0.1126540000, 0.2789831000, 0.7975082000", \
+                        "0.0330020000, 0.0348102000, 0.0406174000, 0.0581032000, 0.1119671000, 0.2784653000, 0.7973982000", \
+                        "0.0336277000, 0.0354219000, 0.0409976000, 0.0582639000, 0.1113727000, 0.2772210000, 0.7964655000", \
+                        "0.0357862000, 0.0374931000, 0.0428547000, 0.0596898000, 0.1132096000, 0.2791582000, 0.7961487000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0324016000, 0.0308023000, 0.0257737000, 0.0115719000, -0.037551200, -0.202780300, -0.726471400", \
+                        "0.0322602000, 0.0306923000, 0.0256258000, 0.0114791000, -0.037570200, -0.202772500, -0.726554600", \
+                        "0.0322285000, 0.0306345000, 0.0255468000, 0.0113821000, -0.037686400, -0.202883000, -0.726596400", \
+                        "0.0315412000, 0.0299254000, 0.0249078000, 0.0106471000, -0.038502000, -0.203658200, -0.727305700", \
+                        "0.0308948000, 0.0292294000, 0.0242289000, 0.0099391000, -0.039075400, -0.204335300, -0.727961200", \
+                        "0.0361274000, 0.0343958000, 0.0291395000, 0.0127474000, -0.039511700, -0.204840500, -0.728459500", \
+                        "0.0375030000, 0.0357779000, 0.0305623000, 0.0141698000, -0.038087400, -0.204487200, -0.728510300");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
+                    values("0.0296901000, 0.0315954000, 0.0374580000, 0.0551122000, 0.1085342000, 0.2741842000, 0.7926498000", \
+                        "0.0297196000, 0.0316132000, 0.0374604000, 0.0550639000, 0.1084750000, 0.2741530000, 0.7902036000", \
+                        "0.0297005000, 0.0315931000, 0.0374314000, 0.0550478000, 0.1084938000, 0.2740096000, 0.7934591000", \
+                        "0.0293089000, 0.0312025000, 0.0370426000, 0.0546486000, 0.1081160000, 0.2739454000, 0.7894757000", \
+                        "0.0287299000, 0.0306083000, 0.0364361000, 0.0540292000, 0.1074659000, 0.2731660000, 0.7893720000", \
+                        "0.0280174000, 0.0298118000, 0.0353718000, 0.0530533000, 0.1064970000, 0.2720843000, 0.7915000000", \
+                        "0.0287192000, 0.0304776000, 0.0360719000, 0.0533972000, 0.1070237000, 0.2732195000, 0.7892881000");
+                }
+            }
+            max_capacitance : 0.4751690000;
+            max_transition : 1.5028070000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1742197000, 0.1780640000, 0.1880849000, 0.2105681000, 0.2566490000, 0.3601659000, 0.6396088000", \
+                        "0.1788503000, 0.1827494000, 0.1927525000, 0.2152181000, 0.2615883000, 0.3648690000, 0.6444100000", \
+                        "0.1910125000, 0.1948932000, 0.2048582000, 0.2272935000, 0.2733362000, 0.3770075000, 0.6564735000", \
+                        "0.2180152000, 0.2219428000, 0.2319173000, 0.2542085000, 0.3003956000, 0.4040419000, 0.6836128000", \
+                        "0.2768746000, 0.2807476000, 0.2907441000, 0.3130421000, 0.3593770000, 0.4629205000, 0.7425008000", \
+                        "0.3892839000, 0.3936184000, 0.4047327000, 0.4292694000, 0.4788290000, 0.5853579000, 0.8656543000", \
+                        "0.5849097000, 0.5899720000, 0.6030840000, 0.6318827000, 0.6886934000, 0.8035936000, 1.0888854000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0812039000, 0.0850591000, 0.0954708000, 0.1222509000, 0.1959267000, 0.4189616000, 1.1148638000", \
+                        "0.0851859000, 0.0890557000, 0.0994616000, 0.1262328000, 0.1998155000, 0.4226112000, 1.1168256000", \
+                        "0.0952106000, 0.0991258000, 0.1095270000, 0.1362918000, 0.2100338000, 0.4332018000, 1.1263553000", \
+                        "0.1184577000, 0.1223091000, 0.1326109000, 0.1591327000, 0.2328353000, 0.4556872000, 1.1503005000", \
+                        "0.1542216000, 0.1582682000, 0.1690266000, 0.1961616000, 0.2696312000, 0.4929969000, 1.1881556000", \
+                        "0.1936795000, 0.1986486000, 0.2109398000, 0.2390957000, 0.3128864000, 0.5362993000, 1.2308347000", \
+                        "0.2144158000, 0.2209255000, 0.2368774000, 0.2709901000, 0.3457119000, 0.5685626000, 1.2621847000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0267205000, 0.0290676000, 0.0356235000, 0.0515748000, 0.0912549000, 0.2032114000, 0.5688400000", \
+                        "0.0266218000, 0.0290910000, 0.0356017000, 0.0512859000, 0.0910307000, 0.2034048000, 0.5685457000", \
+                        "0.0265148000, 0.0289401000, 0.0353127000, 0.0512335000, 0.0913416000, 0.2034733000, 0.5692317000", \
+                        "0.0265820000, 0.0290210000, 0.0354396000, 0.0511558000, 0.0912500000, 0.2031310000, 0.5689907000", \
+                        "0.0267277000, 0.0292150000, 0.0356133000, 0.0513482000, 0.0912542000, 0.2037851000, 0.5689327000", \
+                        "0.0323046000, 0.0345760000, 0.0415241000, 0.0584577000, 0.0971136000, 0.2075183000, 0.5697293000", \
+                        "0.0441596000, 0.0467431000, 0.0545901000, 0.0718980000, 0.1120691000, 0.2209788000, 0.5733417000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0209235000, 0.0244787000, 0.0346636000, 0.0657264000, 0.1665099000, 0.4874191000, 1.5000967000", \
+                        "0.0210245000, 0.0244326000, 0.0346178000, 0.0655984000, 0.1660945000, 0.4885085000, 1.4965013000", \
+                        "0.0209917000, 0.0244279000, 0.0345868000, 0.0657684000, 0.1664739000, 0.4883761000, 1.4995049000", \
+                        "0.0211358000, 0.0244984000, 0.0346708000, 0.0658532000, 0.1664428000, 0.4881575000, 1.4963880000", \
+                        "0.0238646000, 0.0272311000, 0.0370887000, 0.0677095000, 0.1669990000, 0.4881817000, 1.4973883000", \
+                        "0.0308081000, 0.0341815000, 0.0437360000, 0.0717744000, 0.1685215000, 0.4884014000, 1.4990746000", \
+                        "0.0431141000, 0.0469133000, 0.0572300000, 0.0839376000, 0.1727752000, 0.4896490000, 1.4983087000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1797980000, 0.1836751000, 0.1935369000, 0.2152691000, 0.2600786000, 0.3611437000, 0.6395937000", \
+                        "0.1849727000, 0.1888569000, 0.1987461000, 0.2205022000, 0.2653114000, 0.3663918000, 0.6448422000", \
+                        "0.1978486000, 0.2017258000, 0.2114740000, 0.2332370000, 0.2781423000, 0.3792207000, 0.6575198000", \
+                        "0.2257639000, 0.2296417000, 0.2394864000, 0.2610704000, 0.3058354000, 0.4070586000, 0.6856051000", \
+                        "0.2860129000, 0.2898971000, 0.2997135000, 0.3213620000, 0.3662129000, 0.4676187000, 0.7461193000", \
+                        "0.4026601000, 0.4069690000, 0.4178959000, 0.4417051000, 0.4894851000, 0.5932344000, 0.8721475000", \
+                        "0.5997753000, 0.6050404000, 0.6183561000, 0.6468679000, 0.7019378000, 0.8128681000, 1.0953696000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0860955000, 0.0899547000, 0.1003823000, 0.1270803000, 0.2005793000, 0.4234437000, 1.1160106000", \
+                        "0.0902399000, 0.0941002000, 0.1045153000, 0.1313040000, 0.2049885000, 0.4274504000, 1.1218353000", \
+                        "0.0993672000, 0.1032885000, 0.1136900000, 0.1404546000, 0.2141426000, 0.4371498000, 1.1309083000", \
+                        "0.1199406000, 0.1237990000, 0.1341179000, 0.1606593000, 0.2341188000, 0.4571609000, 1.1511158000", \
+                        "0.1552446000, 0.1593357000, 0.1702255000, 0.1974764000, 0.2710906000, 0.4944444000, 1.1901591000", \
+                        "0.1996959000, 0.2045362000, 0.2168433000, 0.2457953000, 0.3201915000, 0.5433026000, 1.2377342000", \
+                        "0.2328524000, 0.2391623000, 0.2548964000, 0.2886088000, 0.3656588000, 0.5890500000, 1.2818829000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0264639000, 0.0288509000, 0.0350456000, 0.0497332000, 0.0877827000, 0.2002396000, 0.5658528000", \
+                        "0.0263749000, 0.0286927000, 0.0347541000, 0.0497043000, 0.0877778000, 0.2002828000, 0.5659527000", \
+                        "0.0265453000, 0.0289328000, 0.0351228000, 0.0500041000, 0.0885391000, 0.2005314000, 0.5660239000", \
+                        "0.0262255000, 0.0285650000, 0.0346183000, 0.0501055000, 0.0886324000, 0.2005065000, 0.5667364000", \
+                        "0.0265447000, 0.0286830000, 0.0347922000, 0.0498333000, 0.0885925000, 0.2003341000, 0.5662483000", \
+                        "0.0319563000, 0.0344159000, 0.0405070000, 0.0563036000, 0.0935921000, 0.2035677000, 0.5683297000", \
+                        "0.0437548000, 0.0467956000, 0.0536869000, 0.0703166000, 0.1088737000, 0.2163804000, 0.5726232000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0209982000, 0.0244754000, 0.0346353000, 0.0658166000, 0.1659043000, 0.4889789000, 1.4998146000", \
+                        "0.0209773000, 0.0244497000, 0.0345894000, 0.0656563000, 0.1664779000, 0.4882972000, 1.4949160000", \
+                        "0.0210344000, 0.0244291000, 0.0345518000, 0.0657166000, 0.1664803000, 0.4879909000, 1.4977577000", \
+                        "0.0210953000, 0.0245372000, 0.0348379000, 0.0659311000, 0.1659517000, 0.4888517000, 1.4979752000", \
+                        "0.0234715000, 0.0269156000, 0.0369016000, 0.0674132000, 0.1670476000, 0.4874444000, 1.4988806000", \
+                        "0.0294439000, 0.0329116000, 0.0424406000, 0.0713575000, 0.1685258000, 0.4873001000, 1.4993654000", \
+                        "0.0400162000, 0.0441677000, 0.0550432000, 0.0825176000, 0.1726244000, 0.4898127000, 1.4936906000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1890289000, 0.1928648000, 0.2027495000, 0.2246165000, 0.2694354000, 0.3706662000, 0.6491117000", \
+                        "0.1935168000, 0.1974356000, 0.2073392000, 0.2291864000, 0.2737517000, 0.3749880000, 0.6535471000", \
+                        "0.2037843000, 0.2076755000, 0.2175529000, 0.2392710000, 0.2842107000, 0.3853059000, 0.6635714000", \
+                        "0.2236644000, 0.2275304000, 0.2374358000, 0.2591964000, 0.3041446000, 0.4053242000, 0.6839452000", \
+                        "0.2522212000, 0.2561030000, 0.2659503000, 0.2877348000, 0.3324453000, 0.4336784000, 0.7122307000", \
+                        "0.2842631000, 0.2881492000, 0.2980409000, 0.3198236000, 0.3646624000, 0.4658789000, 0.7446369000", \
+                        "0.3059309000, 0.3098112000, 0.3196793000, 0.3413907000, 0.3860739000, 0.4876290000, 0.7659757000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.1418139000, 0.1453436000, 0.1549130000, 0.1801688000, 0.2524746000, 0.4737471000, 1.1724953000", \
+                        "0.1469704000, 0.1504676000, 0.1600721000, 0.1853471000, 0.2576038000, 0.4797613000, 1.1711611000", \
+                        "0.1596621000, 0.1631629000, 0.1727294000, 0.1979014000, 0.2702188000, 0.4916235000, 1.1847316000", \
+                        "0.1910541000, 0.1945636000, 0.2041682000, 0.2293195000, 0.3013612000, 0.5227239000, 1.2166573000", \
+                        "0.2587478000, 0.2622743000, 0.2719039000, 0.2970790000, 0.3691051000, 0.5909195000, 1.2907644000", \
+                        "0.3708572000, 0.3745136000, 0.3844050000, 0.4099484000, 0.4823748000, 0.7042895000, 1.3968923000", \
+                        "0.5488578000, 0.5529336000, 0.5636424000, 0.5900229000, 0.6628344000, 0.8847304000, 1.5768501000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0265096000, 0.0286590000, 0.0349137000, 0.0498453000, 0.0884511000, 0.2003135000, 0.5678873000", \
+                        "0.0261802000, 0.0285673000, 0.0346230000, 0.0499121000, 0.0886664000, 0.2005183000, 0.5667364000", \
+                        "0.0264940000, 0.0289281000, 0.0350364000, 0.0500665000, 0.0884474000, 0.2004743000, 0.5660328000", \
+                        "0.0265495000, 0.0287951000, 0.0350933000, 0.0499720000, 0.0877874000, 0.2004595000, 0.5672240000", \
+                        "0.0263916000, 0.0287207000, 0.0347942000, 0.0495707000, 0.0885794000, 0.2001880000, 0.5677520000", \
+                        "0.0264334000, 0.0288223000, 0.0348116000, 0.0502055000, 0.0883921000, 0.1997533000, 0.5681511000", \
+                        "0.0268616000, 0.0291927000, 0.0351966000, 0.0501015000, 0.0887583000, 0.2006360000, 0.5673585000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
+                    values("0.0194658000, 0.0226169000, 0.0322660000, 0.0633327000, 0.1643276000, 0.4868352000, 1.5026870000", \
+                        "0.0194885000, 0.0225686000, 0.0323452000, 0.0632799000, 0.1645645000, 0.4868954000, 1.4960473000", \
+                        "0.0195035000, 0.0226362000, 0.0323479000, 0.0633297000, 0.1643599000, 0.4864986000, 1.5028071000", \
+                        "0.0194972000, 0.0226186000, 0.0323408000, 0.0633526000, 0.1642046000, 0.4860489000, 1.4986129000", \
+                        "0.0198830000, 0.0229833000, 0.0326203000, 0.0634512000, 0.1641609000, 0.4871501000, 1.5012728000", \
+                        "0.0215170000, 0.0245371000, 0.0339653000, 0.0643597000, 0.1648631000, 0.4861204000, 1.5006558000", \
+                        "0.0252759000, 0.0281310000, 0.0371671000, 0.0661540000, 0.1657219000, 0.4864348000, 1.4941253000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21boi_0 */
+
+/* removed sky130_fd_sc_hd__a21boi_1 */
+
+/* removed sky130_fd_sc_hd__a21boi_2 */
+
+    cell ("sky130_fd_sc_hd__a21boi_4") {
+        leakage_power () {
+            value : 0.0127454000;
+            when : "!A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041562000;
+            when : "!A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0146596000;
+            when : "!A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041568000;
+            when : "!A1&A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0138528000;
+            when : "A1&!A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0041568000;
+            when : "A1&!A2&!B1_N";
+        }
+        leakage_power () {
+            value : 0.0134462000;
+            when : "A1&A2&B1_N";
+        }
+        leakage_power () {
+            value : 0.0008047000;
+            when : "A1&A2&!B1_N";
+        }
+        area : 18.768000000;
+        cell_footprint : "sky130_fd_sc_hd__a21boi";
+        cell_leakage_power : 0.0084973050;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0085800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0151147000, 0.0150977000, 0.0150585000, 0.0150657000, 0.0150822000, 0.0151202000, 0.0152080000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015057900, -0.015057100, -0.015055100, -0.015058900, -0.015067700, -0.015087800, -0.015134400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089270000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087590000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162072000, 0.0162163000, 0.0162375000, 0.0162370000, 0.0162359000, 0.0162333000, 0.0162274000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016314700, -0.016287700, -0.016225500, -0.016226000, -0.016227100, -0.016229800, -0.016236000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0097110000;
+        }
+        pin ("B1_N") {
+            capacitance : 0.0024730000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0223864000, 0.0222207000, 0.0218388000, 0.0220458000, 0.0225232000, 0.0236236000, 0.0261601000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0076559000, 0.0075393000, 0.0072705000, 0.0074519000, 0.0078701000, 0.0088341000, 0.0110560000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0026060000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&B1_N) | (!A2&B1_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0179400000, 0.0165514000, 0.0126924000, 0.0021153000, -0.026998700, -0.107292700, -0.328600700", \
+                        "0.0173839000, 0.0160152000, 0.0121979000, 0.0016559000, -0.027356500, -0.107687000, -0.329087900", \
+                        "0.0166422000, 0.0152691000, 0.0114868000, 0.0010903000, -0.027860100, -0.108094200, -0.329416300", \
+                        "0.0157621000, 0.0144430000, 0.0107372000, 0.0004370000, -0.028415600, -0.108500900, -0.329641800", \
+                        "0.0155883000, 0.0142394000, 0.0104373000, 0.0001570000, -0.028857800, -0.108736600, -0.329979100", \
+                        "0.0175168000, 0.0161445000, 0.0123130000, 0.0011070000, -0.028157700, -0.108440400, -0.329641800", \
+                        "0.0218922000, 0.0203808000, 0.0163483000, 0.0051489000, -0.025144600, -0.106767200, -0.329298500");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0273046000, 0.0289106000, 0.0331072000, 0.0443030000, 0.0736493000, 0.1535120000, 0.3729338000", \
+                        "0.0264476000, 0.0279858000, 0.0322617000, 0.0435424000, 0.0732495000, 0.1534320000, 0.3724521000", \
+                        "0.0257749000, 0.0272622000, 0.0313671000, 0.0425115000, 0.0725294000, 0.1530507000, 0.3722575000", \
+                        "0.0253493000, 0.0267916000, 0.0307914000, 0.0418194000, 0.0714141000, 0.1531168000, 0.3719285000", \
+                        "0.0249085000, 0.0263533000, 0.0303152000, 0.0410525000, 0.0706078000, 0.1516758000, 0.3733080000", \
+                        "0.0248423000, 0.0262696000, 0.0301605000, 0.0410028000, 0.0702580000, 0.1507261000, 0.3701028000", \
+                        "0.0252941000, 0.0266002000, 0.0302000000, 0.0405826000, 0.0703921000, 0.1507848000, 0.3709306000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0190111000, 0.0175916000, 0.0137469000, 0.0031532000, -0.025982900, -0.106255400, -0.327576000", \
+                        "0.0184312000, 0.0170459000, 0.0132000000, 0.0026725000, -0.026437900, -0.106709900, -0.328037400", \
+                        "0.0176615000, 0.0162706000, 0.0124773000, 0.0020149000, -0.026958900, -0.107230100, -0.328454100", \
+                        "0.0167216000, 0.0153775000, 0.0117108000, 0.0013952000, -0.027453700, -0.107564100, -0.328757700", \
+                        "0.0168695000, 0.0154749000, 0.0115563000, 0.0008289000, -0.027996100, -0.107678300, -0.328763200", \
+                        "0.0171886000, 0.0157720000, 0.0118579000, 0.0012250000, -0.027946000, -0.108427900, -0.329073600", \
+                        "0.0204439000, 0.0190637000, 0.0149577000, 0.0039080000, -0.025951000, -0.106969600, -0.328960900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0392188000, 0.0406429000, 0.0445632000, 0.0552764000, 0.0846306000, 0.1643358000, 0.3830583000", \
+                        "0.0385065000, 0.0399870000, 0.0439164000, 0.0547324000, 0.0841576000, 0.1642415000, 0.3830464000", \
+                        "0.0377953000, 0.0392322000, 0.0432029000, 0.0540813000, 0.0836967000, 0.1636554000, 0.3827007000", \
+                        "0.0372947000, 0.0386963000, 0.0426544000, 0.0534635000, 0.0829231000, 0.1633219000, 0.3824333000", \
+                        "0.0368807000, 0.0383597000, 0.0422489000, 0.0529334000, 0.0823233000, 0.1626710000, 0.3818196000", \
+                        "0.0367419000, 0.0381453000, 0.0420459000, 0.0529545000, 0.0823381000, 0.1623125000, 0.3815902000", \
+                        "0.0369284000, 0.0382896000, 0.0420317000, 0.0524766000, 0.0825557000, 0.1630147000, 0.3822354000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0070916000, 0.0058901000, 0.0026881000, -0.006482700, -0.033946400, -0.113440100, -0.334701200", \
+                        "0.0069365000, 0.0058146000, 0.0027242000, -0.006456000, -0.033941500, -0.113475400, -0.334691700", \
+                        "0.0070842000, 0.0059010000, 0.0027158000, -0.006475600, -0.033891300, -0.113361000, -0.334583600", \
+                        "0.0064916000, 0.0052715000, 0.0021617000, -0.007121400, -0.034528700, -0.113888100, -0.335021200", \
+                        "0.0058405000, 0.0046114000, 0.0012343000, -0.008204900, -0.035554600, -0.114636900, -0.335620600", \
+                        "0.0064632000, 0.0050590000, 0.0012327000, -0.009056200, -0.036974500, -0.115571000, -0.336243800", \
+                        "0.0082022000, 0.0067200000, 0.0027048000, -0.008042500, -0.036873500, -0.116404300, -0.336706900");
+                }
+                related_pin : "B1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
+                    values("0.0341045000, 0.0355705000, 0.0395931000, 0.0505152000, 0.0801172000, 0.1603017000, 0.3793491000", \
+                        "0.0341334000, 0.0356110000, 0.0396140000, 0.0505361000, 0.0801725000, 0.1602648000, 0.3790861000", \
+                        "0.0341295000, 0.0355994000, 0.0395971000, 0.0505257000, 0.0801092000, 0.1602200000, 0.3791903000", \
+                        "0.0334739000, 0.0349182000, 0.0389011000, 0.0498240000, 0.0793633000, 0.1595830000, 0.3786972000", \
+                        "0.0329132000, 0.0340619000, 0.0380061000, 0.0488583000, 0.0784789000, 0.1588001000, 0.3779502000", \
+                        "0.0328308000, 0.0342285000, 0.0376381000, 0.0483366000, 0.0777601000, 0.1580971000, 0.3773340000", \
+                        "0.0333336000, 0.0347374000, 0.0386818000, 0.0492310000, 0.0783362000, 0.1583211000, 0.3771580000");
+                }
+            }
+            max_capacitance : 0.2151590000;
+            max_transition : 1.4962450000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0238817000, 0.0254790000, 0.0296035000, 0.0400575000, 0.0662833000, 0.1340798000, 0.3167884000", \
+                        "0.0279458000, 0.0294956000, 0.0335855000, 0.0440253000, 0.0702183000, 0.1380050000, 0.3207188000", \
+                        "0.0383102000, 0.0399649000, 0.0440668000, 0.0539390000, 0.0799476000, 0.1478165000, 0.3304111000", \
+                        "0.0532786000, 0.0552931000, 0.0611849000, 0.0747607000, 0.1032636000, 0.1708134000, 0.3534842000", \
+                        "0.0677599000, 0.0709785000, 0.0796532000, 0.0996983000, 0.1428810000, 0.2254693000, 0.4078537000", \
+                        "0.0701560000, 0.0751625000, 0.0881232000, 0.1198765000, 0.1859028000, 0.3098934000, 0.5327292000", \
+                        "0.0312895000, 0.0390333000, 0.0596928000, 0.1067486000, 0.2085984000, 0.4017771000, 0.7398683000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0811141000, 0.0861230000, 0.0990114000, 0.1329480000, 0.2219588000, 0.4615499000, 1.1244781000", \
+                        "0.0848176000, 0.0897153000, 0.1027063000, 0.1366865000, 0.2263829000, 0.4674799000, 1.1256287000", \
+                        "0.0969788000, 0.1017650000, 0.1143904000, 0.1481545000, 0.2382929000, 0.4802758000, 1.1396184000", \
+                        "0.1252928000, 0.1299292000, 0.1423429000, 0.1754213000, 0.2653191000, 0.5103279000, 1.1693062000", \
+                        "0.1752889000, 0.1810885000, 0.1962617000, 0.2341585000, 0.3240063000, 0.5691193000, 1.2326145000", \
+                        "0.2591884000, 0.2679308000, 0.2890225000, 0.3409481000, 0.4536259000, 0.7031590000, 1.3643427000", \
+                        "0.3952025000, 0.4108039000, 0.4441162000, 0.5251620000, 0.6867892000, 1.0061698000, 1.6841920000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0253690000, 0.0269479000, 0.0311615000, 0.0429115000, 0.0755245000, 0.1662289000, 0.4188494000", \
+                        "0.0243668000, 0.0259334000, 0.0303019000, 0.0425242000, 0.0753109000, 0.1663667000, 0.4190571000", \
+                        "0.0275211000, 0.0287484000, 0.0322940000, 0.0429973000, 0.0748660000, 0.1661558000, 0.4185659000", \
+                        "0.0377769000, 0.0398383000, 0.0444560000, 0.0547618000, 0.0815948000, 0.1665225000, 0.4188269000", \
+                        "0.0578871000, 0.0599499000, 0.0663381000, 0.0815559000, 0.1134756000, 0.1872887000, 0.4193249000", \
+                        "0.0930508000, 0.0970399000, 0.1073559000, 0.1299204000, 0.1758854000, 0.2686066000, 0.4652557000", \
+                        "0.1554881000, 0.1618080000, 0.1779811000, 0.2144157000, 0.2849083000, 0.4136971000, 0.6570327000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0488291000, 0.0548699000, 0.0711473000, 0.1160331000, 0.2376175000, 0.5697740000, 1.4800881000", \
+                        "0.0489013000, 0.0548287000, 0.0713509000, 0.1157709000, 0.2376411000, 0.5703334000, 1.4784131000", \
+                        "0.0490675000, 0.0548439000, 0.0712859000, 0.1159155000, 0.2374366000, 0.5697366000, 1.4777536000", \
+                        "0.0501481000, 0.0561138000, 0.0720648000, 0.1162203000, 0.2374971000, 0.5733127000, 1.4790417000", \
+                        "0.0651333000, 0.0710445000, 0.0863103000, 0.1258202000, 0.2405900000, 0.5706358000, 1.4900124000", \
+                        "0.0982237000, 0.1046037000, 0.1226096000, 0.1660518000, 0.2739540000, 0.5777669000, 1.4818126000", \
+                        "0.1754289000, 0.1836767000, 0.2056811000, 0.2566263000, 0.3752195000, 0.6633920000, 1.4962455000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0295888000, 0.0311504000, 0.0352770000, 0.0456890000, 0.0718773000, 0.1397753000, 0.3221623000", \
+                        "0.0337294000, 0.0353096000, 0.0394107000, 0.0498323000, 0.0760530000, 0.1439188000, 0.3264378000", \
+                        "0.0427192000, 0.0443317000, 0.0484122000, 0.0586838000, 0.0848531000, 0.1528284000, 0.3357343000", \
+                        "0.0573435000, 0.0593337000, 0.0645852000, 0.0768526000, 0.1050676000, 0.1731617000, 0.3561144000", \
+                        "0.0754570000, 0.0783919000, 0.0857048000, 0.1030350000, 0.1407480000, 0.2190998000, 0.4038699000", \
+                        "0.0862276000, 0.0908366000, 0.1024084000, 0.1295690000, 0.1870858000, 0.2967673000, 0.5100952000", \
+                        "0.0624235000, 0.0692935000, 0.0877484000, 0.1307685000, 0.2212856000, 0.3933705000, 0.6938073000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1054145000, 0.1096106000, 0.1214591000, 0.1525865000, 0.2361999000, 0.4639159000, 1.0918493000", \
+                        "0.1097738000, 0.1140396000, 0.1255658000, 0.1572681000, 0.2413466000, 0.4695277000, 1.0935292000", \
+                        "0.1219303000, 0.1260915000, 0.1379634000, 0.1694633000, 0.2539843000, 0.4830152000, 1.1069742000", \
+                        "0.1493125000, 0.1538333000, 0.1654086000, 0.1968260000, 0.2815466000, 0.5104387000, 1.1350382000", \
+                        "0.2036337000, 0.2087274000, 0.2220837000, 0.2554855000, 0.3398557000, 0.5689532000, 1.1939170000", \
+                        "0.2979302000, 0.3047121000, 0.3221493000, 0.3661334000, 0.4683441000, 0.7034567000, 1.3292749000", \
+                        "0.4523747000, 0.4630311000, 0.4897764000, 0.5556535000, 0.6983525000, 0.9978796000, 1.6437388000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0251428000, 0.0266655000, 0.0309679000, 0.0427622000, 0.0753954000, 0.1661905000, 0.4182691000", \
+                        "0.0248176000, 0.0263905000, 0.0307123000, 0.0426286000, 0.0752355000, 0.1660935000, 0.4189382000", \
+                        "0.0261053000, 0.0274862000, 0.0314921000, 0.0428197000, 0.0749918000, 0.1660914000, 0.4182372000", \
+                        "0.0340799000, 0.0354981000, 0.0394395000, 0.0498761000, 0.0785367000, 0.1663884000, 0.4184356000", \
+                        "0.0515194000, 0.0533362000, 0.0580381000, 0.0703700000, 0.0995473000, 0.1782699000, 0.4193900000", \
+                        "0.0843545000, 0.0870453000, 0.0937844000, 0.1108383000, 0.1486705000, 0.2331617000, 0.4473037000", \
+                        "0.1448443000, 0.1489018000, 0.1598868000, 0.1855608000, 0.2415403000, 0.3500465000, 0.5764903000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0672715000, 0.0730043000, 0.0887345000, 0.1310951000, 0.2466677000, 0.5619774000, 1.4251855000", \
+                        "0.0673329000, 0.0730423000, 0.0887075000, 0.1313065000, 0.2467254000, 0.5608417000, 1.4265582000", \
+                        "0.0673420000, 0.0730662000, 0.0887504000, 0.1311598000, 0.2465849000, 0.5618168000, 1.4223146000", \
+                        "0.0677214000, 0.0733798000, 0.0889237000, 0.1312336000, 0.2465553000, 0.5609436000, 1.4227088000", \
+                        "0.0806480000, 0.0858447000, 0.0998303000, 0.1388296000, 0.2484700000, 0.5612510000, 1.4212885000", \
+                        "0.1132626000, 0.1194871000, 0.1356006000, 0.1773639000, 0.2806888000, 0.5698199000, 1.4257683000", \
+                        "0.1895308000, 0.1972419000, 0.2170841000, 0.2658895000, 0.3811835000, 0.6547575000, 1.4428120000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1098076000, 0.1120931000, 0.1173242000, 0.1293472000, 0.1534723000, 0.2030025000, 0.3216991000", \
+                        "0.1145612000, 0.1168307000, 0.1221505000, 0.1340299000, 0.1581827000, 0.2078218000, 0.3265197000", \
+                        "0.1268913000, 0.1291829000, 0.1345055000, 0.1463870000, 0.1703785000, 0.2201632000, 0.3388767000", \
+                        "0.1565518000, 0.1587095000, 0.1640267000, 0.1758910000, 0.2000894000, 0.2497649000, 0.3686033000", \
+                        "0.2238727000, 0.2260697000, 0.2319684000, 0.2439746000, 0.2685291000, 0.3186725000, 0.4377200000", \
+                        "0.3327764000, 0.3356538000, 0.3429247000, 0.3581601000, 0.3873080000, 0.4417023000, 0.5631183000", \
+                        "0.4975669000, 0.5012222000, 0.5102366000, 0.5288139000, 0.5662333000, 0.6311296000, 0.7575770000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.1227587000, 0.1272081000, 0.1388125000, 0.1708478000, 0.2554687000, 0.4839167000, 1.1081261000", \
+                        "0.1272728000, 0.1316768000, 0.1433067000, 0.1752968000, 0.2600071000, 0.4885434000, 1.1121438000", \
+                        "0.1382430000, 0.1426486000, 0.1542662000, 0.1861169000, 0.2709461000, 0.4995752000, 1.1271158000", \
+                        "0.1626899000, 0.1668723000, 0.1785672000, 0.2101744000, 0.2951376000, 0.5240045000, 1.1481623000", \
+                        "0.2039219000, 0.2076751000, 0.2193135000, 0.2510372000, 0.3349771000, 0.5638474000, 1.1894175000", \
+                        "0.2563413000, 0.2606526000, 0.2714866000, 0.3028125000, 0.3871463000, 0.6158055000, 1.2402183000", \
+                        "0.3109474000, 0.3156089000, 0.3274500000, 0.3588873000, 0.4417121000, 0.6690754000, 1.2934693000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0358714000, 0.0365547000, 0.0386107000, 0.0451238000, 0.0639886000, 0.1163940000, 0.2678614000", \
+                        "0.0358513000, 0.0365019000, 0.0386409000, 0.0450492000, 0.0639435000, 0.1166546000, 0.2674008000", \
+                        "0.0358666000, 0.0365547000, 0.0386464000, 0.0451107000, 0.0639291000, 0.1165389000, 0.2675802000", \
+                        "0.0360173000, 0.0366383000, 0.0386762000, 0.0451534000, 0.0639818000, 0.1165105000, 0.2674500000", \
+                        "0.0398601000, 0.0404480000, 0.0422265000, 0.0479167000, 0.0655758000, 0.1172598000, 0.2678958000", \
+                        "0.0565756000, 0.0570135000, 0.0584352000, 0.0635369000, 0.0789186000, 0.1269077000, 0.2717415000", \
+                        "0.0816042000, 0.0820950000, 0.0837891000, 0.0896463000, 0.1053326000, 0.1477050000, 0.2820584000");
+                }
+                related_pin : "B1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
+                    values("0.0669014000, 0.0727075000, 0.0884288000, 0.1311922000, 0.2465967000, 0.5611394000, 1.4245812000", \
+                        "0.0668951000, 0.0727029000, 0.0884316000, 0.1311898000, 0.2466344000, 0.5611989000, 1.4221558000", \
+                        "0.0668983000, 0.0727075000, 0.0884303000, 0.1311174000, 0.2465479000, 0.5614118000, 1.4235002000", \
+                        "0.0668908000, 0.0726760000, 0.0883118000, 0.1310841000, 0.2469304000, 0.5610415000, 1.4207002000", \
+                        "0.0680678000, 0.0739535000, 0.0891616000, 0.1314690000, 0.2466198000, 0.5614177000, 1.4232320000", \
+                        "0.0716922000, 0.0770771000, 0.0925021000, 0.1332862000, 0.2483803000, 0.5613787000, 1.4223859000", \
+                        "0.0841176000, 0.0891081000, 0.1031710000, 0.1404703000, 0.2501944000, 0.5626596000, 1.4244410000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21o_1 */
+
+/* removed sky130_fd_sc_hd__a21o_2 */
+
+    cell ("sky130_fd_sc_hd__a21o_4") {
+        leakage_power () {
+            value : 0.0051242000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0078745000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0051242000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0088385000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0051242000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0085104000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0022388000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0042370000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__a21o";
+        cell_leakage_power : 0.0058839750;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0087483000, 0.0087497000, 0.0087528000, 0.0087509000, 0.0087465000, 0.0087363000, 0.0087127000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006951100, -0.006958200, -0.006974600, -0.006961500, -0.006931400, -0.006862100, -0.006702300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045840000;
+        }
+        pin ("A2") {
+            capacitance : 0.0047940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045580000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082731000, 0.0082607000, 0.0082321000, 0.0082300000, 0.0082250000, 0.0082134000, 0.0081868000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008241200, -0.008240200, -0.008237800, -0.008234200, -0.008225800, -0.008206400, -0.008161600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050290000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0041862000, 0.0041764000, 0.0041537000, 0.0041792000, 0.0042380000, 0.0043735000, 0.0046857000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003477800, -0.003489200, -0.003515600, -0.003515900, -0.003516400, -0.003517600, -0.003520500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047560000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0274778000, 0.0257842000, 0.0204869000, 0.0050696000, -0.051546200, -0.246660100, -0.882432800", \
+                        "0.0269723000, 0.0253111000, 0.0201012000, 0.0046633000, -0.051776900, -0.246946200, -0.882703100", \
+                        "0.0265437000, 0.0249533000, 0.0197571000, 0.0042438000, -0.052389000, -0.247404700, -0.883153000", \
+                        "0.0262383000, 0.0244738000, 0.0192471000, 0.0037275000, -0.052877300, -0.247824100, -0.883565200", \
+                        "0.0259339000, 0.0241452000, 0.0188981000, 0.0033086000, -0.053353700, -0.248451600, -0.883990600", \
+                        "0.0266870000, 0.0247809000, 0.0188823000, 0.0020311000, -0.053701900, -0.248686400, -0.884101900", \
+                        "0.0341319000, 0.0319583000, 0.0258450000, 0.0068038000, -0.053481300, -0.248732400, -0.883785000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0330636000, 0.0350609000, 0.0413218000, 0.0611292000, 0.1228294000, 0.3179810000, 0.9478509000", \
+                        "0.0327936000, 0.0347337000, 0.0410547000, 0.0609710000, 0.1226351000, 0.3178463000, 0.9473153000", \
+                        "0.0324691000, 0.0344188000, 0.0408446000, 0.0606755000, 0.1222320000, 0.3176016000, 0.9474776000", \
+                        "0.0322222000, 0.0341736000, 0.0404913000, 0.0601078000, 0.1216352000, 0.3174235000, 0.9503319000", \
+                        "0.0322422000, 0.0341090000, 0.0401928000, 0.0593635000, 0.1207750000, 0.3167311000, 0.9449057000", \
+                        "0.0337489000, 0.0355696000, 0.0414176000, 0.0604444000, 0.1206062000, 0.3157646000, 0.9467749000", \
+                        "0.0364213000, 0.0381387000, 0.0439368000, 0.0626222000, 0.1228693000, 0.3176702000, 0.9441429000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0334991000, 0.0317251000, 0.0263876000, 0.0109445000, -0.045676900, -0.240561000, -0.876117400", \
+                        "0.0333108000, 0.0315216000, 0.0262465000, 0.0107117000, -0.045843500, -0.240766000, -0.876348200", \
+                        "0.0330366000, 0.0313089000, 0.0259707000, 0.0104848000, -0.046152100, -0.241187500, -0.876547100", \
+                        "0.0327946000, 0.0310211000, 0.0256751000, 0.0101838000, -0.046375300, -0.241363600, -0.876892900", \
+                        "0.0325683000, 0.0308201000, 0.0254045000, 0.0097607000, -0.046868300, -0.241832300, -0.877248100", \
+                        "0.0319419000, 0.0300183000, 0.0243119000, 0.0088544000, -0.047405600, -0.242109500, -0.877314800", \
+                        "0.0406224000, 0.0385761000, 0.0322784000, 0.0132953000, -0.047088000, -0.242214400, -0.877104700");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0342820000, 0.0362558000, 0.0426403000, 0.0623915000, 0.1239853000, 0.3191934000, 0.9489039000", \
+                        "0.0339682000, 0.0359562000, 0.0422826000, 0.0621959000, 0.1238344000, 0.3188638000, 0.9486144000", \
+                        "0.0337055000, 0.0356542000, 0.0420451000, 0.0618595000, 0.1234445000, 0.3187221000, 0.9484806000", \
+                        "0.0334580000, 0.0354327000, 0.0416588000, 0.0613353000, 0.1228855000, 0.3186205000, 0.9514069000", \
+                        "0.0333724000, 0.0352121000, 0.0413668000, 0.0607926000, 0.1221494000, 0.3180307000, 0.9476641000", \
+                        "0.0340376000, 0.0358707000, 0.0418630000, 0.0610341000, 0.1214467000, 0.3162526000, 0.9463069000", \
+                        "0.0361274000, 0.0379113000, 0.0436950000, 0.0622072000, 0.1234371000, 0.3183160000, 0.9435171000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0324514000, 0.0306536000, 0.0253277000, 0.0098852000, -0.046682800, -0.241552500, -0.877123800", \
+                        "0.0322419000, 0.0304352000, 0.0251607000, 0.0095180000, -0.047087500, -0.242059700, -0.877376800", \
+                        "0.0317360000, 0.0299028000, 0.0246901000, 0.0091220000, -0.047454300, -0.242513700, -0.877935400", \
+                        "0.0311761000, 0.0294491000, 0.0241930000, 0.0085777000, -0.047963700, -0.242974100, -0.878399900", \
+                        "0.0311684000, 0.0293973000, 0.0240696000, 0.0083267000, -0.048393400, -0.243286600, -0.878504000", \
+                        "0.0334300000, 0.0314627000, 0.0253489000, 0.0084344000, -0.047942300, -0.242581300, -0.877700100", \
+                        "0.0436091000, 0.0414602000, 0.0349051000, 0.0155753000, -0.045148700, -0.240076500, -0.875019100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
+                    values("0.0245626000, 0.0266064000, 0.0330239000, 0.0526668000, 0.1135416000, 0.3086566000, 0.9389211000", \
+                        "0.0245103000, 0.0265413000, 0.0329450000, 0.0525805000, 0.1134985000, 0.3085676000, 0.9348980000", \
+                        "0.0242575000, 0.0262599000, 0.0325656000, 0.0521222000, 0.1131899000, 0.3084561000, 0.9328988000", \
+                        "0.0237946000, 0.0257163000, 0.0318873000, 0.0513004000, 0.1124599000, 0.3077800000, 0.9372535000", \
+                        "0.0241055000, 0.0259411000, 0.0318148000, 0.0508071000, 0.1116123000, 0.3072772000, 0.9369822000", \
+                        "0.0249849000, 0.0267604000, 0.0326091000, 0.0517580000, 0.1117228000, 0.3062566000, 0.9364688000", \
+                        "0.0292107000, 0.0308523000, 0.0364108000, 0.0550087000, 0.1158274000, 0.3101484000, 0.9353101000");
+                }
+            }
+            max_capacitance : 0.5685520000;
+            max_transition : 1.5080650000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1667089000, 0.1705674000, 0.1807010000, 0.2037151000, 0.2523315000, 0.3670029000, 0.6962084000", \
+                        "0.1715227000, 0.1753772000, 0.1855029000, 0.2083039000, 0.2572662000, 0.3717957000, 0.7009525000", \
+                        "0.1839464000, 0.1877690000, 0.1978677000, 0.2208456000, 0.2696686000, 0.3841860000, 0.7133577000", \
+                        "0.2123439000, 0.2161759000, 0.2261812000, 0.2490373000, 0.2980736000, 0.4125423000, 0.7417662000", \
+                        "0.2738375000, 0.2776756000, 0.2877082000, 0.3104602000, 0.3593439000, 0.4740748000, 0.8032273000", \
+                        "0.3905263000, 0.3950144000, 0.4062700000, 0.4312796000, 0.4839855000, 0.6010177000, 0.9311838000", \
+                        "0.5907647000, 0.5958105000, 0.6096319000, 0.6398450000, 0.7004462000, 0.8274088000, 1.1611672000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0792055000, 0.0828863000, 0.0928173000, 0.1185888000, 0.1902659000, 0.4136975000, 1.1290321000", \
+                        "0.0831300000, 0.0868059000, 0.0967804000, 0.1226076000, 0.1943042000, 0.4179284000, 1.1329810000", \
+                        "0.0931850000, 0.0968258000, 0.1068566000, 0.1325580000, 0.2041857000, 0.4275185000, 1.1429809000", \
+                        "0.1164868000, 0.1201262000, 0.1299970000, 0.1555562000, 0.2271631000, 0.4502684000, 1.1668938000", \
+                        "0.1524564000, 0.1563834000, 0.1667384000, 0.1929570000, 0.2645744000, 0.4890033000, 1.2025244000", \
+                        "0.1916982000, 0.1965845000, 0.2086638000, 0.2363082000, 0.3085659000, 0.5321583000, 1.2485090000", \
+                        "0.2121046000, 0.2184788000, 0.2345657000, 0.2688739000, 0.3430226000, 0.5654948000, 1.2805589000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0255522000, 0.0280821000, 0.0346573000, 0.0508314000, 0.0938622000, 0.2221205000, 0.6573893000", \
+                        "0.0255547000, 0.0280737000, 0.0345198000, 0.0513946000, 0.0940330000, 0.2224775000, 0.6565484000", \
+                        "0.0255340000, 0.0277512000, 0.0343106000, 0.0507223000, 0.0938892000, 0.2222570000, 0.6567179000", \
+                        "0.0253860000, 0.0278725000, 0.0348922000, 0.0507936000, 0.0937318000, 0.2220253000, 0.6573875000", \
+                        "0.0255751000, 0.0280530000, 0.0349782000, 0.0509857000, 0.0942029000, 0.2216931000, 0.6562850000", \
+                        "0.0312254000, 0.0337963000, 0.0408620000, 0.0580032000, 0.0996626000, 0.2264185000, 0.6588828000", \
+                        "0.0435838000, 0.0464076000, 0.0542099000, 0.0726916000, 0.1166850000, 0.2390488000, 0.6589572000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0193731000, 0.0224377000, 0.0318313000, 0.0606492000, 0.1566595000, 0.4750573000, 1.5031871000", \
+                        "0.0193656000, 0.0224547000, 0.0317908000, 0.0606000000, 0.1566688000, 0.4750269000, 1.5031799000", \
+                        "0.0193240000, 0.0224966000, 0.0317529000, 0.0604945000, 0.1564875000, 0.4744984000, 1.5031826000", \
+                        "0.0196103000, 0.0227217000, 0.0319863000, 0.0606526000, 0.1564419000, 0.4746661000, 1.5037449000", \
+                        "0.0224793000, 0.0254885000, 0.0344484000, 0.0627508000, 0.1573912000, 0.4740602000, 1.5010383000", \
+                        "0.0297750000, 0.0328684000, 0.0412979000, 0.0673344000, 0.1592594000, 0.4738858000, 1.5030900000", \
+                        "0.0418062000, 0.0456527000, 0.0554911000, 0.0796857000, 0.1638428000, 0.4754935000, 1.5002588000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1789690000, 0.1828819000, 0.1930213000, 0.2158037000, 0.2637320000, 0.3772057000, 0.7064686000", \
+                        "0.1842585000, 0.1881712000, 0.1983185000, 0.2211609000, 0.2690282000, 0.3825235000, 0.7116340000", \
+                        "0.1973684000, 0.2012791000, 0.2114137000, 0.2342041000, 0.2823592000, 0.3956743000, 0.7249379000", \
+                        "0.2265678000, 0.2304777000, 0.2406150000, 0.2634019000, 0.3113485000, 0.4248725000, 0.7540166000", \
+                        "0.2891637000, 0.2930801000, 0.3032159000, 0.3258961000, 0.3740083000, 0.4877554000, 0.8165842000", \
+                        "0.4112793000, 0.4156516000, 0.4269158000, 0.4516750000, 0.5029182000, 0.6187523000, 0.9477154000", \
+                        "0.6181000000, 0.6234683000, 0.6371988000, 0.6670761000, 0.7262041000, 0.8504076000, 1.1830061000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0840004000, 0.0877201000, 0.0976883000, 0.1233646000, 0.1950546000, 0.4180092000, 1.1335686000", \
+                        "0.0881932000, 0.0918380000, 0.1018162000, 0.1276303000, 0.1992686000, 0.4226567000, 1.1379225000", \
+                        "0.0973611000, 0.1010033000, 0.1110333000, 0.1367450000, 0.2084193000, 0.4315551000, 1.1469846000", \
+                        "0.1180590000, 0.1216991000, 0.1315874000, 0.1572195000, 0.2289073000, 0.4519153000, 1.1684128000", \
+                        "0.1534077000, 0.1573463000, 0.1678135000, 0.1942218000, 0.2660085000, 0.4892663000, 1.2051552000", \
+                        "0.1977714000, 0.2024717000, 0.2145538000, 0.2425122000, 0.3152376000, 0.5383196000, 1.2537931000", \
+                        "0.2300110000, 0.2361857000, 0.2518082000, 0.2856657000, 0.3615406000, 0.5853615000, 1.2995061000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0260170000, 0.0284434000, 0.0349520000, 0.0505255000, 0.0930404000, 0.2203122000, 0.6569143000", \
+                        "0.0259900000, 0.0283878000, 0.0347688000, 0.0506457000, 0.0930903000, 0.2206009000, 0.6577096000", \
+                        "0.0261256000, 0.0285093000, 0.0349974000, 0.0504950000, 0.0928906000, 0.2208668000, 0.6569135000", \
+                        "0.0260119000, 0.0284303000, 0.0349098000, 0.0505887000, 0.0930124000, 0.2206867000, 0.6579301000", \
+                        "0.0260024000, 0.0287239000, 0.0347177000, 0.0504723000, 0.0927500000, 0.2207703000, 0.6566809000", \
+                        "0.0313011000, 0.0339602000, 0.0406455000, 0.0563300000, 0.0973993000, 0.2236620000, 0.6581443000", \
+                        "0.0433128000, 0.0462719000, 0.0538721000, 0.0713325000, 0.1133764000, 0.2357806000, 0.6582873000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0193831000, 0.0225205000, 0.0317290000, 0.0605641000, 0.1563800000, 0.4739302000, 1.5029724000", \
+                        "0.0193929000, 0.0224443000, 0.0317943000, 0.0606332000, 0.1566589000, 0.4750706000, 1.5033857000", \
+                        "0.0193446000, 0.0225175000, 0.0317715000, 0.0605527000, 0.1563951000, 0.4745414000, 1.5031703000", \
+                        "0.0194832000, 0.0226502000, 0.0319620000, 0.0607179000, 0.1565337000, 0.4746654000, 1.5036117000", \
+                        "0.0220012000, 0.0250666000, 0.0341806000, 0.0624144000, 0.1572862000, 0.4745911000, 1.5031678000", \
+                        "0.0278237000, 0.0309823000, 0.0403250000, 0.0669312000, 0.1586233000, 0.4740527000, 1.5025758000", \
+                        "0.0389087000, 0.0426030000, 0.0527071000, 0.0782833000, 0.1636048000, 0.4754738000, 1.4996989000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.1536402000, 0.1576062000, 0.1677444000, 0.1905571000, 0.2384588000, 0.3519686000, 0.6810947000", \
+                        "0.1570656000, 0.1610082000, 0.1711703000, 0.1939816000, 0.2423291000, 0.3553754000, 0.6847278000", \
+                        "0.1671333000, 0.1710480000, 0.1811008000, 0.2039052000, 0.2520921000, 0.3656219000, 0.6950172000", \
+                        "0.1942200000, 0.1981073000, 0.2082662000, 0.2309622000, 0.2791505000, 0.3927325000, 0.7218831000", \
+                        "0.2611191000, 0.2650255000, 0.2751031000, 0.2976796000, 0.3456586000, 0.4591856000, 0.7883175000", \
+                        "0.3910144000, 0.3957536000, 0.4078788000, 0.4334276000, 0.4843862000, 0.6004732000, 0.9302570000", \
+                        "0.5977439000, 0.6036122000, 0.6188354000, 0.6520439000, 0.7124349000, 0.8325155000, 1.1648997000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0544353000, 0.0576169000, 0.0665371000, 0.0903139000, 0.1601130000, 0.3810326000, 1.1000937000", \
+                        "0.0590325000, 0.0622123000, 0.0711173000, 0.0948771000, 0.1647808000, 0.3858829000, 1.1152925000", \
+                        "0.0699760000, 0.0731225000, 0.0819383000, 0.1056232000, 0.1756681000, 0.3968275000, 1.1117878000", \
+                        "0.0908976000, 0.0941777000, 0.1031807000, 0.1270713000, 0.1968986000, 0.4206701000, 1.1331958000", \
+                        "0.1173758000, 0.1213029000, 0.1315670000, 0.1567298000, 0.2271165000, 0.4500086000, 1.1640242000", \
+                        "0.1406823000, 0.1459290000, 0.1590848000, 0.1880410000, 0.2594577000, 0.4826555000, 1.1977949000", \
+                        "0.1371970000, 0.1441519000, 0.1619289000, 0.1994857000, 0.2764253000, 0.4989587000, 1.2125223000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0259005000, 0.0283259000, 0.0348526000, 0.0506371000, 0.0931228000, 0.2205883000, 0.6578232000", \
+                        "0.0259197000, 0.0283137000, 0.0346959000, 0.0506541000, 0.0927827000, 0.2210241000, 0.6571803000", \
+                        "0.0262439000, 0.0283099000, 0.0348177000, 0.0509282000, 0.0928776000, 0.2209568000, 0.6576477000", \
+                        "0.0261770000, 0.0286011000, 0.0346331000, 0.0505801000, 0.0929571000, 0.2204922000, 0.6564415000", \
+                        "0.0260781000, 0.0284484000, 0.0347977000, 0.0507030000, 0.0931648000, 0.2206822000, 0.6560777000", \
+                        "0.0359847000, 0.0385134000, 0.0455518000, 0.0593631000, 0.0994902000, 0.2240934000, 0.6585995000", \
+                        "0.0523576000, 0.0555971000, 0.0646863000, 0.0804370000, 0.1170669000, 0.2346419000, 0.6597344000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
+                    values("0.0161763000, 0.0189805000, 0.0278221000, 0.0566970000, 0.1541050000, 0.4737553000, 1.5080651000", \
+                        "0.0161765000, 0.0189896000, 0.0278410000, 0.0567404000, 0.1538318000, 0.4735923000, 1.5025577000", \
+                        "0.0162284000, 0.0190294000, 0.0278980000, 0.0567586000, 0.1537915000, 0.4735705000, 1.4981256000", \
+                        "0.0182533000, 0.0208620000, 0.0292042000, 0.0573284000, 0.1541527000, 0.4738149000, 1.5004109000", \
+                        "0.0237159000, 0.0261375000, 0.0339486000, 0.0601992000, 0.1547536000, 0.4721910000, 1.5048959000", \
+                        "0.0335015000, 0.0362073000, 0.0440379000, 0.0678078000, 0.1569791000, 0.4714880000, 1.5001856000", \
+                        "0.0472626000, 0.0512252000, 0.0616771000, 0.0853861000, 0.1646331000, 0.4738265000, 1.4983581000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a21oi_1 */
+
+/* removed sky130_fd_sc_hd__a21oi_2 */
+
+    cell ("sky130_fd_sc_hd__a21oi_4") {
+        leakage_power () {
+            value : 0.0037804000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0024464000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037807000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0043513000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037807000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0035496000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0003708000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0035062000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__a21oi";
+        cell_leakage_power : 0.0031957700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0085710000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0176627000, 0.0176647000, 0.0176693000, 0.0176767000, 0.0176937000, 0.0177329000, 0.0178234000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013210700, -0.013205000, -0.013191600, -0.013160000, -0.013087000, -0.012918900, -0.012531300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089170000;
+        }
+        pin ("A2") {
+            capacitance : 0.0092380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087670000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0164539000, 0.0164246000, 0.0163571000, 0.0163522000, 0.0163411000, 0.0163154000, 0.0162562000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016374300, -0.016358500, -0.016322100, -0.016320300, -0.016316000, -0.016306000, -0.016283100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0097100000;
+        }
+        pin ("B1") {
+            capacitance : 0.0085960000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079063000, 0.0078853000, 0.0078369000, 0.0078799000, 0.0079788000, 0.0082069000, 0.0087326000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006904200, -0.006903100, -0.006900400, -0.006895700, -0.006884800, -0.006859600, -0.006801600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092270000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0160100000, 0.0146164000, 0.0107003000, -3.67000e-05, -0.029765500, -0.112225300, -0.340738000", \
+                        "0.0154877000, 0.0141227000, 0.0102400000, -0.000481900, -0.030094800, -0.112584600, -0.341119100", \
+                        "0.0147161000, 0.0133482000, 0.0095153000, -0.001078800, -0.030642400, -0.113008400, -0.341536400", \
+                        "0.0136958000, 0.0123339000, 0.0087090000, -0.001829400, -0.031248000, -0.113498200, -0.341832800", \
+                        "0.0135495000, 0.0121518000, 0.0084323000, -0.002128400, -0.031674100, -0.113788400, -0.342271100", \
+                        "0.0155387000, 0.0140834000, 0.0099603000, -0.001415500, -0.031509800, -0.113754600, -0.342075600", \
+                        "0.0192814000, 0.0177658000, 0.0134339000, 0.0020592000, -0.028714700, -0.112502700, -0.342228200");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0245839000, 0.0261919000, 0.0305010000, 0.0418689000, 0.0718377000, 0.1539028000, 0.3827241000", \
+                        "0.0236878000, 0.0252792000, 0.0295306000, 0.0411230000, 0.0714105000, 0.1548461000, 0.3829275000", \
+                        "0.0229696000, 0.0244674000, 0.0286299000, 0.0399911000, 0.0706113000, 0.1533308000, 0.3798184000", \
+                        "0.0225087000, 0.0239926000, 0.0280340000, 0.0392161000, 0.0695515000, 0.1534905000, 0.3794085000", \
+                        "0.0220932000, 0.0235537000, 0.0275719000, 0.0384716000, 0.0686501000, 0.1512764000, 0.3785113000", \
+                        "0.0220257000, 0.0234543000, 0.0274337000, 0.0384559000, 0.0683968000, 0.1509986000, 0.3774860000", \
+                        "0.0225028000, 0.0238276000, 0.0274343000, 0.0379557000, 0.0685071000, 0.1510636000, 0.3785388000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0189295000, 0.0175048000, 0.0135890000, 0.0028487000, -0.026859300, -0.109374500, -0.337905200", \
+                        "0.0184176000, 0.0170017000, 0.0131090000, 0.0023912000, -0.027312700, -0.109788800, -0.338314400", \
+                        "0.0176674000, 0.0162818000, 0.0124433000, 0.0018022000, -0.027803900, -0.110238700, -0.338723100", \
+                        "0.0167596000, 0.0153980000, 0.0115883000, 0.0011001000, -0.028311500, -0.110622200, -0.339027600", \
+                        "0.0165243000, 0.0151472000, 0.0111949000, 0.0005495000, -0.028712300, -0.110727000, -0.339046800", \
+                        "0.0171449000, 0.0158516000, 0.0118297000, 0.0009848000, -0.028716800, -0.111496800, -0.339392400", \
+                        "0.0203859000, 0.0189749000, 0.0148125000, 0.0036139000, -0.026900700, -0.110133000, -0.339314800");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0387408000, 0.0402516000, 0.0442085000, 0.0551265000, 0.0849807000, 0.1671127000, 0.3931873000", \
+                        "0.0380820000, 0.0395421000, 0.0435954000, 0.0546534000, 0.0846505000, 0.1669436000, 0.3927868000", \
+                        "0.0374738000, 0.0389158000, 0.0429699000, 0.0540081000, 0.0841868000, 0.1665764000, 0.3927683000", \
+                        "0.0370219000, 0.0383739000, 0.0423688000, 0.0534383000, 0.0836971000, 0.1660855000, 0.3924691000", \
+                        "0.0365073000, 0.0380068000, 0.0420169000, 0.0529156000, 0.0829307000, 0.1655618000, 0.3917991000", \
+                        "0.0365221000, 0.0378742000, 0.0418895000, 0.0528352000, 0.0829947000, 0.1654112000, 0.3915716000", \
+                        "0.0367134000, 0.0380695000, 0.0418534000, 0.0524787000, 0.0832277000, 0.1659130000, 0.3923051000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("-0.000178600, -0.001441100, -0.005022100, -0.015454400, -0.045183200, -0.127966500, -0.356849800", \
+                        "-0.000822800, -0.002021000, -0.005491200, -0.015652200, -0.045100100, -0.127715600, -0.356513000", \
+                        "-0.001569200, -0.002780700, -0.006288400, -0.016236800, -0.045290100, -0.127607800, -0.356270400", \
+                        "-0.002277700, -0.003574600, -0.007183700, -0.017158400, -0.045939000, -0.127805600, -0.356249200", \
+                        "-0.001535500, -0.002925700, -0.006727400, -0.017040000, -0.046494700, -0.128264800, -0.356381600", \
+                        "0.0002981000, -0.001201100, -0.005283000, -0.016348200, -0.045885500, -0.128515400, -0.356704100", \
+                        "0.0067197000, 0.0050363000, 0.0004822000, -0.011555800, -0.042962400, -0.125568600, -0.356146700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
+                    values("0.0359073000, 0.0374439000, 0.0416454000, 0.0528963000, 0.0831943000, 0.1654002000, 0.3915609000", \
+                        "0.0351250000, 0.0365980000, 0.0407347000, 0.0521921000, 0.0826883000, 0.1652002000, 0.3914749000", \
+                        "0.0343364000, 0.0358225000, 0.0399558000, 0.0512949000, 0.0817728000, 0.1645821000, 0.3912592000", \
+                        "0.0340924000, 0.0355626000, 0.0395722000, 0.0505803000, 0.0807197000, 0.1637268000, 0.3907216000", \
+                        "0.0340947000, 0.0354957000, 0.0393985000, 0.0502459000, 0.0801303000, 0.1625945000, 0.3897599000", \
+                        "0.0370809000, 0.0384560000, 0.0422412000, 0.0528237000, 0.0821207000, 0.1637129000, 0.3880777000", \
+                        "0.0415913000, 0.0428849000, 0.0466998000, 0.0575601000, 0.0857223000, 0.1654100000, 0.3897643000");
+                }
+            }
+            max_capacitance : 0.2215680000;
+            max_transition : 1.4966630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0246992000, 0.0263024000, 0.0304106000, 0.0410125000, 0.0678401000, 0.1380803000, 0.3284191000", \
+                        "0.0287528000, 0.0303059000, 0.0344198000, 0.0449538000, 0.0717621000, 0.1421019000, 0.3323391000", \
+                        "0.0391000000, 0.0406930000, 0.0447374000, 0.0548324000, 0.0815114000, 0.1516950000, 0.3419164000", \
+                        "0.0539156000, 0.0562234000, 0.0620181000, 0.0758049000, 0.1047227000, 0.1747259000, 0.3650390000", \
+                        "0.0682020000, 0.0716078000, 0.0802008000, 0.1009026000, 0.1450502000, 0.2294386000, 0.4194306000", \
+                        "0.0708632000, 0.0760541000, 0.0893124000, 0.1215272000, 0.1892958000, 0.3160761000, 0.5451449000", \
+                        "0.0329337000, 0.0408214000, 0.0602664000, 0.1091740000, 0.2135064000, 0.4116788000, 0.7576772000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0750266000, 0.0797863000, 0.0926365000, 0.1260724000, 0.2144161000, 0.4553959000, 1.1264553000", \
+                        "0.0788206000, 0.0836378000, 0.0963492000, 0.1303970000, 0.2206709000, 0.4648922000, 1.1340058000", \
+                        "0.0914359000, 0.0958637000, 0.1082775000, 0.1416296000, 0.2321347000, 0.4742727000, 1.1387330000", \
+                        "0.1205273000, 0.1250511000, 0.1373143000, 0.1697234000, 0.2593165000, 0.5051595000, 1.1692536000", \
+                        "0.1719356000, 0.1776844000, 0.1927567000, 0.2304034000, 0.3200600000, 0.5627075000, 1.2301592000", \
+                        "0.2563241000, 0.2650938000, 0.2868255000, 0.3391377000, 0.4519958000, 0.7019299000, 1.3689805000", \
+                        "0.3922306000, 0.4065449000, 0.4417791000, 0.5239923000, 0.6878029000, 1.0084236000, 1.6948052000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0237953000, 0.0254264000, 0.0298570000, 0.0421778000, 0.0761349000, 0.1706823000, 0.4346524000", \
+                        "0.0227089000, 0.0243467000, 0.0291293000, 0.0418578000, 0.0760946000, 0.1711810000, 0.4347354000", \
+                        "0.0255739000, 0.0268890000, 0.0307350000, 0.0420823000, 0.0756712000, 0.1710417000, 0.4347011000", \
+                        "0.0352760000, 0.0371595000, 0.0423320000, 0.0541057000, 0.0819962000, 0.1712114000, 0.4346026000", \
+                        "0.0548268000, 0.0575359000, 0.0644661000, 0.0804540000, 0.1146775000, 0.1911494000, 0.4352762000", \
+                        "0.0900704000, 0.0942685000, 0.1048262000, 0.1281075000, 0.1751626000, 0.2719571000, 0.4789162000", \
+                        "0.1522599000, 0.1588400000, 0.1759171000, 0.2133319000, 0.2874350000, 0.4193671000, 0.6672240000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0467260000, 0.0524283000, 0.0684499000, 0.1124418000, 0.2332804000, 0.5658687000, 1.4956092000", \
+                        "0.0466227000, 0.0523710000, 0.0683550000, 0.1125319000, 0.2335217000, 0.5705383000, 1.4966625000", \
+                        "0.0468068000, 0.0525925000, 0.0684013000, 0.1120551000, 0.2333967000, 0.5663319000, 1.4828127000", \
+                        "0.0484445000, 0.0538229000, 0.0689868000, 0.1124572000, 0.2333526000, 0.5698152000, 1.4816560000", \
+                        "0.0646310000, 0.0699947000, 0.0845821000, 0.1227971000, 0.2360603000, 0.5660067000, 1.4815442000", \
+                        "0.0996765000, 0.1055815000, 0.1224559000, 0.1642453000, 0.2706902000, 0.5735811000, 1.4800224000", \
+                        "0.1774712000, 0.1853416000, 0.2068150000, 0.2567463000, 0.3780628000, 0.6619884000, 1.4964621000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0303720000, 0.0319421000, 0.0360462000, 0.0465930000, 0.0734489000, 0.1436749000, 0.3338050000", \
+                        "0.0345541000, 0.0361274000, 0.0402576000, 0.0507694000, 0.0776014000, 0.1478207000, 0.3379790000", \
+                        "0.0434589000, 0.0450755000, 0.0491940000, 0.0596294000, 0.0864283000, 0.1566288000, 0.3469683000", \
+                        "0.0582558000, 0.0602947000, 0.0652601000, 0.0777804000, 0.1065639000, 0.1770607000, 0.3676280000", \
+                        "0.0760603000, 0.0789380000, 0.0865548000, 0.1045777000, 0.1430287000, 0.2231852000, 0.4154668000", \
+                        "0.0872149000, 0.0918042000, 0.1033720000, 0.1311212000, 0.1900211000, 0.3022428000, 0.5220377000", \
+                        "0.0635701000, 0.0704689000, 0.0891165000, 0.1331368000, 0.2257614000, 0.4016808000, 0.7096582000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.1000751000, 0.1046043000, 0.1162003000, 0.1478840000, 0.2332025000, 0.4665976000, 1.1106092000", \
+                        "0.1042715000, 0.1085854000, 0.1206454000, 0.1524753000, 0.2383677000, 0.4724077000, 1.1153842000", \
+                        "0.1167402000, 0.1209889000, 0.1329661000, 0.1650169000, 0.2512142000, 0.4855794000, 1.1288390000", \
+                        "0.1453300000, 0.1496031000, 0.1613673000, 0.1928352000, 0.2792188000, 0.5142880000, 1.1581179000", \
+                        "0.2010961000, 0.2057910000, 0.2193319000, 0.2531864000, 0.3390513000, 0.5739352000, 1.2181819000", \
+                        "0.2952266000, 0.3026608000, 0.3204691000, 0.3643097000, 0.4688417000, 0.7096645000, 1.3546856000", \
+                        "0.4502845000, 0.4609294000, 0.4883598000, 0.5551191000, 0.7015018000, 1.0043314000, 1.6689661000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0234857000, 0.0251504000, 0.0295464000, 0.0419536000, 0.0761041000, 0.1707974000, 0.4347723000", \
+                        "0.0232008000, 0.0247701000, 0.0293919000, 0.0418056000, 0.0760696000, 0.1707507000, 0.4349299000", \
+                        "0.0243234000, 0.0258277000, 0.0300541000, 0.0419620000, 0.0758903000, 0.1710470000, 0.4344189000", \
+                        "0.0319007000, 0.0334027000, 0.0376642000, 0.0487173000, 0.0792328000, 0.1710440000, 0.4344038000", \
+                        "0.0488382000, 0.0509167000, 0.0560617000, 0.0687969000, 0.1008201000, 0.1824777000, 0.4351133000", \
+                        "0.0817142000, 0.0846931000, 0.0919354000, 0.1097751000, 0.1499707000, 0.2369296000, 0.4610488000", \
+                        "0.1421957000, 0.1463004000, 0.1580165000, 0.1848181000, 0.2429588000, 0.3541444000, 0.5896604000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0663352000, 0.0720004000, 0.0874282000, 0.1301507000, 0.2472445000, 0.5690678000, 1.4580566000", \
+                        "0.0663367000, 0.0719630000, 0.0875674000, 0.1303482000, 0.2474753000, 0.5699129000, 1.4580978000", \
+                        "0.0663620000, 0.0719541000, 0.0876010000, 0.1302648000, 0.2472489000, 0.5708328000, 1.4571687000", \
+                        "0.0667478000, 0.0722302000, 0.0875231000, 0.1301830000, 0.2478976000, 0.5710591000, 1.4584911000", \
+                        "0.0802531000, 0.0854773000, 0.0988501000, 0.1376960000, 0.2497255000, 0.5692700000, 1.4564131000", \
+                        "0.1143615000, 0.1200268000, 0.1359616000, 0.1769583000, 0.2825214000, 0.5786259000, 1.4604168000", \
+                        "0.1914367000, 0.1988145000, 0.2184393000, 0.2669700000, 0.3850886000, 0.6629319000, 1.4757747000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0135185000, 0.0143941000, 0.0166940000, 0.0225876000, 0.0383249000, 0.0810906000, 0.1989269000", \
+                        "0.0178918000, 0.0189115000, 0.0213059000, 0.0272632000, 0.0430952000, 0.0859894000, 0.2037653000", \
+                        "0.0235355000, 0.0251751000, 0.0290854000, 0.0374390000, 0.0540872000, 0.0970135000, 0.2148406000", \
+                        "0.0284403000, 0.0310814000, 0.0371770000, 0.0506845000, 0.0762546000, 0.1222949000, 0.2401767000", \
+                        "0.0278651000, 0.0319002000, 0.0417128000, 0.0633590000, 0.1038603000, 0.1746218000, 0.2996976000", \
+                        "0.0095130000, 0.0158928000, 0.0313962000, 0.0651880000, 0.1290223000, 0.2406523000, 0.4254231000", \
+                        "-0.058599800, -0.048449400, -0.025075800, 0.0284418000, 0.1300526000, 0.3056845000, 0.5961014000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0716525000, 0.0759262000, 0.0883610000, 0.1208460000, 0.2072832000, 0.4414711000, 1.0850894000", \
+                        "0.0743050000, 0.0788088000, 0.0906466000, 0.1237824000, 0.2106755000, 0.4456273000, 1.0889943000", \
+                        "0.0845636000, 0.0887598000, 0.1009570000, 0.1334126000, 0.2201716000, 0.4560929000, 1.1002584000", \
+                        "0.1131277000, 0.1172963000, 0.1286992000, 0.1603097000, 0.2460977000, 0.4820407000, 1.1270492000", \
+                        "0.1725520000, 0.1782892000, 0.1926072000, 0.2278103000, 0.3130372000, 0.5473165000, 1.1927437000", \
+                        "0.2681701000, 0.2767737000, 0.2989280000, 0.3522381000, 0.4660110000, 0.7020082000, 1.3409110000", \
+                        "0.4304704000, 0.4427189000, 0.4749074000, 0.5537185000, 0.7241591000, 1.0536048000, 1.7029687000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0096355000, 0.0107941000, 0.0140843000, 0.0226383000, 0.0442555000, 0.1021003000, 0.2614125000", \
+                        "0.0111552000, 0.0120372000, 0.0148126000, 0.0227606000, 0.0442452000, 0.1021308000, 0.2611587000", \
+                        "0.0194078000, 0.0201429000, 0.0221837000, 0.0276640000, 0.0457742000, 0.1021044000, 0.2609619000", \
+                        "0.0338533000, 0.0347488000, 0.0372785000, 0.0440128000, 0.0599016000, 0.1065845000, 0.2611496000", \
+                        "0.0597022000, 0.0608729000, 0.0643303000, 0.0736680000, 0.0953186000, 0.1401274000, 0.2692475000", \
+                        "0.1059452000, 0.1077217000, 0.1127917000, 0.1262226000, 0.1586446000, 0.2213740000, 0.3435039000", \
+                        "0.1902590000, 0.1930315000, 0.2015757000, 0.2211665000, 0.2681819000, 0.3641596000, 0.5366358000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
+                    values("0.0660474000, 0.0717453000, 0.0872211000, 0.1299034000, 0.2472559000, 0.5689522000, 1.4575016000", \
+                        "0.0660480000, 0.0717603000, 0.0873675000, 0.1300289000, 0.2473235000, 0.5697718000, 1.4559419000", \
+                        "0.0657350000, 0.0712875000, 0.0871369000, 0.1299754000, 0.2471239000, 0.5697799000, 1.4559501000", \
+                        "0.0684186000, 0.0734758000, 0.0879290000, 0.1293574000, 0.2472611000, 0.5712855000, 1.4595147000", \
+                        "0.0943538000, 0.1002580000, 0.1142815000, 0.1470440000, 0.2506376000, 0.5690546000, 1.4568604000", \
+                        "0.1374919000, 0.1453960000, 0.1652583000, 0.2117812000, 0.3101206000, 0.5831928000, 1.4582071000", \
+                        "0.2077899000, 0.2193168000, 0.2494748000, 0.3159837000, 0.4532922000, 0.7197276000, 1.4803000000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a221o_1 */
+
+/* removed sky130_fd_sc_hd__a221o_2 */
+
+/* removed sky130_fd_sc_hd__a221o_4 */
+
+/* removed sky130_fd_sc_hd__a221oi_1 */
+
+/* removed sky130_fd_sc_hd__a221oi_2 */
+
+/* removed sky130_fd_sc_hd__a221oi_4 */
+
+/* removed sky130_fd_sc_hd__a222oi_1 */
+
+/* removed sky130_fd_sc_hd__a22o_1 */
+
+/* removed sky130_fd_sc_hd__a22o_2 */
+
+/* removed sky130_fd_sc_hd__a22o_4 */
+
+/* removed sky130_fd_sc_hd__a22oi_1 */
+
+/* removed sky130_fd_sc_hd__a22oi_2 */
+
+    cell ("sky130_fd_sc_hd__a22oi_4") {
+        leakage_power () {
+            value : 0.0020851000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0303796000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016600000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038277000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0316658000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0034003000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034013000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0516426000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0052827000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029795000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0030315000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0726102000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034066000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031381000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a22oi";
+        cell_leakage_power : 0.0143172700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080080000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0178772000, 0.0178987000, 0.0179483000, 0.0179495000, 0.0179525000, 0.0179596000, 0.0179759000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012679300, -0.012695000, -0.012731400, -0.012697500, -0.012619300, -0.012439100, -0.012023600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086130000;
+        }
+        pin ("A2") {
+            capacitance : 0.0086170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081530000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156594000, 0.0156545000, 0.0156434000, 0.0156434000, 0.0156435000, 0.0156437000, 0.0156443000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015608100, -0.015607000, -0.015604400, -0.015604500, -0.015604800, -0.015605500, -0.015607100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090810000;
+        }
+        pin ("B1") {
+            capacitance : 0.0083380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181848000, 0.0181864000, 0.0181902000, 0.0181943000, 0.0182038000, 0.0182258000, 0.0182763000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012980600, -0.012988800, -0.013007300, -0.012978200, -0.012910700, -0.012755200, -0.012396800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087950000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078830000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157805000, 0.0157648000, 0.0157285000, 0.0157282000, 0.0157273000, 0.0157254000, 0.0157209000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015714000, -0.015708500, -0.015695700, -0.015695200, -0.015693800, -0.015690400, -0.015682800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092040000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0253393000, 0.0238166000, 0.0194834000, 0.0067331000, -0.029981200, -0.137263900, -0.448252100", \
+                        "0.0250118000, 0.0234531000, 0.0191466000, 0.0064756000, -0.030277500, -0.137523700, -0.448488500", \
+                        "0.0243290000, 0.0228136000, 0.0185291000, 0.0059329000, -0.030655100, -0.137760300, -0.448838500", \
+                        "0.0234157000, 0.0219365000, 0.0176373000, 0.0051878000, -0.031252900, -0.138015200, -0.448920400", \
+                        "0.0227697000, 0.0212915000, 0.0169648000, 0.0043793000, -0.031945300, -0.138336600, -0.449000200", \
+                        "0.0236092000, 0.0220708000, 0.0176135000, 0.0047512000, -0.032514900, -0.138894100, -0.449434700", \
+                        "0.0269242000, 0.0253514000, 0.0210235000, 0.0075713000, -0.029903300, -0.138814700, -0.448752700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0402919000, 0.0418603000, 0.0463599000, 0.0593540000, 0.0963766000, 0.2030235000, 0.5105981000", \
+                        "0.0396315000, 0.0412097000, 0.0457499000, 0.0589367000, 0.0962026000, 0.2030137000, 0.5105751000", \
+                        "0.0385817000, 0.0401560000, 0.0447798000, 0.0580144000, 0.0956433000, 0.2025323000, 0.5105666000", \
+                        "0.0377043000, 0.0392728000, 0.0438029000, 0.0569959000, 0.0947207000, 0.2019771000, 0.5101401000", \
+                        "0.0370570000, 0.0386016000, 0.0431381000, 0.0561964000, 0.0935107000, 0.2009108000, 0.5094441000", \
+                        "0.0369368000, 0.0385339000, 0.0429972000, 0.0559685000, 0.0932598000, 0.2000615000, 0.5086143000", \
+                        "0.0364563000, 0.0380043000, 0.0423323000, 0.0551678000, 0.0932039000, 0.2001956000, 0.5080979000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0279718000, 0.0264536000, 0.0220841000, 0.0093661000, -0.027352700, -0.134523600, -0.445687500", \
+                        "0.0276738000, 0.0261202000, 0.0217565000, 0.0090579000, -0.027753600, -0.134936700, -0.445806000", \
+                        "0.0271093000, 0.0256049000, 0.0212433000, 0.0086208000, -0.028008200, -0.135231600, -0.446230200", \
+                        "0.0263951000, 0.0249059000, 0.0205521000, 0.0080843000, -0.028525200, -0.135513800, -0.446425400", \
+                        "0.0257699000, 0.0242467000, 0.0199182000, 0.0074354000, -0.028796600, -0.135582000, -0.446381300", \
+                        "0.0263242000, 0.0247945000, 0.0203930000, 0.0076328000, -0.029703900, -0.136231700, -0.446575800", \
+                        "0.0290966000, 0.0275283000, 0.0229168000, 0.0098408000, -0.027593600, -0.135669800, -0.446629300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0546029000, 0.0560109000, 0.0604943000, 0.0734170000, 0.1105456000, 0.2170325000, 0.5246839000", \
+                        "0.0539013000, 0.0556769000, 0.0600105000, 0.0729848000, 0.1101896000, 0.2168797000, 0.5244711000", \
+                        "0.0533042000, 0.0549145000, 0.0595183000, 0.0724907000, 0.1098150000, 0.2165619000, 0.5242626000", \
+                        "0.0527949000, 0.0543645000, 0.0587675000, 0.0717847000, 0.1092680000, 0.2162712000, 0.5242186000", \
+                        "0.0521650000, 0.0537193000, 0.0582479000, 0.0712470000, 0.1084787000, 0.2156312000, 0.5236947000", \
+                        "0.0521116000, 0.0536901000, 0.0582176000, 0.0713985000, 0.1083180000, 0.2151139000, 0.5234957000", \
+                        "0.0517065000, 0.0531488000, 0.0576538000, 0.0706600000, 0.1084715000, 0.2156389000, 0.5234586000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0099202000, 0.0085240000, 0.0043539000, -0.008147200, -0.045132000, -0.152700600, -0.464295100", \
+                        "0.0093598000, 0.0080191000, 0.0040004000, -0.008269100, -0.044987300, -0.152402700, -0.463908700", \
+                        "0.0086646000, 0.0073704000, 0.0032791000, -0.008713100, -0.045064400, -0.152192600, -0.463572900", \
+                        "0.0076887000, 0.0063839000, 0.0025116000, -0.009553400, -0.045594400, -0.152355600, -0.463497600", \
+                        "0.0076197000, 0.0061578000, 0.0019488000, -0.010198400, -0.046345500, -0.152799600, -0.463589300", \
+                        "0.0089823000, 0.0074544000, 0.0029330000, -0.009858200, -0.046881000, -0.153635800, -0.464135200", \
+                        "0.0133372000, 0.0115279000, 0.0066488000, -0.007016800, -0.045056400, -0.151575400, -0.464439800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0286357000, 0.0302416000, 0.0349134000, 0.0481555000, 0.0853579000, 0.1918005000, 0.4997312000", \
+                        "0.0277371000, 0.0293301000, 0.0339826000, 0.0473716000, 0.0851219000, 0.1918103000, 0.4995425000", \
+                        "0.0263707000, 0.0280376000, 0.0328773000, 0.0461488000, 0.0842712000, 0.1913577000, 0.4993692000", \
+                        "0.0256030000, 0.0272195000, 0.0318664000, 0.0452329000, 0.0829353000, 0.1906211000, 0.4990588000", \
+                        "0.0259316000, 0.0274899000, 0.0319098000, 0.0449138000, 0.0821702000, 0.1894037000, 0.4985164000", \
+                        "0.0269195000, 0.0284220000, 0.0327692000, 0.0454918000, 0.0819551000, 0.1878909000, 0.4974165000", \
+                        "0.0314679000, 0.0328687000, 0.0369521000, 0.0489235000, 0.0849531000, 0.1903373000, 0.4950249000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0131204000, 0.0117030000, 0.0074898000, -0.005056400, -0.042057800, -0.149630300, -0.461187300", \
+                        "0.0125771000, 0.0112149000, 0.0071690000, -0.005139900, -0.041888900, -0.149302900, -0.460822900", \
+                        "0.0116771000, 0.0103438000, 0.0063885000, -0.005615400, -0.041979000, -0.149140300, -0.460534700", \
+                        "0.0106193000, 0.0092544000, 0.0052450000, -0.006628200, -0.042569300, -0.149298900, -0.460446000", \
+                        "0.0106015000, 0.0091561000, 0.0046974000, -0.007697900, -0.043541100, -0.149831900, -0.460566800", \
+                        "0.0108807000, 0.0093532000, 0.0049454000, -0.007693000, -0.044258700, -0.150816700, -0.461151000", \
+                        "0.0145946000, 0.0129212000, 0.0081711000, -0.005213300, -0.042997600, -0.150556700, -0.461570000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
+                    values("0.0431284000, 0.0446411000, 0.0492576000, 0.0621391000, 0.0992362000, 0.2059276000, 0.5134908000", \
+                        "0.0422546000, 0.0438113000, 0.0483958000, 0.0615141000, 0.0988625000, 0.2055256000, 0.5132624000", \
+                        "0.0415875000, 0.0429713000, 0.0476036000, 0.0607664000, 0.0983289000, 0.2052475000, 0.5132364000", \
+                        "0.0408873000, 0.0425818000, 0.0468208000, 0.0599476000, 0.0975134000, 0.2048133000, 0.5130078000", \
+                        "0.0405113000, 0.0420736000, 0.0466158000, 0.0595140000, 0.0968406000, 0.2042165000, 0.5125959000", \
+                        "0.0439760000, 0.0454630000, 0.0478574000, 0.0606996000, 0.0973025000, 0.2038405000, 0.5123072000", \
+                        "0.0479204000, 0.0492427000, 0.0530556000, 0.0653258000, 0.1012893000, 0.2072600000, 0.5150428000");
+                }
+            }
+            max_capacitance : 0.2938940000;
+            max_transition : 1.9330300000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0417445000, 0.0435681000, 0.0485145000, 0.0619497000, 0.0985133000, 0.2003095000, 0.4901049000", \
+                        "0.0458038000, 0.0475463000, 0.0524354000, 0.0660325000, 0.1025963000, 0.2043993000, 0.4942327000", \
+                        "0.0554042000, 0.0571813000, 0.0622079000, 0.0758136000, 0.1123753000, 0.2143615000, 0.5041227000", \
+                        "0.0770916000, 0.0792248000, 0.0849485000, 0.0993265000, 0.1358835000, 0.2372621000, 0.5274726000", \
+                        "0.1041311000, 0.1072230000, 0.1156826000, 0.1368496000, 0.1862697000, 0.2923346000, 0.5813445000", \
+                        "0.1277344000, 0.1324649000, 0.1453635000, 0.1775102000, 0.2529581000, 0.4045462000, 0.7077506000", \
+                        "0.1215629000, 0.1286769000, 0.1480033000, 0.1989488000, 0.3141817000, 0.5482430000, 0.9758004000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1164222000, 0.1210808000, 0.1345229000, 0.1723089000, 0.2790601000, 0.5843661000, 1.4653841000", \
+                        "0.1209319000, 0.1255380000, 0.1388080000, 0.1774753000, 0.2847454000, 0.5904908000, 1.4716270000", \
+                        "0.1326454000, 0.1375862000, 0.1509966000, 0.1898092000, 0.2977342000, 0.6043214000, 1.4864336000", \
+                        "0.1623014000, 0.1668592000, 0.1806140000, 0.2189379000, 0.3271789000, 0.6349473000, 1.5178909000", \
+                        "0.2251698000, 0.2303825000, 0.2444336000, 0.2823281000, 0.3895800000, 0.6978479000, 1.5811403000", \
+                        "0.3346391000, 0.3413004000, 0.3599990000, 0.4094291000, 0.5310003000, 0.8397888000, 1.7239398000", \
+                        "0.5172891000, 0.5269347000, 0.5561922000, 0.6296463000, 0.7973325000, 1.1625448000, 2.0547104000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0340878000, 0.0361235000, 0.0422447000, 0.0592769000, 0.1086477000, 0.2511921000, 0.6649156000", \
+                        "0.0339891000, 0.0361047000, 0.0421220000, 0.0593126000, 0.1084602000, 0.2512084000, 0.6650505000", \
+                        "0.0337524000, 0.0358148000, 0.0417741000, 0.0588173000, 0.1084213000, 0.2511625000, 0.6650423000", \
+                        "0.0430323000, 0.0451689000, 0.0508253000, 0.0651425000, 0.1099980000, 0.2509584000, 0.6655157000", \
+                        "0.0634686000, 0.0662911000, 0.0739823000, 0.0930318000, 0.1371711000, 0.2590482000, 0.6650379000", \
+                        "0.1025690000, 0.1067395000, 0.1178916000, 0.1449141000, 0.2048187000, 0.3308241000, 0.6837850000", \
+                        "0.1706656000, 0.1772532000, 0.1956361000, 0.2374549000, 0.3231373000, 0.4923946000, 0.8448724000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0779312000, 0.0839445000, 0.1010797000, 0.1512969000, 0.2956210000, 0.7134809000, 1.9131829000", \
+                        "0.0779733000, 0.0839049000, 0.1011784000, 0.1511343000, 0.2954056000, 0.7137815000, 1.9184689000", \
+                        "0.0779562000, 0.0839733000, 0.1012305000, 0.1509693000, 0.2955611000, 0.7106135000, 1.9199820000", \
+                        "0.0780272000, 0.0839978000, 0.1013013000, 0.1510399000, 0.2955087000, 0.7138344000, 1.9173543000", \
+                        "0.0873177000, 0.0924887000, 0.1082702000, 0.1552651000, 0.2962257000, 0.7142522000, 1.9131268000", \
+                        "0.1226244000, 0.1287622000, 0.1455350000, 0.1923661000, 0.3182650000, 0.7143448000, 1.9134858000", \
+                        "0.2027936000, 0.2099108000, 0.2309023000, 0.2855137000, 0.4225924000, 0.7759873000, 1.9221404000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0474738000, 0.0491836000, 0.0541107000, 0.0676458000, 0.1042513000, 0.2059293000, 0.4956935000", \
+                        "0.0517628000, 0.0534818000, 0.0583649000, 0.0719101000, 0.1085038000, 0.2102347000, 0.4997607000", \
+                        "0.0602761000, 0.0620712000, 0.0669906000, 0.0805443000, 0.1171903000, 0.2190245000, 0.5088149000", \
+                        "0.0778102000, 0.0797662000, 0.0851350000, 0.0994629000, 0.1365885000, 0.2387385000, 0.5286689000", \
+                        "0.1045198000, 0.1068015000, 0.1139547000, 0.1328730000, 0.1771505000, 0.2833234000, 0.5745071000", \
+                        "0.1312719000, 0.1352654000, 0.1462351000, 0.1740410000, 0.2381320000, 0.3732429000, 0.6790359000", \
+                        "0.1339625000, 0.1401325000, 0.1569483000, 0.2007458000, 0.3010077000, 0.5036428000, 0.8899688000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1403011000, 0.1449765000, 0.1580999000, 0.1948136000, 0.3006424000, 0.6038869000, 1.4792638000", \
+                        "0.1448539000, 0.1488527000, 0.1630592000, 0.1999835000, 0.3061056000, 0.6093294000, 1.4862901000", \
+                        "0.1579123000, 0.1623242000, 0.1756708000, 0.2126936000, 0.3194477000, 0.6229902000, 1.4983709000", \
+                        "0.1864067000, 0.1915839000, 0.2049051000, 0.2424699000, 0.3491344000, 0.6530611000, 1.5273720000", \
+                        "0.2475735000, 0.2526837000, 0.2657055000, 0.3028457000, 0.4097611000, 0.7138452000, 1.5882202000", \
+                        "0.3585175000, 0.3649444000, 0.3819160000, 0.4265593000, 0.5437800000, 0.8484420000, 1.7242338000", \
+                        "0.5465813000, 0.5558322000, 0.5802979000, 0.6451184000, 0.7994862000, 1.1551017000, 2.0362965000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0340117000, 0.0361221000, 0.0421537000, 0.0593012000, 0.1083913000, 0.2512797000, 0.6646033000", \
+                        "0.0339587000, 0.0360765000, 0.0421134000, 0.0592495000, 0.1085006000, 0.2511415000, 0.6641467000", \
+                        "0.0338392000, 0.0359198000, 0.0419009000, 0.0590302000, 0.1084856000, 0.2510722000, 0.6653167000", \
+                        "0.0391383000, 0.0411208000, 0.0467295000, 0.0623502000, 0.1095170000, 0.2512552000, 0.6651164000", \
+                        "0.0552646000, 0.0573132000, 0.0636014000, 0.0805666000, 0.1257285000, 0.2559055000, 0.6643457000", \
+                        "0.0894548000, 0.0926127000, 0.1008802000, 0.1225156000, 0.1734830000, 0.3007174000, 0.6756755000", \
+                        "0.1529864000, 0.1575951000, 0.1698229000, 0.2010118000, 0.2692203000, 0.4199210000, 0.7748576000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0995492000, 0.1053329000, 0.1224722000, 0.1724776000, 0.3162268000, 0.7306545000, 1.9279787000", \
+                        "0.0992519000, 0.1057550000, 0.1224851000, 0.1724560000, 0.3161712000, 0.7313938000, 1.9287429000", \
+                        "0.0992181000, 0.1051971000, 0.1228983000, 0.1723709000, 0.3161570000, 0.7293468000, 1.9263071000", \
+                        "0.0996254000, 0.1054479000, 0.1224923000, 0.1726829000, 0.3163019000, 0.7324892000, 1.9253423000", \
+                        "0.1060969000, 0.1115181000, 0.1276325000, 0.1752453000, 0.3161072000, 0.7321900000, 1.9278825000", \
+                        "0.1397020000, 0.1457288000, 0.1623346000, 0.2094295000, 0.3365938000, 0.7337920000, 1.9310664000", \
+                        "0.2176954000, 0.2245951000, 0.2445315000, 0.2978962000, 0.4341381000, 0.7934992000, 1.9312560000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0226582000, 0.0243244000, 0.0286888000, 0.0411025000, 0.0756606000, 0.1747282000, 0.4606694000", \
+                        "0.0264655000, 0.0281009000, 0.0326891000, 0.0452622000, 0.0800505000, 0.1792922000, 0.4653158000", \
+                        "0.0350289000, 0.0370553000, 0.0425992000, 0.0551419000, 0.0902112000, 0.1897405000, 0.4758414000", \
+                        "0.0446733000, 0.0479641000, 0.0558396000, 0.0748424000, 0.1139526000, 0.2133936000, 0.4995565000", \
+                        "0.0505388000, 0.0554023000, 0.0681669000, 0.0979096000, 0.1572587000, 0.2685965000, 0.5544695000", \
+                        "0.0411346000, 0.0486037000, 0.0681659000, 0.1137236000, 0.2054904000, 0.3736105000, 0.6819510000", \
+                        "-0.014818300, -0.003589400, 0.0262403000, 0.0971367000, 0.2386578000, 0.4962242000, 0.9470810000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0818341000, 0.0867306000, 0.1004277000, 0.1386571000, 0.2455902000, 0.5505721000, 1.4286211000", \
+                        "0.0846807000, 0.0894617000, 0.1032309000, 0.1417232000, 0.2495788000, 0.5553151000, 1.4350769000", \
+                        "0.0937343000, 0.0986598000, 0.1127356000, 0.1513680000, 0.2598005000, 0.5661975000, 1.4453764000", \
+                        "0.1221416000, 0.1268239000, 0.1401532000, 0.1785294000, 0.2859888000, 0.5936474000, 1.4735303000", \
+                        "0.1870806000, 0.1928977000, 0.2079373000, 0.2469413000, 0.3513157000, 0.6607772000, 1.5411797000", \
+                        "0.2931430000, 0.3021253000, 0.3253662000, 0.3841138000, 0.5130201000, 0.8160209000, 1.6963490000", \
+                        "0.4714806000, 0.4841497000, 0.5203987000, 0.6081065000, 0.8046320000, 1.1918719000, 2.0601643000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0271302000, 0.0291179000, 0.0348428000, 0.0514067000, 0.0990824000, 0.2364862000, 0.6343001000", \
+                        "0.0270728000, 0.0290501000, 0.0348112000, 0.0513865000, 0.0989437000, 0.2361088000, 0.6341374000", \
+                        "0.0319334000, 0.0334337000, 0.0378968000, 0.0524807000, 0.0990795000, 0.2361028000, 0.6343665000", \
+                        "0.0467995000, 0.0484578000, 0.0534186000, 0.0659123000, 0.1044442000, 0.2362197000, 0.6341406000", \
+                        "0.0759947000, 0.0779900000, 0.0836371000, 0.0993737000, 0.1385738000, 0.2490606000, 0.6337847000", \
+                        "0.1286285000, 0.1314959000, 0.1395542000, 0.1611897000, 0.2128991000, 0.3278816000, 0.6554654000", \
+                        "0.2214663000, 0.2251100000, 0.2379311000, 0.2688567000, 0.3448621000, 0.5052693000, 0.8229272000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0761138000, 0.0821411000, 0.0993721000, 0.1496950000, 0.2937522000, 0.7106578000, 1.9181785000", \
+                        "0.0759807000, 0.0820037000, 0.0994890000, 0.1494486000, 0.2938463000, 0.7099293000, 1.9193587000", \
+                        "0.0755900000, 0.0817584000, 0.0991945000, 0.1492965000, 0.2936813000, 0.7096602000, 1.9119042000", \
+                        "0.0766757000, 0.0821098000, 0.0984037000, 0.1488819000, 0.2935907000, 0.7105493000, 1.9130819000", \
+                        "0.1027698000, 0.1077609000, 0.1204742000, 0.1609890000, 0.2943774000, 0.7121881000, 1.9127455000", \
+                        "0.1516066000, 0.1588885000, 0.1785447000, 0.2270490000, 0.3396299000, 0.7117802000, 1.9121646000", \
+                        "0.2340882000, 0.2450353000, 0.2752829000, 0.3475464000, 0.4984683000, 0.8203409000, 1.9191428000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0286168000, 0.0302352000, 0.0346828000, 0.0470228000, 0.0814442000, 0.1805408000, 0.4665177000", \
+                        "0.0327393000, 0.0343488000, 0.0388934000, 0.0514307000, 0.0861342000, 0.1853438000, 0.4713586000", \
+                        "0.0404568000, 0.0422719000, 0.0472108000, 0.0601012000, 0.0950977000, 0.1944753000, 0.4806038000", \
+                        "0.0510488000, 0.0535689000, 0.0601617000, 0.0767240000, 0.1144013000, 0.2144196000, 0.5010608000", \
+                        "0.0608858000, 0.0648255000, 0.0754091000, 0.0992794000, 0.1505566000, 0.2594478000, 0.5467063000", \
+                        "0.0578355000, 0.0642254000, 0.0806415000, 0.1190568000, 0.1975495000, 0.3450590000, 0.6504912000", \
+                        "0.0107234000, 0.0209699000, 0.0476450000, 0.1098294000, 0.2351581000, 0.4604083000, 0.8599036000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.1082230000, 0.1128985000, 0.1260021000, 0.1629210000, 0.2691893000, 0.5723120000, 1.4489692000", \
+                        "0.1111734000, 0.1157078000, 0.1291604000, 0.1663824000, 0.2728969000, 0.5763287000, 1.4512963000", \
+                        "0.1213297000, 0.1257096000, 0.1390407000, 0.1768964000, 0.2839203000, 0.5877378000, 1.4631052000", \
+                        "0.1481713000, 0.1531951000, 0.1650106000, 0.2029967000, 0.3101978000, 0.6147316000, 1.4891648000", \
+                        "0.2159227000, 0.2207934000, 0.2340453000, 0.2708777000, 0.3760712000, 0.6806518000, 1.5560234000", \
+                        "0.3354750000, 0.3426383000, 0.3623801000, 0.4140233000, 0.5349186000, 0.8361730000, 1.7102157000", \
+                        "0.5304325000, 0.5410480000, 0.5707648000, 0.6471319000, 0.8287259000, 1.1998541000, 2.0650193000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0271085000, 0.0290808000, 0.0348123000, 0.0513670000, 0.0989957000, 0.2360353000, 0.6343288000", \
+                        "0.0271474000, 0.0291171000, 0.0348236000, 0.0513541000, 0.0990049000, 0.2361856000, 0.6340432000", \
+                        "0.0292271000, 0.0309813000, 0.0361743000, 0.0518462000, 0.0990294000, 0.2363313000, 0.6337351000", \
+                        "0.0392879000, 0.0408697000, 0.0455711000, 0.0592449000, 0.1019316000, 0.2360102000, 0.6335341000", \
+                        "0.0617096000, 0.0635309000, 0.0685524000, 0.0827801000, 0.1229871000, 0.2438975000, 0.6335307000", \
+                        "0.1064480000, 0.1087860000, 0.1150951000, 0.1329393000, 0.1779336000, 0.2949763000, 0.6493002000", \
+                        "0.1924056000, 0.1948004000, 0.2029130000, 0.2260323000, 0.2856071000, 0.4205707000, 0.7542081000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
+                    values("0.0993889000, 0.1051368000, 0.1229124000, 0.1723519000, 0.3160406000, 0.7294441000, 1.9306530000", \
+                        "0.0990583000, 0.1051396000, 0.1224240000, 0.1724492000, 0.3161502000, 0.7304130000, 1.9322841000", \
+                        "0.0992892000, 0.1050649000, 0.1226380000, 0.1723956000, 0.3163194000, 0.7298038000, 1.9323505000", \
+                        "0.0983218000, 0.1043340000, 0.1215959000, 0.1721562000, 0.3169159000, 0.7295715000, 1.9249191000", \
+                        "0.1174946000, 0.1222487000, 0.1366366000, 0.1801790000, 0.3164289000, 0.7307575000, 1.9295123000", \
+                        "0.1700676000, 0.1770157000, 0.1965383000, 0.2435186000, 0.3573708000, 0.7333417000, 1.9313051000", \
+                        "0.2592366000, 0.2695661000, 0.2966724000, 0.3631665000, 0.5132976000, 0.8368187000, 1.9330302000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2o_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2o_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2o_4") {
+        leakage_power () {
+            value : 0.0076036000;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076022000;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0047386000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076036000;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0074979000;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0068503000;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0063325000;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0078283000;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0077883000;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0071407000;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0066229000;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0081189000;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0062568000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0056092000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0050914000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0065873000;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2o";
+        cell_leakage_power : 0.0068295290;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0049000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046580000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0278574000, 0.0276228000, 0.0270821000, 0.0271445000, 0.0272884000, 0.0276201000, 0.0283845000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0053596000, 0.0051463000, 0.0046544000, 0.0048403000, 0.0052688000, 0.0062565000, 0.0085333000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0051410000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0044270000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041430000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0230574000, 0.0227685000, 0.0221024000, 0.0223078000, 0.0227814000, 0.0238730000, 0.0263892000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0020278000, 0.0018581000, 0.0014670000, 0.0016478000, 0.0020646000, 0.0030254000, 0.0052399000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047100000;
+        }
+        pin ("B1") {
+            capacitance : 0.0047680000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045350000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082890000, 0.0082899000, 0.0082921000, 0.0082953000, 0.0083028000, 0.0083200000, 0.0083597000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008313200, -0.008301800, -0.008275700, -0.008277400, -0.008281400, -0.008290600, -0.008311800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050020000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043600000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075709000, 0.0075693000, 0.0075654000, 0.0075631000, 0.0075579000, 0.0075459000, 0.0075181000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007572500, -0.007564000, -0.007544600, -0.007541500, -0.007534600, -0.007518600, -0.007481900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045390000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(B1&B2) | (!A1_N&!A2_N)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0323165000, 0.0305573000, 0.0254494000, 0.0107493000, -0.040389500, -0.213909800, -0.770061800", \
+                        "0.0321204000, 0.0306888000, 0.0254917000, 0.0108620000, -0.040288900, -0.214075000, -0.770238300", \
+                        "0.0322610000, 0.0305085000, 0.0254340000, 0.0107101000, -0.040388100, -0.213945000, -0.770213700", \
+                        "0.0314792000, 0.0298244000, 0.0246320000, 0.0099746000, -0.041052500, -0.214791600, -0.770956700", \
+                        "0.0309119000, 0.0292581000, 0.0241147000, 0.0093729000, -0.041694000, -0.215461100, -0.771551100", \
+                        "0.0366395000, 0.0349030000, 0.0295632000, 0.0127515000, -0.041983000, -0.215972800, -0.772086800", \
+                        "0.0378807000, 0.0361480000, 0.0309127000, 0.0139949000, -0.040290300, -0.215284500, -0.771912200");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0302697000, 0.0322524000, 0.0384436000, 0.0570356000, 0.1132173000, 0.2879976000, 0.8402169000", \
+                        "0.0300439000, 0.0320358000, 0.0382543000, 0.0568404000, 0.1129866000, 0.2876188000, 0.8357736000", \
+                        "0.0301627000, 0.0321360000, 0.0383539000, 0.0569477000, 0.1130572000, 0.2876874000, 0.8359631000", \
+                        "0.0297729000, 0.0317448000, 0.0379566000, 0.0565822000, 0.1126505000, 0.2872521000, 0.8357990000", \
+                        "0.0291298000, 0.0310985000, 0.0373014000, 0.0558740000, 0.1119945000, 0.2866992000, 0.8350848000", \
+                        "0.0281262000, 0.0299588000, 0.0356076000, 0.0549394000, 0.1109248000, 0.2856672000, 0.8340111000", \
+                        "0.0293831000, 0.0312189000, 0.0370126000, 0.0551023000, 0.1113418000, 0.2868924000, 0.8360326000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0329228000, 0.0311826000, 0.0260438000, 0.0114195000, -0.039646600, -0.213383200, -0.769864800", \
+                        "0.0330128000, 0.0313357000, 0.0262189000, 0.0115946000, -0.039561900, -0.213461800, -0.769781600", \
+                        "0.0328731000, 0.0310996000, 0.0261315000, 0.0113556000, -0.039655400, -0.213413100, -0.769925300", \
+                        "0.0320358000, 0.0302668000, 0.0251452000, 0.0105192000, -0.040631400, -0.214228000, -0.770647100", \
+                        "0.0311774000, 0.0295234000, 0.0243369000, 0.0097811000, -0.041346100, -0.215129400, -0.771397000", \
+                        "0.0370681000, 0.0353011000, 0.0299705000, 0.0130435000, -0.041493700, -0.215720300, -0.772033300", \
+                        "0.0383221000, 0.0366337000, 0.0314170000, 0.0144458000, -0.040084000, -0.214698200, -0.771550400");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0296997000, 0.0316812000, 0.0378923000, 0.0564860000, 0.1125915000, 0.2872007000, 0.8351577000", \
+                        "0.0294611000, 0.0314483000, 0.0376442000, 0.0562531000, 0.1124456000, 0.2870244000, 0.8391289000", \
+                        "0.0295965000, 0.0315860000, 0.0377944000, 0.0564027000, 0.1125696000, 0.2872508000, 0.8355389000", \
+                        "0.0290149000, 0.0309834000, 0.0371948000, 0.0557828000, 0.1119185000, 0.2865432000, 0.8346786000", \
+                        "0.0282360000, 0.0302220000, 0.0364318000, 0.0550049000, 0.1110828000, 0.2857607000, 0.8338320000", \
+                        "0.0276289000, 0.0294400000, 0.0351152000, 0.0542422000, 0.1103715000, 0.2848539000, 0.8342153000", \
+                        "0.0291957000, 0.0311059000, 0.0367890000, 0.0548255000, 0.1107809000, 0.2859858000, 0.8354000000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0351194000, 0.0333439000, 0.0283012000, 0.0135748000, -0.037599700, -0.211312100, -0.767726900", \
+                        "0.0349394000, 0.0332931000, 0.0280223000, 0.0133685000, -0.037760800, -0.211604500, -0.768227100", \
+                        "0.0346374000, 0.0328901000, 0.0277233000, 0.0130711000, -0.038061400, -0.211902600, -0.768478300", \
+                        "0.0343079000, 0.0326521000, 0.0275306000, 0.0127341000, -0.038341200, -0.212226800, -0.768709600", \
+                        "0.0340671000, 0.0323479000, 0.0271497000, 0.0123674000, -0.038891900, -0.212764300, -0.769032500", \
+                        "0.0331015000, 0.0313257000, 0.0259739000, 0.0114010000, -0.039502000, -0.212877300, -0.769138100", \
+                        "0.0414386000, 0.0394852000, 0.0336185000, 0.0160219000, -0.038933000, -0.213276200, -0.768919800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0345718000, 0.0364822000, 0.0425960000, 0.0610973000, 0.1176301000, 0.2928181000, 0.8442256000", \
+                        "0.0342924000, 0.0362255000, 0.0422004000, 0.0608859000, 0.1174591000, 0.2927641000, 0.8435968000", \
+                        "0.0339279000, 0.0358574000, 0.0419247000, 0.0605120000, 0.1170625000, 0.2921882000, 0.8436940000", \
+                        "0.0336661000, 0.0355668000, 0.0414538000, 0.0599095000, 0.1164864000, 0.2917010000, 0.8431427000", \
+                        "0.0333716000, 0.0353475000, 0.0412681000, 0.0594459000, 0.1156060000, 0.2911183000, 0.8420561000", \
+                        "0.0344605000, 0.0362440000, 0.0419673000, 0.0594305000, 0.1150235000, 0.2896430000, 0.8421426000", \
+                        "0.0364945000, 0.0381598000, 0.0437974000, 0.0614359000, 0.1168876000, 0.2918859000, 0.8409365000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0298508000, 0.0282418000, 0.0231228000, 0.0085247000, -0.042607500, -0.216574600, -0.773317600", \
+                        "0.0294205000, 0.0279571000, 0.0227780000, 0.0083196000, -0.042854400, -0.216978200, -0.773584800", \
+                        "0.0291404000, 0.0275188000, 0.0224035000, 0.0078045000, -0.043328500, -0.217411500, -0.774013200", \
+                        "0.0286944000, 0.0270479000, 0.0219157000, 0.0072164000, -0.043925100, -0.217875100, -0.774458300", \
+                        "0.0284434000, 0.0267870000, 0.0216217000, 0.0067385000, -0.044476200, -0.218331200, -0.774921600", \
+                        "0.0286302000, 0.0267110000, 0.0214447000, 0.0057924000, -0.044888100, -0.218556100, -0.774927000", \
+                        "0.0358400000, 0.0338557000, 0.0280113000, 0.0104445000, -0.044370100, -0.218827300, -0.774650000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
+                    values("0.0339403000, 0.0358929000, 0.0419667000, 0.0604432000, 0.1170041000, 0.2919003000, 0.8439185000", \
+                        "0.0336213000, 0.0355477000, 0.0416143000, 0.0602418000, 0.1168625000, 0.2921273000, 0.8427336000", \
+                        "0.0333217000, 0.0352559000, 0.0413260000, 0.0599084000, 0.1164473000, 0.2916929000, 0.8433081000", \
+                        "0.0331914000, 0.0350902000, 0.0409995000, 0.0593003000, 0.1157805000, 0.2910394000, 0.8426510000", \
+                        "0.0330275000, 0.0348660000, 0.0408315000, 0.0586907000, 0.1150819000, 0.2905472000, 0.8413806000", \
+                        "0.0345343000, 0.0363097000, 0.0418899000, 0.0596473000, 0.1144322000, 0.2894923000, 0.8414125000", \
+                        "0.0370730000, 0.0387354000, 0.0442726000, 0.0615688000, 0.1172539000, 0.2918811000, 0.8409611000");
+                }
+            }
+            max_capacitance : 0.5026340000;
+            max_transition : 1.5045660000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1812610000, 0.1852033000, 0.1952684000, 0.2175679000, 0.2638536000, 0.3705279000, 0.6698899000", \
+                        "0.1858589000, 0.1897823000, 0.1998833000, 0.2222378000, 0.2686923000, 0.3753406000, 0.6750271000", \
+                        "0.1962532000, 0.2001951000, 0.2102637000, 0.2325911000, 0.2788858000, 0.3855981000, 0.6848581000", \
+                        "0.2139762000, 0.2179139000, 0.2279842000, 0.2501680000, 0.2967764000, 0.4034162000, 0.7032850000", \
+                        "0.2347944000, 0.2386233000, 0.2485787000, 0.2708004000, 0.3172033000, 0.4242022000, 0.7234984000", \
+                        "0.2528099000, 0.2567236000, 0.2667510000, 0.2890031000, 0.3355522000, 0.4421618000, 0.7417389000", \
+                        "0.2441395000, 0.2480549000, 0.2581288000, 0.2803808000, 0.3269595000, 0.4338373000, 0.7336718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1824226000, 0.1860255000, 0.1956822000, 0.2213225000, 0.2947436000, 0.5205480000, 1.2368203000", \
+                        "0.1867734000, 0.1903485000, 0.2000419000, 0.2256768000, 0.2991186000, 0.5247163000, 1.2371056000", \
+                        "0.1990939000, 0.2026934000, 0.2123735000, 0.2380258000, 0.3115148000, 0.5372138000, 1.2494737000", \
+                        "0.2264985000, 0.2300219000, 0.2397595000, 0.2653641000, 0.3388854000, 0.5646577000, 1.2771347000", \
+                        "0.2841544000, 0.2877657000, 0.2974600000, 0.3231024000, 0.3965533000, 0.6222400000, 1.3348850000", \
+                        "0.3865151000, 0.3901513000, 0.4000870000, 0.4259103000, 0.4993993000, 0.7254375000, 1.4385320000", \
+                        "0.5620023000, 0.5660107000, 0.5763886000, 0.6025792000, 0.6765027000, 0.9025882000, 1.6156780000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272758000, 0.0296727000, 0.0360078000, 0.0508259000, 0.0910198000, 0.2086603000, 0.6017411000", \
+                        "0.0275356000, 0.0295664000, 0.0357445000, 0.0510662000, 0.0910329000, 0.2084289000, 0.6008078000", \
+                        "0.0272592000, 0.0296384000, 0.0358992000, 0.0509376000, 0.0910643000, 0.2086215000, 0.5988084000", \
+                        "0.0272169000, 0.0295094000, 0.0356818000, 0.0516760000, 0.0910885000, 0.2086468000, 0.6010752000", \
+                        "0.0271890000, 0.0295709000, 0.0360172000, 0.0508740000, 0.0911764000, 0.2086268000, 0.5982201000", \
+                        "0.0272823000, 0.0295988000, 0.0357263000, 0.0508421000, 0.0908837000, 0.2076126000, 0.6015757000", \
+                        "0.0274010000, 0.0296989000, 0.0363008000, 0.0513626000, 0.0910893000, 0.2087313000, 0.6007771000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0202336000, 0.0232279000, 0.0324526000, 0.0624682000, 0.1616113000, 0.4827844000, 1.5032696000", \
+                        "0.0202150000, 0.0231697000, 0.0324161000, 0.0624597000, 0.1617578000, 0.4827989000, 1.5000770000", \
+                        "0.0202620000, 0.0232406000, 0.0324581000, 0.0623352000, 0.1619234000, 0.4826979000, 1.5004742000", \
+                        "0.0202755000, 0.0232055000, 0.0324603000, 0.0623458000, 0.1620417000, 0.4825131000, 1.5020135000", \
+                        "0.0204634000, 0.0234344000, 0.0326253000, 0.0624816000, 0.1620069000, 0.4828038000, 1.5017680000", \
+                        "0.0215738000, 0.0244295000, 0.0335427000, 0.0630982000, 0.1619973000, 0.4824850000, 1.4991157000", \
+                        "0.0240575000, 0.0268462000, 0.0355111000, 0.0642467000, 0.1631057000, 0.4822050000, 1.4986121000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1822825000, 0.1862167000, 0.1962834000, 0.2185939000, 0.2652104000, 0.3717345000, 0.6713780000", \
+                        "0.1868372000, 0.1907816000, 0.2008805000, 0.2232203000, 0.2697154000, 0.3765788000, 0.6766055000", \
+                        "0.1964574000, 0.2003889000, 0.2104753000, 0.2327846000, 0.2792445000, 0.3859301000, 0.6856138000", \
+                        "0.2113896000, 0.2153215000, 0.2253858000, 0.2477037000, 0.2941588000, 0.4010264000, 0.7011700000", \
+                        "0.2279148000, 0.2317978000, 0.2418286000, 0.2641193000, 0.3105803000, 0.4174112000, 0.7172130000", \
+                        "0.2369783000, 0.2408986000, 0.2509851000, 0.2732104000, 0.3196493000, 0.4268016000, 0.7269091000", \
+                        "0.2160743000, 0.2200039000, 0.2300966000, 0.2524422000, 0.2987782000, 0.4059462000, 0.7062007000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1655555000, 0.1691459000, 0.1788201000, 0.2044676000, 0.2779781000, 0.5038446000, 1.2159201000", \
+                        "0.1684302000, 0.1719607000, 0.1816799000, 0.2072486000, 0.2805023000, 0.5072162000, 1.2218718000", \
+                        "0.1786103000, 0.1821955000, 0.1918917000, 0.2175345000, 0.2909892000, 0.5165509000, 1.2292439000", \
+                        "0.2075203000, 0.2111209000, 0.2207970000, 0.2464456000, 0.3199261000, 0.5455973000, 1.2581258000", \
+                        "0.2728460000, 0.2764479000, 0.2861684000, 0.3118189000, 0.3852970000, 0.6110517000, 1.3235582000", \
+                        "0.3851472000, 0.3888103000, 0.3986720000, 0.4244023000, 0.4980326000, 0.7241714000, 1.4364657000", \
+                        "0.5752232000, 0.5792071000, 0.5896179000, 0.6158729000, 0.6895162000, 0.9157875000, 1.6282844000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272993000, 0.0296853000, 0.0358498000, 0.0509247000, 0.0904921000, 0.2088181000, 0.6016163000", \
+                        "0.0271602000, 0.0295058000, 0.0356824000, 0.0509440000, 0.0909749000, 0.2085710000, 0.6012919000", \
+                        "0.0272910000, 0.0296682000, 0.0359575000, 0.0510633000, 0.0909354000, 0.2088417000, 0.6013569000", \
+                        "0.0272873000, 0.0296464000, 0.0358130000, 0.0509477000, 0.0910013000, 0.2088441000, 0.6007365000", \
+                        "0.0273778000, 0.0296528000, 0.0356064000, 0.0512050000, 0.0911795000, 0.2087047000, 0.5999983000", \
+                        "0.0276008000, 0.0297946000, 0.0359221000, 0.0514218000, 0.0911216000, 0.2089825000, 0.6018152000", \
+                        "0.0277500000, 0.0301583000, 0.0360224000, 0.0514395000, 0.0912500000, 0.2091380000, 0.6015667000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0202436000, 0.0232260000, 0.0324498000, 0.0623287000, 0.1620334000, 0.4824611000, 1.4944298000", \
+                        "0.0201850000, 0.0231806000, 0.0324696000, 0.0622199000, 0.1619947000, 0.4824139000, 1.5022836000", \
+                        "0.0202346000, 0.0231790000, 0.0324096000, 0.0624588000, 0.1617336000, 0.4828315000, 1.5016131000", \
+                        "0.0202398000, 0.0232302000, 0.0324152000, 0.0624434000, 0.1618740000, 0.4827348000, 1.4998565000", \
+                        "0.0205044000, 0.0234190000, 0.0326399000, 0.0624228000, 0.1619002000, 0.4827233000, 1.4993775000", \
+                        "0.0216136000, 0.0245511000, 0.0335465000, 0.0629855000, 0.1626201000, 0.4812334000, 1.5018957000", \
+                        "0.0245481000, 0.0274302000, 0.0359098000, 0.0643876000, 0.1628658000, 0.4824696000, 1.4966000000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1832677000, 0.1871899000, 0.1972930000, 0.2195867000, 0.2657564000, 0.3723799000, 0.6715818000", \
+                        "0.1884592000, 0.1923844000, 0.2024134000, 0.2247268000, 0.2713312000, 0.3777033000, 0.6768715000", \
+                        "0.2012875000, 0.2051929000, 0.2152417000, 0.2375440000, 0.2841492000, 0.3905490000, 0.6896697000", \
+                        "0.2294062000, 0.2333433000, 0.2433886000, 0.2654468000, 0.3119119000, 0.4186300000, 0.7179067000", \
+                        "0.2899330000, 0.2938747000, 0.3039225000, 0.3262085000, 0.3727103000, 0.4795057000, 0.7791087000", \
+                        "0.4078901000, 0.4121949000, 0.4233002000, 0.4475785000, 0.4968193000, 0.6057806000, 0.9058223000", \
+                        "0.6075947000, 0.6129514000, 0.6263973000, 0.6557050000, 0.7122333000, 0.8294899000, 1.1332602000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0874570000, 0.0912668000, 0.1016947000, 0.1285348000, 0.2031202000, 0.4307015000, 1.1446318000", \
+                        "0.0916983000, 0.0955198000, 0.1058678000, 0.1328092000, 0.2074045000, 0.4346563000, 1.1491892000", \
+                        "0.1008996000, 0.1047587000, 0.1151176000, 0.1420274000, 0.2166610000, 0.4441100000, 1.1582209000", \
+                        "0.1216649000, 0.1254562000, 0.1357051000, 0.1624611000, 0.2368858000, 0.4644932000, 1.1809712000", \
+                        "0.1575424000, 0.1615903000, 0.1723703000, 0.1998444000, 0.2744607000, 0.5027982000, 1.2157336000", \
+                        "0.2028766000, 0.2076627000, 0.2199482000, 0.2488787000, 0.3240270000, 0.5515226000, 1.2681981000", \
+                        "0.2372363000, 0.2435110000, 0.2592370000, 0.2933702000, 0.3713470000, 0.5992233000, 1.3124750000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0272731000, 0.0296895000, 0.0357828000, 0.0507589000, 0.0911377000, 0.2086786000, 0.6013721000", \
+                        "0.0272286000, 0.0295815000, 0.0358464000, 0.0510711000, 0.0905280000, 0.2086257000, 0.6005728000", \
+                        "0.0273304000, 0.0297067000, 0.0358527000, 0.0510310000, 0.0904901000, 0.2086234000, 0.6006809000", \
+                        "0.0272012000, 0.0295235000, 0.0356983000, 0.0513976000, 0.0911446000, 0.2087204000, 0.5988943000", \
+                        "0.0275334000, 0.0296876000, 0.0357807000, 0.0510464000, 0.0906990000, 0.2086315000, 0.6002530000", \
+                        "0.0327861000, 0.0355581000, 0.0415285000, 0.0574785000, 0.0961118000, 0.2120244000, 0.6009643000", \
+                        "0.0447861000, 0.0476984000, 0.0550899000, 0.0715436000, 0.1110040000, 0.2233819000, 0.6017117000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0209540000, 0.0242483000, 0.0341076000, 0.0646292000, 0.1639472000, 0.4862745000, 1.5041085000", \
+                        "0.0208504000, 0.0242109000, 0.0341468000, 0.0645977000, 0.1639251000, 0.4859760000, 1.5042531000", \
+                        "0.0210096000, 0.0242758000, 0.0341581000, 0.0645560000, 0.1639896000, 0.4858194000, 1.5044034000", \
+                        "0.0210204000, 0.0243200000, 0.0343614000, 0.0647621000, 0.1641279000, 0.4854330000, 1.5042104000", \
+                        "0.0235496000, 0.0268917000, 0.0366359000, 0.0662944000, 0.1642557000, 0.4859042000, 1.5018760000", \
+                        "0.0295181000, 0.0327747000, 0.0423258000, 0.0708572000, 0.1661045000, 0.4838154000, 1.5042033000", \
+                        "0.0406088000, 0.0446235000, 0.0547955000, 0.0819446000, 0.1702493000, 0.4874301000, 1.5001632000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.1702127000, 0.1741091000, 0.1840822000, 0.2065363000, 0.2533614000, 0.3612158000, 0.6607177000", \
+                        "0.1750437000, 0.1788742000, 0.1888635000, 0.2113796000, 0.2583751000, 0.3660531000, 0.6657034000", \
+                        "0.1872954000, 0.1911535000, 0.2010708000, 0.2235583000, 0.2705599000, 0.3781002000, 0.6779639000", \
+                        "0.2150837000, 0.2189451000, 0.2289053000, 0.2512846000, 0.2981699000, 0.4060560000, 0.7057155000", \
+                        "0.2755499000, 0.2793739000, 0.2893368000, 0.3116957000, 0.3586855000, 0.4665607000, 0.7663435000", \
+                        "0.3909991000, 0.3953231000, 0.4063924000, 0.4309555000, 0.4812717000, 0.5917445000, 0.8927923000", \
+                        "0.5894414000, 0.5946347000, 0.6080097000, 0.6374939000, 0.6955500000, 0.8153180000, 1.1193858000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0822472000, 0.0860652000, 0.0964554000, 0.1233319000, 0.1979896000, 0.4249381000, 1.1394222000", \
+                        "0.0862175000, 0.0900196000, 0.1003956000, 0.1272997000, 0.2018228000, 0.4300120000, 1.1425511000", \
+                        "0.0962197000, 0.1000743000, 0.1104338000, 0.1373281000, 0.2119496000, 0.4394332000, 1.1537176000", \
+                        "0.1195205000, 0.1232833000, 0.1335707000, 0.1601844000, 0.2346224000, 0.4620599000, 1.1789925000", \
+                        "0.1555624000, 0.1595543000, 0.1703106000, 0.1974903000, 0.2719112000, 0.5006506000, 1.2134276000", \
+                        "0.1960956000, 0.2009849000, 0.2131793000, 0.2417964000, 0.3161753000, 0.5438435000, 1.2580605000", \
+                        "0.2173330000, 0.2237495000, 0.2398292000, 0.2739040000, 0.3508231000, 0.5774936000, 1.2917118000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0266137000, 0.0289259000, 0.0352627000, 0.0514061000, 0.0918973000, 0.2096584000, 0.6014580000", \
+                        "0.0264699000, 0.0288846000, 0.0352264000, 0.0511827000, 0.0920069000, 0.2097849000, 0.5986128000", \
+                        "0.0267024000, 0.0288242000, 0.0354694000, 0.0511405000, 0.0920107000, 0.2100717000, 0.5999802000", \
+                        "0.0266235000, 0.0289143000, 0.0353763000, 0.0511613000, 0.0920282000, 0.2101115000, 0.6011577000", \
+                        "0.0267299000, 0.0291147000, 0.0355821000, 0.0510656000, 0.0919617000, 0.2099276000, 0.6002916000", \
+                        "0.0324466000, 0.0349604000, 0.0422635000, 0.0583436000, 0.0976720000, 0.2138224000, 0.6013415000", \
+                        "0.0449421000, 0.0478249000, 0.0556585000, 0.0728937000, 0.1143831000, 0.2270420000, 0.6038857000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
+                    values("0.0208685000, 0.0242575000, 0.0341108000, 0.0645947000, 0.1641248000, 0.4846235000, 1.5045658000", \
+                        "0.0209097000, 0.0243180000, 0.0341864000, 0.0646124000, 0.1640981000, 0.4856112000, 1.5013609000", \
+                        "0.0209883000, 0.0242255000, 0.0341365000, 0.0645834000, 0.1638339000, 0.4858472000, 1.5044791000", \
+                        "0.0210857000, 0.0243931000, 0.0344188000, 0.0647935000, 0.1638821000, 0.4852158000, 1.5023398000", \
+                        "0.0239007000, 0.0271725000, 0.0367082000, 0.0666904000, 0.1645811000, 0.4855289000, 1.5005689000", \
+                        "0.0311271000, 0.0342270000, 0.0432145000, 0.0709573000, 0.1664819000, 0.4845334000, 1.5018551000", \
+                        "0.0435989000, 0.0474942000, 0.0573894000, 0.0833269000, 0.1704882000, 0.4876212000, 1.5008146000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a2bb2oi_1 */
+
+/* removed sky130_fd_sc_hd__a2bb2oi_2 */
+
+    cell ("sky130_fd_sc_hd__a2bb2oi_4") {
+        leakage_power () {
+            value : 0.0083212000;
+            when : "!A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0083169000;
+            when : "!A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0048861000;
+            when : "!A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0083212000;
+            when : "!A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0080573000;
+            when : "!A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0108887000;
+            when : "!A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0074817000;
+            when : "!A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0088884000;
+            when : "!A1_N&A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0068163000;
+            when : "A1_N&!A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0116828000;
+            when : "A1_N&!A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0062407000;
+            when : "A1_N&!A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076474000;
+            when : "A1_N&!A2_N&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044426000;
+            when : "A1_N&A2_N&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0073549000;
+            when : "A1_N&A2_N&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038670000;
+            when : "A1_N&A2_N&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052738000;
+            when : "A1_N&A2_N&B1&!B2";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
+        cell_leakage_power : 0.0074054400;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1_N") {
+            capacitance : 0.0087620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0556216000, 0.0551134000, 0.0539421000, 0.0540220000, 0.0542062000, 0.0546308000, 0.0556096000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0102057000, 0.0097930000, 0.0088418000, 0.0091615000, 0.0098984000, 0.0115970000, 0.0155127000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092290000;
+        }
+        pin ("A2_N") {
+            capacitance : 0.0087550000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080860000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0456988000, 0.0451550000, 0.0439016000, 0.0442933000, 0.0451960000, 0.0472768000, 0.0520733000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025406000, 0.0022623000, 0.0016208000, 0.0019459000, 0.0026952000, 0.0044224000, 0.0084035000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0094240000;
+        }
+        pin ("B1") {
+            capacitance : 0.0091800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162435000, 0.0162423000, 0.0162396000, 0.0162417000, 0.0162466000, 0.0162579000, 0.0162838000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.016243600, -0.016237200, -0.016222700, -0.016220000, -0.016213700, -0.016199500, -0.016166400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096330000;
+        }
+        pin ("B2") {
+            capacitance : 0.0085110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0150595000, 0.0150451000, 0.0150119000, 0.0150187000, 0.0150344000, 0.0150706000, 0.0151539000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015015300, -0.015015000, -0.015014300, -0.015018300, -0.015027700, -0.015049300, -0.015099000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088480000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0042095000, 0.0032138000, 0.0003528000, -0.008360100, -0.035893700, -0.118840300, -0.352577300", \
+                        "0.0038274000, 0.0028333000, -7.10000e-05, -0.008767700, -0.036248700, -0.119195100, -0.352896400", \
+                        "0.0042324000, 0.0031486000, 0.0003082000, -0.008358200, -0.035944900, -0.118870800, -0.352550700", \
+                        "0.0035245000, 0.0023801000, -0.000453500, -0.009236300, -0.036691700, -0.119593400, -0.353146500", \
+                        "0.0025703000, 0.0015154000, -0.001572100, -0.010197300, -0.037648800, -0.120360700, -0.353886600", \
+                        "0.0033758000, 0.0020357000, -0.001726400, -0.012135400, -0.039514200, -0.121181300, -0.354359100", \
+                        "0.0069495000, 0.0055306000, 0.0016169000, -0.008855200, -0.038479900, -0.121654200, -0.353513600");
+                }
+                related_pin : "A1_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0334924000, 0.0350264000, 0.0389766000, 0.0500108000, 0.0802687000, 0.1635932000, 0.3949988000", \
+                        "0.0335161000, 0.0349175000, 0.0389533000, 0.0499614000, 0.0801835000, 0.1635314000, 0.3945959000", \
+                        "0.0338304000, 0.0354048000, 0.0393652000, 0.0504722000, 0.0807662000, 0.1641805000, 0.3952607000", \
+                        "0.0324591000, 0.0338924000, 0.0379431000, 0.0490892000, 0.0794901000, 0.1630454000, 0.3940847000", \
+                        "0.0310210000, 0.0324964000, 0.0365645000, 0.0477882000, 0.0783073000, 0.1620062000, 0.3934014000", \
+                        "0.0316158000, 0.0330356000, 0.0367255000, 0.0473150000, 0.0780184000, 0.1616054000, 0.3927758000", \
+                        "0.0331312000, 0.0344679000, 0.0383731000, 0.0490924000, 0.0797538000, 0.1625968000, 0.3935406000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0041475000, 0.0030910000, 0.0002624000, -0.008401600, -0.035941800, -0.118858800, -0.352531500", \
+                        "0.0037101000, 0.0027330000, -0.000153200, -0.008791100, -0.036290800, -0.119205100, -0.352848200", \
+                        "0.0042600000, 0.0032083000, 0.0002947000, -0.008316600, -0.035910800, -0.118768000, -0.352411000", \
+                        "0.0031676000, 0.0020434000, -0.000943900, -0.009578700, -0.037127400, -0.119869500, -0.353404800", \
+                        "0.0023466000, 0.0012556000, -0.001802700, -0.010638500, -0.038113800, -0.120580500, -0.353997500", \
+                        "0.0048764000, 0.0034060000, -0.000580600, -0.010818000, -0.039116600, -0.120470000, -0.353611300", \
+                        "0.0071417000, 0.0056644000, 0.0016576000, -0.009093000, -0.038468800, -0.121886700, -0.353522500");
+                }
+                related_pin : "A2_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0353345000, 0.0369507000, 0.0410513000, 0.0523849000, 0.0828736000, 0.1664484000, 0.3976297000", \
+                        "0.0352438000, 0.0368562000, 0.0410291000, 0.0524049000, 0.0829573000, 0.1666217000, 0.3978114000", \
+                        "0.0349204000, 0.0364354000, 0.0405986000, 0.0520067000, 0.0828839000, 0.1666353000, 0.3976491000", \
+                        "0.0331782000, 0.0346729000, 0.0387868000, 0.0501771000, 0.0811024000, 0.1651131000, 0.3964303000", \
+                        "0.0313580000, 0.0328417000, 0.0369804000, 0.0482078000, 0.0792116000, 0.1636365000, 0.3950165000", \
+                        "0.0314669000, 0.0329266000, 0.0369969000, 0.0478610000, 0.0783138000, 0.1624937000, 0.3946137000", \
+                        "0.0336754000, 0.0354174000, 0.0390921000, 0.0503073000, 0.0806163000, 0.1635643000, 0.3955040000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0188025000, 0.0173796000, 0.0134668000, 0.0025939000, -0.027609900, -0.111751800, -0.345486200", \
+                        "0.0182571000, 0.0168573000, 0.0129470000, 0.0021249000, -0.028011200, -0.112158300, -0.345777500", \
+                        "0.0175590000, 0.0161776000, 0.0123099000, 0.0015139000, -0.028498000, -0.112509200, -0.346171700", \
+                        "0.0166388000, 0.0152812000, 0.0114281000, 0.0008765000, -0.029033900, -0.112873000, -0.346419500", \
+                        "0.0164281000, 0.0150023000, 0.0110539000, 0.0002002000, -0.029596000, -0.113063700, -0.346514200", \
+                        "0.0173950000, 0.0159458000, 0.0119028000, 0.0009335000, -0.029243700, -0.113776900, -0.346834900", \
+                        "0.0204892000, 0.0190201000, 0.0149147000, 0.0035289000, -0.027429000, -0.112413000, -0.346700800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0389041000, 0.0403591000, 0.0444189000, 0.0553943000, 0.0857182000, 0.1693192000, 0.4005907000", \
+                        "0.0382415000, 0.0396794000, 0.0437150000, 0.0549191000, 0.0853324000, 0.1691159000, 0.4000705000", \
+                        "0.0374959000, 0.0389422000, 0.0430293000, 0.0542859000, 0.0848740000, 0.1686979000, 0.4002774000", \
+                        "0.0369872000, 0.0384263000, 0.0425134000, 0.0536420000, 0.0842719000, 0.1682973000, 0.3996023000", \
+                        "0.0365922000, 0.0380343000, 0.0420177000, 0.0530429000, 0.0835653000, 0.1674131000, 0.3992191000", \
+                        "0.0363929000, 0.0378403000, 0.0418723000, 0.0530163000, 0.0835863000, 0.1674232000, 0.3986968000", \
+                        "0.0367316000, 0.0381439000, 0.0419625000, 0.0526621000, 0.0836553000, 0.1677354000, 0.3994072000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0179066000, 0.0164941000, 0.0125875000, 0.0016944000, -0.028449400, -0.112538900, -0.346421500", \
+                        "0.0173742000, 0.0159766000, 0.0120969000, 0.0012751000, -0.028801200, -0.112963200, -0.346683000", \
+                        "0.0166598000, 0.0152741000, 0.0114746000, 0.0007173000, -0.029328600, -0.113226200, -0.347041400", \
+                        "0.0156538000, 0.0143115000, 0.0105567000, -5.90000e-06, -0.029833300, -0.113619400, -0.347189200", \
+                        "0.0159089000, 0.0145385000, 0.0107553000, -8.77000e-05, -0.029957700, -0.113621700, -0.347191900", \
+                        "0.0175552000, 0.0161034000, 0.0121141000, 0.0006538000, -0.029623400, -0.113753200, -0.347285100", \
+                        "0.0223660000, 0.0207969000, 0.0165358000, 0.0049942000, -0.025915400, -0.110834900, -0.346256800");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
+                    values("0.0271960000, 0.0288229000, 0.0330934000, 0.0444360000, 0.0749256000, 0.1585417000, 0.3897718000", \
+                        "0.0263053000, 0.0279172000, 0.0322151000, 0.0438625000, 0.0745589000, 0.1584570000, 0.3898368000", \
+                        "0.0255591000, 0.0271530000, 0.0313318000, 0.0428018000, 0.0739841000, 0.1586611000, 0.3930156000", \
+                        "0.0251669000, 0.0266493000, 0.0306990000, 0.0420717000, 0.0728006000, 0.1575359000, 0.3892952000", \
+                        "0.0247442000, 0.0262193000, 0.0302501000, 0.0412850000, 0.0719877000, 0.1562817000, 0.3884458000", \
+                        "0.0246407000, 0.0260757000, 0.0300558000, 0.0412428000, 0.0719633000, 0.1560336000, 0.3875943000", \
+                        "0.0251487000, 0.0264818000, 0.0300989000, 0.0407907000, 0.0717313000, 0.1561475000, 0.3877962000");
+                }
+            }
+            max_capacitance : 0.2261450000;
+            max_transition : 1.4947280000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.1354253000, 0.1378961000, 0.1438628000, 0.1572142000, 0.1846833000, 0.2430794000, 0.3822626000", \
+                        "0.1393996000, 0.1416599000, 0.1479257000, 0.1611871000, 0.1886811000, 0.2471217000, 0.3863370000", \
+                        "0.1514291000, 0.1539181000, 0.1596362000, 0.1730668000, 0.2006474000, 0.2590816000, 0.3983149000", \
+                        "0.1788453000, 0.1813406000, 0.1871256000, 0.2003458000, 0.2279930000, 0.2862846000, 0.4257093000", \
+                        "0.2349268000, 0.2373393000, 0.2435162000, 0.2571300000, 0.2852441000, 0.3440507000, 0.4834779000", \
+                        "0.3328479000, 0.3356704000, 0.3426917000, 0.3578970000, 0.3888999000, 0.4517941000, 0.5944993000", \
+                        "0.4994719000, 0.5029067000, 0.5112846000, 0.5302941000, 0.5670820000, 0.6374808000, 0.7851451000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0947069000, 0.0989362000, 0.1095689000, 0.1393114000, 0.2197788000, 0.4411359000, 1.0542964000", \
+                        "0.0993493000, 0.1032951000, 0.1144162000, 0.1441753000, 0.2245696000, 0.4459459000, 1.0598052000", \
+                        "0.1099726000, 0.1143020000, 0.1250314000, 0.1550168000, 0.2357708000, 0.4571896000, 1.0699384000", \
+                        "0.1293784000, 0.1333044000, 0.1444736000, 0.1742728000, 0.2553444000, 0.4769940000, 1.0899719000", \
+                        "0.1521973000, 0.1561657000, 0.1672431000, 0.1975182000, 0.2790005000, 0.5008583000, 1.1138149000", \
+                        "0.1730955000, 0.1770984000, 0.1879411000, 0.2174145000, 0.2988352000, 0.5213879000, 1.1343501000", \
+                        "0.1667251000, 0.1701478000, 0.1812752000, 0.2106847000, 0.2915621000, 0.5143921000, 1.1287483000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0341067000, 0.0351732000, 0.0381042000, 0.0466836000, 0.0701567000, 0.1328159000, 0.3111436000", \
+                        "0.0341671000, 0.0351510000, 0.0381613000, 0.0467479000, 0.0701247000, 0.1330306000, 0.3110475000", \
+                        "0.0341147000, 0.0351506000, 0.0381415000, 0.0466071000, 0.0701583000, 0.1330106000, 0.3110454000", \
+                        "0.0341435000, 0.0351754000, 0.0381169000, 0.0467746000, 0.0700864000, 0.1326463000, 0.3109394000", \
+                        "0.0365273000, 0.0374604000, 0.0402510000, 0.0485788000, 0.0713470000, 0.1334548000, 0.3109187000", \
+                        "0.0436890000, 0.0446433000, 0.0474539000, 0.0558847000, 0.0790901000, 0.1407304000, 0.3150951000", \
+                        "0.0593999000, 0.0602694000, 0.0630755000, 0.0715482000, 0.0943359000, 0.1533546000, 0.3224956000");
+                }
+                related_pin : "A1_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0613919000, 0.0667214000, 0.0813321000, 0.1216061000, 0.2319784000, 0.5350451000, 1.3766649000", \
+                        "0.0614467000, 0.0666581000, 0.0813963000, 0.1214246000, 0.2315334000, 0.5356692000, 1.3796920000", \
+                        "0.0613849000, 0.0667104000, 0.0813282000, 0.1216071000, 0.2318740000, 0.5354455000, 1.3769286000", \
+                        "0.0614498000, 0.0666385000, 0.0813133000, 0.1214349000, 0.2316130000, 0.5367731000, 1.3794761000", \
+                        "0.0615396000, 0.0669005000, 0.0814815000, 0.1223547000, 0.2320890000, 0.5364329000, 1.3774069000", \
+                        "0.0623876000, 0.0676332000, 0.0820325000, 0.1220798000, 0.2326222000, 0.5358224000, 1.3792185000", \
+                        "0.0674961000, 0.0726155000, 0.0859048000, 0.1237570000, 0.2328732000, 0.5364806000, 1.3764330000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.1131290000, 0.1156881000, 0.1216988000, 0.1350429000, 0.1624224000, 0.2207372000, 0.3601275000", \
+                        "0.1157843000, 0.1181749000, 0.1241559000, 0.1375062000, 0.1651635000, 0.2236500000, 0.3628974000", \
+                        "0.1262453000, 0.1286670000, 0.1346483000, 0.1477774000, 0.1753955000, 0.2336683000, 0.3730196000", \
+                        "0.1541685000, 0.1565537000, 0.1625054000, 0.1756649000, 0.2034929000, 0.2617129000, 0.4010846000", \
+                        "0.2181547000, 0.2206477000, 0.2263781000, 0.2401365000, 0.2683724000, 0.3274165000, 0.4670324000", \
+                        "0.3234562000, 0.3265004000, 0.3338487000, 0.3494389000, 0.3802113000, 0.4420172000, 0.5854506000", \
+                        "0.4975127000, 0.5012540000, 0.5105069000, 0.5302769000, 0.5675434000, 0.6349522000, 0.7787422000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0919634000, 0.0962175000, 0.1076468000, 0.1382918000, 0.2199534000, 0.4421294000, 1.0557752000", \
+                        "0.0963274000, 0.1007890000, 0.1121730000, 0.1428790000, 0.2245953000, 0.4468880000, 1.0609152000", \
+                        "0.1058959000, 0.1100450000, 0.1217226000, 0.1525565000, 0.2346388000, 0.4575244000, 1.0712974000", \
+                        "0.1208086000, 0.1249363000, 0.1362545000, 0.1670394000, 0.2495069000, 0.4732882000, 1.0871862000", \
+                        "0.1373971000, 0.1414660000, 0.1528766000, 0.1831972000, 0.2661202000, 0.4901287000, 1.1045654000", \
+                        "0.1490993000, 0.1530869000, 0.1644893000, 0.1947166000, 0.2765827000, 0.5013285000, 1.1165103000", \
+                        "0.1317365000, 0.1363246000, 0.1475012000, 0.1774374000, 0.2580933000, 0.4816316000, 1.0974548000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0341349000, 0.0351650000, 0.0381009000, 0.0467041000, 0.0701748000, 0.1332363000, 0.3110052000", \
+                        "0.0341689000, 0.0351415000, 0.0381052000, 0.0467964000, 0.0700973000, 0.1330613000, 0.3110981000", \
+                        "0.0341625000, 0.0351374000, 0.0380619000, 0.0467039000, 0.0702608000, 0.1330032000, 0.3109573000", \
+                        "0.0342415000, 0.0352451000, 0.0381418000, 0.0467430000, 0.0701832000, 0.1330705000, 0.3111684000", \
+                        "0.0378875000, 0.0387503000, 0.0414806000, 0.0495486000, 0.0720735000, 0.1338805000, 0.3109662000", \
+                        "0.0502751000, 0.0508958000, 0.0529525000, 0.0599086000, 0.0799767000, 0.1405512000, 0.3162982000", \
+                        "0.0693419000, 0.0700433000, 0.0723043000, 0.0791631000, 0.0982680000, 0.1519491000, 0.3209057000");
+                }
+                related_pin : "A2_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0612121000, 0.0665552000, 0.0810459000, 0.1211998000, 0.2315833000, 0.5356587000, 1.3789050000", \
+                        "0.0611916000, 0.0665441000, 0.0811913000, 0.1214014000, 0.2314234000, 0.5363079000, 1.3760312000", \
+                        "0.0610908000, 0.0664464000, 0.0810310000, 0.1212036000, 0.2313912000, 0.5363706000, 1.3751150000", \
+                        "0.0611997000, 0.0665609000, 0.0810538000, 0.1212632000, 0.2315082000, 0.5367613000, 1.3757562000", \
+                        "0.0614501000, 0.0667182000, 0.0813584000, 0.1219739000, 0.2318873000, 0.5357507000, 1.3749879000", \
+                        "0.0628413000, 0.0679845000, 0.0820518000, 0.1219996000, 0.2322420000, 0.5359075000, 1.3786227000", \
+                        "0.0708850000, 0.0751917000, 0.0881568000, 0.1250186000, 0.2332185000, 0.5365755000, 1.3760160000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0311282000, 0.0327288000, 0.0368553000, 0.0475018000, 0.0746965000, 0.1464447000, 0.3414915000", \
+                        "0.0352322000, 0.0368097000, 0.0409928000, 0.0516624000, 0.0787714000, 0.1505795000, 0.3457818000", \
+                        "0.0440487000, 0.0456668000, 0.0498332000, 0.0603915000, 0.0875955000, 0.1593170000, 0.3544554000", \
+                        "0.0586347000, 0.0605974000, 0.0657491000, 0.0786288000, 0.1075242000, 0.1797699000, 0.3751837000", \
+                        "0.0764732000, 0.0794898000, 0.0869956000, 0.1046666000, 0.1434831000, 0.2253637000, 0.4221830000", \
+                        "0.0867694000, 0.0913273000, 0.1030544000, 0.1310407000, 0.1906432000, 0.3044813000, 0.5294937000", \
+                        "0.0631228000, 0.0702413000, 0.0891477000, 0.1328587000, 0.2269964000, 0.4039412000, 0.7166283000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0958201000, 0.0999465000, 0.1112395000, 0.1411028000, 0.2221349000, 0.4442295000, 1.0577740000", \
+                        "0.1004321000, 0.1044370000, 0.1156871000, 0.1458819000, 0.2274115000, 0.4498095000, 1.0634760000", \
+                        "0.1128341000, 0.1168922000, 0.1281325000, 0.1584623000, 0.2405042000, 0.4632803000, 1.0773623000", \
+                        "0.1413854000, 0.1454214000, 0.1565211000, 0.1865053000, 0.2683905000, 0.4916015000, 1.1072346000", \
+                        "0.1968080000, 0.2014698000, 0.2141332000, 0.2471047000, 0.3287854000, 0.5521530000, 1.1669459000", \
+                        "0.2901398000, 0.2964992000, 0.3146382000, 0.3574306000, 0.4589449000, 0.6893250000, 1.3050595000", \
+                        "0.4431708000, 0.4537099000, 0.4811592000, 0.5474226000, 0.6905942000, 0.9867633000, 1.6253212000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0231988000, 0.0248576000, 0.0293108000, 0.0420434000, 0.0772499000, 0.1758112000, 0.4499320000", \
+                        "0.0229577000, 0.0245378000, 0.0291932000, 0.0419058000, 0.0771907000, 0.1758549000, 0.4503029000", \
+                        "0.0240200000, 0.0255592000, 0.0298330000, 0.0421251000, 0.0770830000, 0.1758114000, 0.4504337000", \
+                        "0.0314309000, 0.0330302000, 0.0373843000, 0.0488803000, 0.0803019000, 0.1758396000, 0.4501909000", \
+                        "0.0484758000, 0.0504425000, 0.0558919000, 0.0688840000, 0.1012075000, 0.1869901000, 0.4511320000", \
+                        "0.0811204000, 0.0839209000, 0.0914450000, 0.1096956000, 0.1510729000, 0.2409694000, 0.4778407000", \
+                        "0.1406908000, 0.1451499000, 0.1568563000, 0.1846483000, 0.2427167000, 0.3594391000, 0.6027385000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0615719000, 0.0667391000, 0.0813502000, 0.1213981000, 0.2314243000, 0.5347034000, 1.3752263000", \
+                        "0.0615385000, 0.0667511000, 0.0812533000, 0.1214122000, 0.2315344000, 0.5367874000, 1.3734835000", \
+                        "0.0615161000, 0.0667367000, 0.0813305000, 0.1213695000, 0.2321068000, 0.5346686000, 1.3772026000", \
+                        "0.0618991000, 0.0671529000, 0.0814725000, 0.1214413000, 0.2314093000, 0.5350111000, 1.3794101000", \
+                        "0.0758574000, 0.0808319000, 0.0937975000, 0.1297202000, 0.2336147000, 0.5357017000, 1.3782051000", \
+                        "0.1097782000, 0.1153273000, 0.1306525000, 0.1698676000, 0.2679934000, 0.5443811000, 1.3796602000", \
+                        "0.1865709000, 0.1938578000, 0.2131081000, 0.2597290000, 0.3700620000, 0.6355417000, 1.3943194000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0253204000, 0.0268810000, 0.0310538000, 0.0417599000, 0.0688895000, 0.1405238000, 0.3356658000", \
+                        "0.0292807000, 0.0308821000, 0.0350345000, 0.0457105000, 0.0728136000, 0.1446683000, 0.3396256000", \
+                        "0.0395393000, 0.0411724000, 0.0452619000, 0.0555474000, 0.0825585000, 0.1542146000, 0.3494262000", \
+                        "0.0541514000, 0.0564205000, 0.0623959000, 0.0763697000, 0.1060414000, 0.1769818000, 0.3721317000", \
+                        "0.0678947000, 0.0712211000, 0.0798187000, 0.1010801000, 0.1458213000, 0.2317507000, 0.4257032000", \
+                        "0.0704145000, 0.0756529000, 0.0892912000, 0.1216491000, 0.1898165000, 0.3181257000, 0.5505554000", \
+                        "0.0312214000, 0.0390992000, 0.0593938000, 0.1079028000, 0.2135519000, 0.4127104000, 0.7669163000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0764909000, 0.0812894000, 0.0939253000, 0.1271147000, 0.2171862000, 0.4587051000, 1.1287744000", \
+                        "0.0801710000, 0.0849917000, 0.0976537000, 0.1317271000, 0.2210186000, 0.4646113000, 1.1347335000", \
+                        "0.0926680000, 0.0971861000, 0.1095242000, 0.1429885000, 0.2338495000, 0.4802753000, 1.1583539000", \
+                        "0.1215655000, 0.1261837000, 0.1383642000, 0.1710256000, 0.2606992000, 0.5096031000, 1.1791419000", \
+                        "0.1725208000, 0.1783708000, 0.1934966000, 0.2314414000, 0.3214936000, 0.5658638000, 1.2400411000", \
+                        "0.2567967000, 0.2657464000, 0.2875468000, 0.3404835000, 0.4543893000, 0.7061624000, 1.3801605000", \
+                        "0.3926455000, 0.4070994000, 0.4426537000, 0.5257806000, 0.6914706000, 1.0160528000, 1.7055843000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0232730000, 0.0249104000, 0.0294541000, 0.0420808000, 0.0773216000, 0.1757352000, 0.4505714000", \
+                        "0.0222893000, 0.0240506000, 0.0288104000, 0.0418111000, 0.0771574000, 0.1758837000, 0.4499137000", \
+                        "0.0249452000, 0.0263488000, 0.0303776000, 0.0421076000, 0.0767777000, 0.1757663000, 0.4502309000", \
+                        "0.0345889000, 0.0365562000, 0.0416579000, 0.0535602000, 0.0827754000, 0.1756595000, 0.4503196000", \
+                        "0.0541310000, 0.0569455000, 0.0641108000, 0.0801499000, 0.1162156000, 0.1954871000, 0.4506411000", \
+                        "0.0888767000, 0.0931751000, 0.1040160000, 0.1276170000, 0.1783571000, 0.2756267000, 0.4942272000", \
+                        "0.1494559000, 0.1563394000, 0.1745322000, 0.2125149000, 0.2867950000, 0.4250358000, 0.6798170000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
+                    values("0.0459677000, 0.0516783000, 0.0672976000, 0.1110813000, 0.2316182000, 0.5632186000, 1.4771047000", \
+                        "0.0460823000, 0.0517103000, 0.0673858000, 0.1109780000, 0.2311902000, 0.5631665000, 1.4840030000", \
+                        "0.0461927000, 0.0518628000, 0.0676066000, 0.1110636000, 0.2315113000, 0.5651321000, 1.4942887000", \
+                        "0.0476548000, 0.0530137000, 0.0680697000, 0.1114555000, 0.2312583000, 0.5649680000, 1.4814626000", \
+                        "0.0634547000, 0.0687903000, 0.0836265000, 0.1215533000, 0.2345455000, 0.5647436000, 1.4807072000", \
+                        "0.0986990000, 0.1048536000, 0.1214192000, 0.1634172000, 0.2691035000, 0.5723181000, 1.4800516000", \
+                        "0.1763009000, 0.1844642000, 0.2063255000, 0.2561083000, 0.3771322000, 0.6629259000, 1.4947281000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a311o_1 */
+
+/* removed sky130_fd_sc_hd__a311o_2 */
+
+/* removed sky130_fd_sc_hd__a311o_4 */
+
+/* removed sky130_fd_sc_hd__a311oi_1 */
+
+/* removed sky130_fd_sc_hd__a311oi_2 */
+
+/* removed sky130_fd_sc_hd__a311oi_4 */
+
+/* removed sky130_fd_sc_hd__a31o_1 */
+
+/* removed sky130_fd_sc_hd__a31o_2 */
+
+/* removed sky130_fd_sc_hd__a31o_4 */
+
+/* removed sky130_fd_sc_hd__a31oi_1 */
+
+/* removed sky130_fd_sc_hd__a31oi_2 */
+
+/* removed sky130_fd_sc_hd__a31oi_4 */
+
+/* removed sky130_fd_sc_hd__a32o_1 */
+
+/* removed sky130_fd_sc_hd__a32o_2 */
+
+    cell ("sky130_fd_sc_hd__a32o_4") {
+        leakage_power () {
+            value : 0.0053900000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047000000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052260000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054184000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047285000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052546000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054237000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047338000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052599000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0061047000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054147000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0059408000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054350000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0047430000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057453000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052703000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0059403000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052501000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057462000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0057746000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0059702000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0052780000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0057452000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0058030000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0060543000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062855000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0022874000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062303000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a32o";
+        cell_leakage_power : 0.0054417170;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041030000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092163000, 0.0092109000, 0.0091985000, 0.0092000000, 0.0092035000, 0.0092117000, 0.0092305000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006441700, -0.006446300, -0.006456800, -0.006441100, -0.006404800, -0.006321100, -0.006128300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044410000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040990000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0085840000, 0.0085770000, 0.0085610000, 0.0085894000, 0.0086551000, 0.0088063000, 0.0091550000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007914300, -0.007916600, -0.007922000, -0.007923900, -0.007928400, -0.007938800, -0.007962600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044700000;
+        }
+        pin ("A3") {
+            capacitance : 0.0044660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082592000, 0.0082553000, 0.0082462000, 0.0082495000, 0.0082572000, 0.0082749000, 0.0083156000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008244000, -0.008243400, -0.008242000, -0.008241000, -0.008238700, -0.008233500, -0.008221400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047390000;
+        }
+        pin ("B1") {
+            capacitance : 0.0043510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040630000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092281000, 0.0092271000, 0.0092248000, 0.0092216000, 0.0092143000, 0.0091974000, 0.0091584000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006491400, -0.006495200, -0.006503900, -0.006485600, -0.006443300, -0.006346000, -0.006121600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046390000;
+        }
+        pin ("B2") {
+            capacitance : 0.0042810000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0077717000, 0.0077680000, 0.0077593000, 0.0077584000, 0.0077564000, 0.0077517000, 0.0077410000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007742300, -0.007741400, -0.007739300, -0.007735300, -0.007726100, -0.007704700, -0.007655600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046120000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3) | (B1&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0342602000, 0.0324812000, 0.0273363000, 0.0123641000, -0.040934700, -0.225160000, -0.821915200", \
+                        "0.0341961000, 0.0324186000, 0.0272692000, 0.0123104000, -0.040965000, -0.225182900, -0.822047400", \
+                        "0.0338849000, 0.0321275000, 0.0270072000, 0.0120676000, -0.041331200, -0.225409200, -0.822335400", \
+                        "0.0331047000, 0.0313484000, 0.0261855000, 0.0113140000, -0.041926100, -0.226045000, -0.822926900", \
+                        "0.0324500000, 0.0307449000, 0.0255575000, 0.0105755000, -0.042728500, -0.226808600, -0.823571000", \
+                        "0.0323963000, 0.0305780000, 0.0256120000, 0.0104790000, -0.043137300, -0.227131300, -0.823892900", \
+                        "0.0414712000, 0.0394609000, 0.0331187000, 0.0147159000, -0.043242200, -0.227570400, -0.823863700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0367803000, 0.0386147000, 0.0446528000, 0.0638584000, 0.1225574000, 0.3074977000, 0.8978022000", \
+                        "0.0365757000, 0.0384107000, 0.0444249000, 0.0635840000, 0.1225380000, 0.3074990000, 0.8989715000", \
+                        "0.0362881000, 0.0381363000, 0.0441131000, 0.0633860000, 0.1222306000, 0.3072220000, 0.8989063000", \
+                        "0.0358637000, 0.0376619000, 0.0436121000, 0.0628937000, 0.1218678000, 0.3067918000, 0.9017991000", \
+                        "0.0357507000, 0.0375508000, 0.0434290000, 0.0625891000, 0.1212801000, 0.3062719000, 0.8978020000", \
+                        "0.0372539000, 0.0389869000, 0.0445177000, 0.0625099000, 0.1211604000, 0.3059143000, 0.8975955000", \
+                        "0.0402019000, 0.0417823000, 0.0472307000, 0.0651464000, 0.1232055000, 0.3078066000, 0.8986330000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0406982000, 0.0388763000, 0.0336620000, 0.0187075000, -0.034419800, -0.218372900, -0.815193300", \
+                        "0.0405417000, 0.0387226000, 0.0334967000, 0.0187613000, -0.034669900, -0.218660900, -0.815305100", \
+                        "0.0402788000, 0.0384838000, 0.0332886000, 0.0182985000, -0.034949700, -0.218943700, -0.815568900", \
+                        "0.0396771000, 0.0379598000, 0.0327238000, 0.0177098000, -0.035574800, -0.219448300, -0.816066000", \
+                        "0.0391040000, 0.0373330000, 0.0320585000, 0.0171549000, -0.036162000, -0.220115700, -0.816701700", \
+                        "0.0389067000, 0.0370816000, 0.0317935000, 0.0165979000, -0.036586100, -0.220535400, -0.817115900", \
+                        "0.0478645000, 0.0458626000, 0.0397333000, 0.0212808000, -0.036819800, -0.221195800, -0.817496500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0382928000, 0.0400848000, 0.0460118000, 0.0652828000, 0.1241118000, 0.3085949000, 0.9033375000", \
+                        "0.0380635000, 0.0398769000, 0.0459248000, 0.0651104000, 0.1237636000, 0.3085899000, 0.9032305000", \
+                        "0.0377800000, 0.0395677000, 0.0455807000, 0.0648351000, 0.1234881000, 0.3081372000, 0.8989100000", \
+                        "0.0373949000, 0.0392154000, 0.0452322000, 0.0643806000, 0.1232014000, 0.3079666000, 0.8999176000", \
+                        "0.0371942000, 0.0389797000, 0.0448958000, 0.0640265000, 0.1226791000, 0.3073637000, 0.9018149000", \
+                        "0.0383541000, 0.0400699000, 0.0456764000, 0.0637769000, 0.1225226000, 0.3071360000, 0.8988616000", \
+                        "0.0411334000, 0.0427850000, 0.0482253000, 0.0662490000, 0.1245978000, 0.3088585000, 0.9000456000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0458395000, 0.0440631000, 0.0388324000, 0.0237211000, -0.029784600, -0.214094400, -0.810911600", \
+                        "0.0458673000, 0.0440329000, 0.0387107000, 0.0236921000, -0.029877200, -0.214273900, -0.811106000", \
+                        "0.0454233000, 0.0436281000, 0.0382976000, 0.0233242000, -0.030120200, -0.214385600, -0.811372900", \
+                        "0.0451701000, 0.0433358000, 0.0381143000, 0.0230132000, -0.030586500, -0.214765600, -0.811571200", \
+                        "0.0447974000, 0.0430015000, 0.0377458000, 0.0226057000, -0.030988600, -0.215162200, -0.811909300", \
+                        "0.0448495000, 0.0429822000, 0.0376031000, 0.0224175000, -0.030977700, -0.215159000, -0.811918600", \
+                        "0.0542026000, 0.0521646000, 0.0461137000, 0.0275517000, -0.030665100, -0.215258300, -0.811440300");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0381963000, 0.0400792000, 0.0460699000, 0.0652183000, 0.1239121000, 0.3083924000, 0.9016084000", \
+                        "0.0380115000, 0.0398077000, 0.0457509000, 0.0650199000, 0.1238274000, 0.3080837000, 0.9025915000", \
+                        "0.0377220000, 0.0395542000, 0.0455985000, 0.0648277000, 0.1234268000, 0.3077976000, 0.8983268000", \
+                        "0.0373870000, 0.0392220000, 0.0452285000, 0.0644798000, 0.1230566000, 0.3074994000, 0.8978428000", \
+                        "0.0371723000, 0.0389579000, 0.0448935000, 0.0639645000, 0.1226568000, 0.3075062000, 0.8985005000", \
+                        "0.0385059000, 0.0402483000, 0.0459591000, 0.0639118000, 0.1227272000, 0.3070491000, 0.8989336000", \
+                        "0.0407633000, 0.0424292000, 0.0480372000, 0.0661054000, 0.1245128000, 0.3085683000, 0.8972162000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0334345000, 0.0315838000, 0.0263427000, 0.0112358000, -0.042139500, -0.226246400, -0.822851200", \
+                        "0.0332666000, 0.0315019000, 0.0262572000, 0.0111534000, -0.042268600, -0.226373400, -0.823036900", \
+                        "0.0328134000, 0.0309714000, 0.0258076000, 0.0106541000, -0.042736900, -0.226733000, -0.823471700", \
+                        "0.0323909000, 0.0306015000, 0.0253382000, 0.0102217000, -0.043240000, -0.227279000, -0.823915600", \
+                        "0.0319498000, 0.0301391000, 0.0248747000, 0.0098146000, -0.043637200, -0.227620500, -0.824243300", \
+                        "0.0329422000, 0.0311270000, 0.0255844000, 0.0100789000, -0.043712900, -0.227601600, -0.824122900", \
+                        "0.0438520000, 0.0417263000, 0.0353631000, 0.0164638000, -0.042150300, -0.226595400, -0.822996700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0295724000, 0.0314990000, 0.0377403000, 0.0572210000, 0.1163367000, 0.3018058000, 0.8940574000", \
+                        "0.0295869000, 0.0315135000, 0.0376458000, 0.0572199000, 0.1163331000, 0.3017897000, 0.8930922000", \
+                        "0.0294413000, 0.0313489000, 0.0375763000, 0.0570421000, 0.1161150000, 0.3010373000, 0.8944190000", \
+                        "0.0291291000, 0.0310400000, 0.0371145000, 0.0563254000, 0.1153577000, 0.3010185000, 0.8931337000", \
+                        "0.0291258000, 0.0309374000, 0.0369541000, 0.0557764000, 0.1146545000, 0.3002908000, 0.8927529000", \
+                        "0.0304353000, 0.0321403000, 0.0377754000, 0.0561030000, 0.1142667000, 0.2988762000, 0.8914739000", \
+                        "0.0332218000, 0.0347188000, 0.0400913000, 0.0579054000, 0.1161520000, 0.3007143000, 0.8884842000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0402889000, 0.0384835000, 0.0332772000, 0.0181770000, -0.035204300, -0.219166300, -0.815586300", \
+                        "0.0400539000, 0.0382423000, 0.0329340000, 0.0179101000, -0.035325700, -0.219230900, -0.815850700", \
+                        "0.0397343000, 0.0380156000, 0.0327456000, 0.0176554000, -0.035706700, -0.219644400, -0.816036100", \
+                        "0.0395351000, 0.0377482000, 0.0324621000, 0.0173349000, -0.036066300, -0.219966400, -0.816474600", \
+                        "0.0391355000, 0.0373593000, 0.0320564000, 0.0170511000, -0.036413000, -0.220297500, -0.816737200", \
+                        "0.0405927000, 0.0388001000, 0.0332952000, 0.0179491000, -0.035672000, -0.219616800, -0.815971600", \
+                        "0.0531150000, 0.0509894000, 0.0446231000, 0.0255108000, -0.033456900, -0.218059500, -0.814490000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0308567000, 0.0327835000, 0.0390184000, 0.0585138000, 0.1175976000, 0.3026992000, 0.8956743000", \
+                        "0.0308621000, 0.0327897000, 0.0390669000, 0.0584977000, 0.1176537000, 0.3030899000, 0.8952564000", \
+                        "0.0307657000, 0.0326820000, 0.0388490000, 0.0583100000, 0.1175007000, 0.3029111000, 0.8901727000", \
+                        "0.0303975000, 0.0323087000, 0.0384963000, 0.0578146000, 0.1168637000, 0.3024753000, 0.8946058000", \
+                        "0.0300892000, 0.0319176000, 0.0379877000, 0.0569514000, 0.1159969000, 0.3016563000, 0.8966604000", \
+                        "0.0312110000, 0.0329692000, 0.0386857000, 0.0571847000, 0.1155186000, 0.3000721000, 0.8926748000", \
+                        "0.0333859000, 0.0350250000, 0.0405285000, 0.0585219000, 0.1172163000, 0.3017095000, 0.8929161000");
+                }
+            }
+            max_capacitance : 0.5365090000;
+            max_transition : 1.5014820000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2416561000, 0.2462427000, 0.2580539000, 0.2842765000, 0.3385462000, 0.4578538000, 0.7790916000", \
+                        "0.2473248000, 0.2519134000, 0.2637716000, 0.2900513000, 0.3442046000, 0.4636069000, 0.7846774000", \
+                        "0.2598859000, 0.2644685000, 0.2762517000, 0.3025166000, 0.3565060000, 0.4760202000, 0.7972008000", \
+                        "0.2892658000, 0.2938495000, 0.3056414000, 0.3318431000, 0.3856349000, 0.5054288000, 0.8263091000", \
+                        "0.3527044000, 0.3572154000, 0.3690483000, 0.3951287000, 0.4492522000, 0.5690736000, 0.8903359000", \
+                        "0.4855028000, 0.4903440000, 0.5028156000, 0.5301869000, 0.5855470000, 0.7060894000, 1.0274767000", \
+                        "0.7219137000, 0.7276318000, 0.7420104000, 0.7738717000, 0.8369313000, 0.9664460000, 1.2909560000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1430799000, 0.1488374000, 0.1637321000, 0.1983809000, 0.2799113000, 0.5060163000, 1.2133828000", \
+                        "0.1466550000, 0.1523993000, 0.1673253000, 0.2019363000, 0.2835891000, 0.5092397000, 1.2176424000", \
+                        "0.1555708000, 0.1613320000, 0.1762867000, 0.2108645000, 0.2924821000, 0.5182105000, 1.2265018000", \
+                        "0.1778492000, 0.1835589000, 0.1984953000, 0.2331538000, 0.3147882000, 0.5402582000, 1.2513456000", \
+                        "0.2271172000, 0.2328971000, 0.2478252000, 0.2825618000, 0.3641053000, 0.5896944000, 1.2983088000", \
+                        "0.2994378000, 0.3058670000, 0.3221790000, 0.3586358000, 0.4419220000, 0.6690458000, 1.3785415000", \
+                        "0.3729763000, 0.3808916000, 0.4011399000, 0.4445302000, 0.5321041000, 0.7600154000, 1.4687367000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0323322000, 0.0347718000, 0.0426408000, 0.0597220000, 0.1041847000, 0.2293872000, 0.6398706000", \
+                        "0.0323309000, 0.0347720000, 0.0422523000, 0.0600065000, 0.1043010000, 0.2297711000, 0.6386693000", \
+                        "0.0321213000, 0.0348636000, 0.0421920000, 0.0603689000, 0.1043139000, 0.2293669000, 0.6388038000", \
+                        "0.0321028000, 0.0348794000, 0.0422345000, 0.0601940000, 0.1036255000, 0.2298274000, 0.6405084000", \
+                        "0.0321643000, 0.0350863000, 0.0424237000, 0.0604573000, 0.1041656000, 0.2296639000, 0.6396775000", \
+                        "0.0355747000, 0.0384926000, 0.0459177000, 0.0632207000, 0.1070741000, 0.2302070000, 0.6402187000", \
+                        "0.0466174000, 0.0493651000, 0.0576041000, 0.0765896000, 0.1216372000, 0.2436988000, 0.6450389000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341971000, 0.0381731000, 0.0489996000, 0.0798409000, 0.1724192000, 0.4821035000, 1.4970271000", \
+                        "0.0343232000, 0.0381949000, 0.0492962000, 0.0799025000, 0.1723925000, 0.4818635000, 1.4998266000", \
+                        "0.0342000000, 0.0381081000, 0.0491956000, 0.0798715000, 0.1724526000, 0.4819005000, 1.4989029000", \
+                        "0.0342606000, 0.0379323000, 0.0489689000, 0.0797043000, 0.1719123000, 0.4815594000, 1.5011744000", \
+                        "0.0350193000, 0.0388211000, 0.0495338000, 0.0802542000, 0.1726920000, 0.4817421000, 1.5006497000", \
+                        "0.0426890000, 0.0460593000, 0.0562114000, 0.0854368000, 0.1762620000, 0.4831949000, 1.4995554000", \
+                        "0.0567031000, 0.0609340000, 0.0725126000, 0.1008409000, 0.1834747000, 0.4858817000, 1.4938366000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2643898000, 0.2691908000, 0.2814375000, 0.3082238000, 0.3623996000, 0.4826037000, 0.8043524000", \
+                        "0.2694225000, 0.2742227000, 0.2864641000, 0.3131558000, 0.3677345000, 0.4878720000, 0.8098181000", \
+                        "0.2815997000, 0.2864161000, 0.2987301000, 0.3253757000, 0.3802378000, 0.4998688000, 0.8215947000", \
+                        "0.3101980000, 0.3150295000, 0.3272696000, 0.3539822000, 0.4086583000, 0.5284272000, 0.8501921000", \
+                        "0.3702127000, 0.3749081000, 0.3871447000, 0.4138957000, 0.4685329000, 0.5885578000, 0.9104654000", \
+                        "0.4966444000, 0.5016977000, 0.5145908000, 0.5422808000, 0.5970947000, 0.7184672000, 1.0403780000", \
+                        "0.7199218000, 0.7258730000, 0.7408728000, 0.7728229000, 0.8351794000, 0.9642122000, 1.2902543000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1538872000, 0.1595954000, 0.1745014000, 0.2091492000, 0.2906290000, 0.5157426000, 1.2260749000", \
+                        "0.1578754000, 0.1636371000, 0.1785452000, 0.2131689000, 0.2946896000, 0.5200665000, 1.2286653000", \
+                        "0.1669053000, 0.1726407000, 0.1875931000, 0.2221984000, 0.3036428000, 0.5294954000, 1.2372778000", \
+                        "0.1874194000, 0.1931540000, 0.2080497000, 0.2426399000, 0.3240941000, 0.5498445000, 1.2578590000", \
+                        "0.2321897000, 0.2379923000, 0.2529904000, 0.2877840000, 0.3693243000, 0.5953603000, 1.3032939000", \
+                        "0.3040567000, 0.3105145000, 0.3270377000, 0.3638126000, 0.4475706000, 0.6744850000, 1.3835353000", \
+                        "0.3853828000, 0.3931788000, 0.4130881000, 0.4561596000, 0.5448453000, 0.7731076000, 1.4808901000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0343128000, 0.0371845000, 0.0447289000, 0.0619814000, 0.1056352000, 0.2301390000, 0.6409913000", \
+                        "0.0343036000, 0.0371861000, 0.0439876000, 0.0617079000, 0.1042750000, 0.2304438000, 0.6389216000", \
+                        "0.0342102000, 0.0370025000, 0.0445649000, 0.0612175000, 0.1051965000, 0.2300632000, 0.6404460000", \
+                        "0.0343341000, 0.0371531000, 0.0443795000, 0.0612429000, 0.1051863000, 0.2301268000, 0.6407875000", \
+                        "0.0342630000, 0.0374855000, 0.0441806000, 0.0615644000, 0.1055195000, 0.2301601000, 0.6399409000", \
+                        "0.0373561000, 0.0403627000, 0.0473919000, 0.0640855000, 0.1076332000, 0.2311983000, 0.6409986000", \
+                        "0.0471229000, 0.0503705000, 0.0584895000, 0.0765587000, 0.1204550000, 0.2425490000, 0.6440075000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341657000, 0.0378577000, 0.0492545000, 0.0798146000, 0.1721292000, 0.4817140000, 1.5013508000", \
+                        "0.0340808000, 0.0381068000, 0.0491088000, 0.0797889000, 0.1724035000, 0.4811034000, 1.4997610000", \
+                        "0.0342335000, 0.0381648000, 0.0491854000, 0.0799000000, 0.1723064000, 0.4819026000, 1.4985477000", \
+                        "0.0341093000, 0.0381019000, 0.0492214000, 0.0798392000, 0.1724571000, 0.4818116000, 1.4997278000", \
+                        "0.0351337000, 0.0389628000, 0.0499733000, 0.0801321000, 0.1726785000, 0.4811606000, 1.4984950000", \
+                        "0.0407356000, 0.0446320000, 0.0556196000, 0.0853132000, 0.1757977000, 0.4827691000, 1.4998457000", \
+                        "0.0536338000, 0.0582029000, 0.0696358000, 0.0984033000, 0.1840911000, 0.4856254000, 1.4933028000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2759154000, 0.2808505000, 0.2933095000, 0.3202566000, 0.3752439000, 0.4951263000, 0.8178240000", \
+                        "0.2810306000, 0.2859393000, 0.2984021000, 0.3255012000, 0.3803582000, 0.5004517000, 0.8224548000", \
+                        "0.2939344000, 0.2988399000, 0.3113105000, 0.3383808000, 0.3928452000, 0.5131651000, 0.8355441000", \
+                        "0.3227266000, 0.3276244000, 0.3401843000, 0.3671034000, 0.4219501000, 0.5420564000, 0.8647754000", \
+                        "0.3816092000, 0.3865656000, 0.3990432000, 0.4259955000, 0.4806829000, 0.6012326000, 0.9236280000", \
+                        "0.5045989000, 0.5096064000, 0.5226957000, 0.5502771000, 0.6056314000, 0.7264793000, 1.0491783000", \
+                        "0.7246420000, 0.7303842000, 0.7454022000, 0.7770402000, 0.8387691000, 0.9669172000, 1.2928650000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1581201000, 0.1638444000, 0.1787613000, 0.2133777000, 0.2948544000, 0.5198452000, 1.2276802000", \
+                        "0.1619187000, 0.1676411000, 0.1825799000, 0.2172446000, 0.2987025000, 0.5235446000, 1.2334083000", \
+                        "0.1692836000, 0.1750500000, 0.1899610000, 0.2246173000, 0.3060277000, 0.5315409000, 1.2390131000", \
+                        "0.1840420000, 0.1897918000, 0.2047301000, 0.2393195000, 0.3207085000, 0.5462919000, 1.2535850000", \
+                        "0.2143597000, 0.2202101000, 0.2353865000, 0.2701561000, 0.3518360000, 0.5772876000, 1.2851795000", \
+                        "0.2642422000, 0.2705509000, 0.2867526000, 0.3235054000, 0.4073127000, 0.6340066000, 1.3423861000", \
+                        "0.3229904000, 0.3303442000, 0.3492312000, 0.3906589000, 0.4788823000, 0.7076381000, 1.4147957000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0356227000, 0.0384083000, 0.0462411000, 0.0623723000, 0.1061212000, 0.2312433000, 0.6423874000", \
+                        "0.0356204000, 0.0384640000, 0.0452858000, 0.0631626000, 0.1064278000, 0.2309664000, 0.6430431000", \
+                        "0.0357441000, 0.0387079000, 0.0453934000, 0.0624631000, 0.1065464000, 0.2312919000, 0.6422138000", \
+                        "0.0356015000, 0.0386347000, 0.0460684000, 0.0623591000, 0.1062078000, 0.2311442000, 0.6424422000", \
+                        "0.0355463000, 0.0383452000, 0.0458940000, 0.0623312000, 0.1063414000, 0.2311426000, 0.6429577000", \
+                        "0.0381116000, 0.0413987000, 0.0479860000, 0.0648253000, 0.1078615000, 0.2318818000, 0.6435458000", \
+                        "0.0469908000, 0.0506152000, 0.0583839000, 0.0762027000, 0.1196985000, 0.2418897000, 0.6457597000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0341320000, 0.0382984000, 0.0490482000, 0.0797596000, 0.1723459000, 0.4811385000, 1.4979705000", \
+                        "0.0343032000, 0.0380307000, 0.0490383000, 0.0798030000, 0.1723596000, 0.4817029000, 1.5014824000", \
+                        "0.0341055000, 0.0381672000, 0.0490682000, 0.0799159000, 0.1724084000, 0.4819113000, 1.4986073000", \
+                        "0.0342832000, 0.0381032000, 0.0491219000, 0.0798926000, 0.1724256000, 0.4819449000, 1.4981253000", \
+                        "0.0353769000, 0.0388659000, 0.0497296000, 0.0804273000, 0.1724447000, 0.4819224000, 1.5002024000", \
+                        "0.0389614000, 0.0427584000, 0.0542844000, 0.0847151000, 0.1757666000, 0.4820616000, 1.4993450000", \
+                        "0.0489755000, 0.0531112000, 0.0646945000, 0.0953690000, 0.1827642000, 0.4849585000, 1.4973804000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2218537000, 0.2266124000, 0.2388036000, 0.2654438000, 0.3201834000, 0.4402634000, 0.7627749000", \
+                        "0.2261330000, 0.2308938000, 0.2430931000, 0.2697410000, 0.3244753000, 0.4444941000, 0.7668950000", \
+                        "0.2364402000, 0.2411782000, 0.2529904000, 0.2796088000, 0.3338793000, 0.4543163000, 0.7765164000", \
+                        "0.2629673000, 0.2677205000, 0.2799118000, 0.3065389000, 0.3610904000, 0.4814359000, 0.8038041000", \
+                        "0.3295710000, 0.3342906000, 0.3463728000, 0.3730869000, 0.4277208000, 0.5481473000, 0.8705446000", \
+                        "0.4849878000, 0.4901369000, 0.5031560000, 0.5306400000, 0.5857735000, 0.7065230000, 1.0289363000", \
+                        "0.7454241000, 0.7519714000, 0.7689583000, 0.8040995000, 0.8682788000, 0.9950858000, 1.3223154000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0898754000, 0.0951194000, 0.1088585000, 0.1408565000, 0.2179114000, 0.4411974000, 1.1510239000", \
+                        "0.0942457000, 0.0994882000, 0.1132041000, 0.1451952000, 0.2222584000, 0.4456169000, 1.1522436000", \
+                        "0.1045682000, 0.1098164000, 0.1235591000, 0.1554858000, 0.2325546000, 0.4554602000, 1.1645449000", \
+                        "0.1280423000, 0.1332705000, 0.1469295000, 0.1786361000, 0.2557436000, 0.4792557000, 1.1842717000", \
+                        "0.1664695000, 0.1723183000, 0.1870561000, 0.2202328000, 0.2982614000, 0.5218901000, 1.2324310000", \
+                        "0.2115545000, 0.2190898000, 0.2375621000, 0.2750134000, 0.3560418000, 0.5805034000, 1.2912605000", \
+                        "0.2428068000, 0.2523992000, 0.2762938000, 0.3241185000, 0.4140256000, 0.6393264000, 1.3460904000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0344588000, 0.0372409000, 0.0444427000, 0.0613961000, 0.1053996000, 0.2305710000, 0.6411706000", \
+                        "0.0342966000, 0.0370466000, 0.0447007000, 0.0616273000, 0.1056650000, 0.2305510000, 0.6408770000", \
+                        "0.0344490000, 0.0373135000, 0.0444956000, 0.0622205000, 0.1060036000, 0.2304156000, 0.6420589000", \
+                        "0.0342539000, 0.0370341000, 0.0443671000, 0.0616676000, 0.1057710000, 0.2305137000, 0.6413757000", \
+                        "0.0346889000, 0.0374502000, 0.0448697000, 0.0624320000, 0.1057409000, 0.2311226000, 0.6415356000", \
+                        "0.0394243000, 0.0422671000, 0.0499031000, 0.0652788000, 0.1074845000, 0.2313920000, 0.6420229000", \
+                        "0.0586342000, 0.0622275000, 0.0700463000, 0.0872188000, 0.1256647000, 0.2434379000, 0.6470253000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0279339000, 0.0319919000, 0.0427107000, 0.0715719000, 0.1638420000, 0.4769756000, 1.4977037000", \
+                        "0.0279667000, 0.0318963000, 0.0424901000, 0.0715665000, 0.1638064000, 0.4762085000, 1.4930942000", \
+                        "0.0278589000, 0.0318126000, 0.0426424000, 0.0717922000, 0.1640733000, 0.4755537000, 1.4988193000", \
+                        "0.0281585000, 0.0321818000, 0.0428385000, 0.0719886000, 0.1641648000, 0.4761013000, 1.4975745000", \
+                        "0.0337627000, 0.0376067000, 0.0479663000, 0.0753742000, 0.1657603000, 0.4760543000, 1.4962469000", \
+                        "0.0473925000, 0.0512380000, 0.0607856000, 0.0854015000, 0.1707236000, 0.4780676000, 1.4945316000", \
+                        "0.0678609000, 0.0724745000, 0.0844264000, 0.1085634000, 0.1840091000, 0.4801596000, 1.4896590000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2365798000, 0.2415000000, 0.2540553000, 0.2809845000, 0.3360194000, 0.4566215000, 0.7791627000", \
+                        "0.2403787000, 0.2452901000, 0.2577697000, 0.2848572000, 0.3393468000, 0.4599661000, 0.7824662000", \
+                        "0.2509123000, 0.2558509000, 0.2683517000, 0.2953403000, 0.3504048000, 0.4708046000, 0.7936601000", \
+                        "0.2782403000, 0.2831815000, 0.2956977000, 0.3226238000, 0.3775488000, 0.4979285000, 0.8211837000", \
+                        "0.3437761000, 0.3486935000, 0.3611772000, 0.3882687000, 0.4429034000, 0.5639100000, 0.8863565000", \
+                        "0.4963432000, 0.5017700000, 0.5147052000, 0.5423955000, 0.5974141000, 0.7186124000, 1.0416122000", \
+                        "0.7537443000, 0.7605337000, 0.7776396000, 0.8128169000, 0.8759141000, 1.0020723000, 1.3285030000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0957302000, 0.1009796000, 0.1147306000, 0.1467346000, 0.2237388000, 0.4468995000, 1.1549355000", \
+                        "0.1004188000, 0.1056641000, 0.1194187000, 0.1514073000, 0.2285283000, 0.4518373000, 1.1573265000", \
+                        "0.1098388000, 0.1151086000, 0.1288851000, 0.1607783000, 0.2379867000, 0.4612408000, 1.1664533000", \
+                        "0.1298354000, 0.1350640000, 0.1487381000, 0.1806019000, 0.2577045000, 0.4811979000, 1.1856518000", \
+                        "0.1650038000, 0.1706827000, 0.1852445000, 0.2182133000, 0.2961244000, 0.5190788000, 1.2310265000", \
+                        "0.2107620000, 0.2176963000, 0.2349537000, 0.2715684000, 0.3524109000, 0.5762777000, 1.2863000000", \
+                        "0.2478312000, 0.2568249000, 0.2793485000, 0.3247997000, 0.4133104000, 0.6392684000, 1.3451318000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0357644000, 0.0386644000, 0.0461774000, 0.0624079000, 0.1060586000, 0.2308585000, 0.6426437000", \
+                        "0.0357499000, 0.0386741000, 0.0453791000, 0.0632610000, 0.1067183000, 0.2311186000, 0.6426344000", \
+                        "0.0357526000, 0.0385879000, 0.0458842000, 0.0623599000, 0.1060147000, 0.2310135000, 0.6421095000", \
+                        "0.0357294000, 0.0383714000, 0.0453791000, 0.0627917000, 0.1063421000, 0.2310926000, 0.6422176000", \
+                        "0.0357727000, 0.0387133000, 0.0452790000, 0.0628846000, 0.1064720000, 0.2305456000, 0.6432848000", \
+                        "0.0397560000, 0.0424652000, 0.0493480000, 0.0650028000, 0.1077454000, 0.2320846000, 0.6421599000", \
+                        "0.0584725000, 0.0616108000, 0.0699732000, 0.0863652000, 0.1234758000, 0.2414813000, 0.6470851000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0279260000, 0.0319952000, 0.0426704000, 0.0715666000, 0.1639796000, 0.4772453000, 1.4989895000", \
+                        "0.0279965000, 0.0319768000, 0.0426993000, 0.0716012000, 0.1639619000, 0.4762466000, 1.4972055000", \
+                        "0.0279001000, 0.0319305000, 0.0424887000, 0.0716576000, 0.1640528000, 0.4762471000, 1.4960497000", \
+                        "0.0281581000, 0.0321059000, 0.0429211000, 0.0717690000, 0.1640993000, 0.4759375000, 1.4976670000", \
+                        "0.0318366000, 0.0356293000, 0.0465338000, 0.0743421000, 0.1650793000, 0.4768403000, 1.4978638000", \
+                        "0.0415961000, 0.0457220000, 0.0560170000, 0.0826538000, 0.1699200000, 0.4772506000, 1.4949740000", \
+                        "0.0594015000, 0.0648303000, 0.0766495000, 0.1015189000, 0.1810019000, 0.4805714000, 1.4909654000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a32oi_1 */
+
+/* removed sky130_fd_sc_hd__a32oi_2 */
+
+    cell ("sky130_fd_sc_hd__a32oi_4") {
+        leakage_power () {
+            value : 0.0020017000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0002656000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0015811000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020858000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003500000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016654000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020610000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003247000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016402000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038377000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0020941000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0034103000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020858000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0003434000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016653000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0034103000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0016713000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029897000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0031021000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0013641000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0051337000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0026885000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0039808000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041797000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0004544000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041240000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a32oi";
+        cell_leakage_power : 0.0027910300;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0180689000, 0.0180753000, 0.0180902000, 0.0180910000, 0.0180930000, 0.0180975000, 0.0181080000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012790900, -0.012801500, -0.012825800, -0.012795500, -0.012725800, -0.012565000, -0.012194500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085390000;
+        }
+        pin ("A2") {
+            capacitance : 0.0082260000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0170436000, 0.0170463000, 0.0170524000, 0.0171143000, 0.0172572000, 0.0175865000, 0.0183456000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015580600, -0.015563100, -0.015522800, -0.015520600, -0.015515700, -0.015504200, -0.015477700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085360000;
+        }
+        pin ("A3") {
+            capacitance : 0.0085060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080340000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156261000, 0.0156256000, 0.0156245000, 0.0156310000, 0.0156461000, 0.0156809000, 0.0157611000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015626000, -0.015623500, -0.015617800, -0.015605700, -0.015578000, -0.015514200, -0.015367000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089780000;
+        }
+        pin ("B1") {
+            capacitance : 0.0082430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0179179000, 0.0179139000, 0.0179046000, 0.0179095000, 0.0179207000, 0.0179466000, 0.0180062000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013000400, -0.013013200, -0.013042600, -0.013013900, -0.012947800, -0.012795500, -0.012444500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087320000;
+        }
+        pin ("B2") {
+            capacitance : 0.0084790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077930000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158960000, 0.0158818000, 0.0158489000, 0.0158494000, 0.0158507000, 0.0158537000, 0.0158606000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015838800, -0.015829300, -0.015807500, -0.015806300, -0.015803800, -0.015797800, -0.015784100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091650000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0278766000, 0.0264201000, 0.0222980000, 0.0109070000, -0.021786800, -0.114684400, -0.377348600", \
+                        "0.0275289000, 0.0260537000, 0.0219530000, 0.0104810000, -0.022150900, -0.115003200, -0.377570500", \
+                        "0.0268871000, 0.0254520000, 0.0214726000, 0.0100793000, -0.022487800, -0.115302300, -0.377778600", \
+                        "0.0260353000, 0.0246087000, 0.0206181000, 0.0092055000, -0.023207700, -0.115737000, -0.378136800", \
+                        "0.0252271000, 0.0238025000, 0.0197467000, 0.0084272000, -0.023928100, -0.116042800, -0.378226900", \
+                        "0.0253285000, 0.0238487000, 0.0196637000, 0.0079230000, -0.024711500, -0.116796200, -0.378893300", \
+                        "0.0289701000, 0.0274419000, 0.0231762000, 0.0113162000, -0.022496500, -0.116512700, -0.378185300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0410125000, 0.0425153000, 0.0467475000, 0.0586282000, 0.0917013000, 0.1839724000, 0.4439377000", \
+                        "0.0405943000, 0.0421112000, 0.0464076000, 0.0584278000, 0.0916548000, 0.1841829000, 0.4441066000", \
+                        "0.0397363000, 0.0412736000, 0.0456023000, 0.0577566000, 0.0912575000, 0.1840801000, 0.4442834000", \
+                        "0.0386007000, 0.0401267000, 0.0444189000, 0.0565431000, 0.0903480000, 0.1837342000, 0.4441581000", \
+                        "0.0377004000, 0.0391902000, 0.0434548000, 0.0553810000, 0.0889233000, 0.1824561000, 0.4433356000", \
+                        "0.0374857000, 0.0391039000, 0.0432051000, 0.0551072000, 0.0883531000, 0.1813678000, 0.4423273000", \
+                        "0.0366890000, 0.0381412000, 0.0422750000, 0.0544668000, 0.0882858000, 0.1811266000, 0.4419393000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0307081000, 0.0292893000, 0.0252044000, 0.0136874000, -0.019107800, -0.111937000, -0.374439200", \
+                        "0.0303897000, 0.0289353000, 0.0247893000, 0.0132089000, -0.019365100, -0.112178000, -0.374758500", \
+                        "0.0298725000, 0.0284395000, 0.0243794000, 0.0129363000, -0.019752000, -0.112549300, -0.375083500", \
+                        "0.0291630000, 0.0277158000, 0.0236573000, 0.0121711000, -0.020304700, -0.112898800, -0.375339400", \
+                        "0.0284397000, 0.0270150000, 0.0229908000, 0.0116320000, -0.020778100, -0.113087400, -0.375272200", \
+                        "0.0282484000, 0.0267933000, 0.0224719000, 0.0109428000, -0.021590700, -0.113838900, -0.375819300", \
+                        "0.0303459000, 0.0288439000, 0.0246433000, 0.0127830000, -0.020512200, -0.113992200, -0.375966000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0544658000, 0.0559562000, 0.0599835000, 0.0717614000, 0.1048586000, 0.1973518000, 0.4571095000", \
+                        "0.0540045000, 0.0555083000, 0.0595638000, 0.0714129000, 0.1045284000, 0.1970975000, 0.4574470000", \
+                        "0.0530197000, 0.0545277000, 0.0588307000, 0.0707566000, 0.1040973000, 0.1968323000, 0.4571198000", \
+                        "0.0520749000, 0.0534723000, 0.0577903000, 0.0697466000, 0.1032137000, 0.1961499000, 0.4566939000", \
+                        "0.0510458000, 0.0525347000, 0.0568070000, 0.0686036000, 0.1021390000, 0.1952136000, 0.4558781000", \
+                        "0.0505036000, 0.0519561000, 0.0562282000, 0.0681005000, 0.1010342000, 0.1941495000, 0.4550029000", \
+                        "0.0488229000, 0.0503015000, 0.0545728000, 0.0671363000, 0.1003978000, 0.1935604000, 0.4535081000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0302688000, 0.0288083000, 0.0247579000, 0.0131676000, -0.019475300, -0.112361000, -0.374933900", \
+                        "0.0299341000, 0.0284707000, 0.0243305000, 0.0127557000, -0.019839300, -0.112678800, -0.375234700", \
+                        "0.0294092000, 0.0279650000, 0.0239288000, 0.0123518000, -0.020262300, -0.112944100, -0.375520300", \
+                        "0.0287223000, 0.0272943000, 0.0231942000, 0.0117371000, -0.020738500, -0.113302900, -0.375759300", \
+                        "0.0282017000, 0.0267667000, 0.0226755000, 0.0112398000, -0.021160900, -0.113587200, -0.375843200", \
+                        "0.0282989000, 0.0268208000, 0.0226803000, 0.0109056000, -0.021806300, -0.114261600, -0.376347000", \
+                        "0.0298117000, 0.0283509000, 0.0241266000, 0.0122734000, -0.020800300, -0.114381500, -0.376567200");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0656566000, 0.0671949000, 0.0711356000, 0.0829324000, 0.1160739000, 0.2086735000, 0.4690819000", \
+                        "0.0652911000, 0.0666609000, 0.0707460000, 0.0825732000, 0.1157552000, 0.2083764000, 0.4687773000", \
+                        "0.0646514000, 0.0663538000, 0.0703813000, 0.0822300000, 0.1154985000, 0.2082918000, 0.4683546000", \
+                        "0.0641798000, 0.0656163000, 0.0700869000, 0.0817687000, 0.1149867000, 0.2077813000, 0.4685010000", \
+                        "0.0637448000, 0.0652511000, 0.0694875000, 0.0812767000, 0.1144962000, 0.2072476000, 0.4680689000", \
+                        "0.0636562000, 0.0651908000, 0.0694741000, 0.0812847000, 0.1142260000, 0.2071672000, 0.4676047000", \
+                        "0.0626060000, 0.0640273000, 0.0684984000, 0.0809993000, 0.1143476000, 0.2071161000, 0.4675350000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0102263000, 0.0088686000, 0.0049933000, -0.006373800, -0.039200700, -0.132401000, -0.395612800", \
+                        "0.0096843000, 0.0083805000, 0.0046204000, -0.006519800, -0.039082800, -0.132124400, -0.395284700", \
+                        "0.0089692000, 0.0076993000, 0.0039742000, -0.006955200, -0.039174200, -0.131924600, -0.394938800", \
+                        "0.0081225000, 0.0068616000, 0.0031360000, -0.007790500, -0.039708900, -0.132074100, -0.394845200", \
+                        "0.0079151000, 0.0065004000, 0.0025781000, -0.008374000, -0.040394300, -0.132533900, -0.394987800", \
+                        "0.0092460000, 0.0077176000, 0.0034984000, -0.008182800, -0.040718900, -0.133321700, -0.395526400", \
+                        "0.0132181000, 0.0115731000, 0.0069952000, -0.005371200, -0.039320600, -0.131611200, -0.395698600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0397343000, 0.0414025000, 0.0458187000, 0.0579274000, 0.0914463000, 0.1844203000, 0.4445760000", \
+                        "0.0391156000, 0.0406920000, 0.0451554000, 0.0572473000, 0.0910800000, 0.1839581000, 0.4442736000", \
+                        "0.0380187000, 0.0396739000, 0.0441225000, 0.0562301000, 0.0902209000, 0.1836820000, 0.4443456000", \
+                        "0.0372774000, 0.0388756000, 0.0431924000, 0.0550595000, 0.0890679000, 0.1826366000, 0.4439148000", \
+                        "0.0372482000, 0.0387278000, 0.0429049000, 0.0547830000, 0.0879884000, 0.1812268000, 0.4429036000", \
+                        "0.0378416000, 0.0393090000, 0.0434913000, 0.0552754000, 0.0885517000, 0.1809267000, 0.4417179000", \
+                        "0.0418625000, 0.0432153000, 0.0471429000, 0.0582656000, 0.0905266000, 0.1808693000, 0.4405905000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0132651000, 0.0118955000, 0.0079330000, -0.003528800, -0.036412200, -0.129638400, -0.392910400", \
+                        "0.0127093000, 0.0113932000, 0.0076019000, -0.003613700, -0.036263800, -0.129364900, -0.392545000", \
+                        "0.0118289000, 0.0105485000, 0.0068633000, -0.004070500, -0.036354600, -0.129175800, -0.392232900", \
+                        "0.0108067000, 0.0094992000, 0.0057612000, -0.005030700, -0.036897100, -0.129299400, -0.392090500", \
+                        "0.0107241000, 0.0093482000, 0.0051328000, -0.006110800, -0.037902800, -0.129823800, -0.392203600", \
+                        "0.0111736000, 0.0097096000, 0.0056337000, -0.005921400, -0.038358000, -0.130832700, -0.392761800", \
+                        "0.0146325000, 0.0130111000, 0.0085786000, -0.003699400, -0.037312600, -0.130475700, -0.393173100");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0537850000, 0.0551719000, 0.0594628000, 0.0712795000, 0.1044275000, 0.1972826000, 0.4574210000", \
+                        "0.0529348000, 0.0546709000, 0.0587288000, 0.0707145000, 0.1040372000, 0.1969175000, 0.4574128000", \
+                        "0.0521410000, 0.0537154000, 0.0581955000, 0.0702084000, 0.1037314000, 0.1968372000, 0.4573818000", \
+                        "0.0517419000, 0.0531286000, 0.0574055000, 0.0693654000, 0.1029324000, 0.1964982000, 0.4568732000", \
+                        "0.0512530000, 0.0527690000, 0.0569220000, 0.0688278000, 0.1021283000, 0.1954371000, 0.4564109000", \
+                        "0.0536827000, 0.0551697000, 0.0595200000, 0.0707031000, 0.1033649000, 0.1956224000, 0.4561915000", \
+                        "0.0574036000, 0.0587795000, 0.0627099000, 0.0739175000, 0.1062040000, 0.1978908000, 0.4578756000");
+                }
+            }
+            max_capacitance : 0.2517800000;
+            max_transition : 1.9155600000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0630657000, 0.0654408000, 0.0722250000, 0.0909962000, 0.1402217000, 0.2735502000, 0.6444260000", \
+                        "0.0665302000, 0.0689019000, 0.0757836000, 0.0943391000, 0.1435266000, 0.2772597000, 0.6481833000", \
+                        "0.0752580000, 0.0777904000, 0.0848710000, 0.1034613000, 0.1528853000, 0.2864013000, 0.6578349000", \
+                        "0.0976183000, 0.1000441000, 0.1066723000, 0.1249241000, 0.1747367000, 0.3087677000, 0.6797549000", \
+                        "0.1327838000, 0.1362835000, 0.1457159000, 0.1698431000, 0.2254226000, 0.3590586000, 0.7304173000", \
+                        "0.1714766000, 0.1767238000, 0.1908316000, 0.2261385000, 0.3087844000, 0.4776692000, 0.8513563000", \
+                        "0.1855081000, 0.1933455000, 0.2139552000, 0.2675513000, 0.3926483000, 0.6468102000, 1.1234380000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1266089000, 0.1313370000, 0.1444580000, 0.1813859000, 0.2819532000, 0.5625286000, 1.3514893000", \
+                        "0.1317187000, 0.1364690000, 0.1499684000, 0.1869440000, 0.2883845000, 0.5698871000, 1.3586670000", \
+                        "0.1438973000, 0.1486142000, 0.1623210000, 0.1994360000, 0.3017912000, 0.5838810000, 1.3732124000", \
+                        "0.1731464000, 0.1778436000, 0.1917248000, 0.2289467000, 0.3314844000, 0.6148539000, 1.4051470000", \
+                        "0.2368378000, 0.2418173000, 0.2553190000, 0.2920380000, 0.3940128000, 0.6775875000, 1.4704280000", \
+                        "0.3486082000, 0.3548779000, 0.3728046000, 0.4185858000, 0.5323070000, 0.8161334000, 1.6089333000", \
+                        "0.5359468000, 0.5461029000, 0.5733357000, 0.6410683000, 0.7961520000, 1.1308794000, 1.9303530000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0577527000, 0.0607191000, 0.0690385000, 0.0926684000, 0.1588076000, 0.3438747000, 0.8675964000", \
+                        "0.0577628000, 0.0606605000, 0.0690083000, 0.0927139000, 0.1585741000, 0.3437919000, 0.8674793000", \
+                        "0.0572097000, 0.0603463000, 0.0688789000, 0.0925058000, 0.1586568000, 0.3440579000, 0.8674069000", \
+                        "0.0607231000, 0.0633508000, 0.0715150000, 0.0938015000, 0.1582614000, 0.3441498000, 0.8679141000", \
+                        "0.0818785000, 0.0854325000, 0.0946505000, 0.1184768000, 0.1751785000, 0.3454305000, 0.8679409000", \
+                        "0.1231947000, 0.1280580000, 0.1405956000, 0.1712885000, 0.2429569000, 0.3989612000, 0.8726148000", \
+                        "0.1988126000, 0.2060646000, 0.2249872000, 0.2707801000, 0.3700002000, 0.5704678000, 1.0067406000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0822411000, 0.0883106000, 0.1057198000, 0.1544289000, 0.2912195000, 0.6751363000, 1.7584443000", \
+                        "0.0822301000, 0.0882819000, 0.1058222000, 0.1543742000, 0.2912498000, 0.6771178000, 1.7560209000", \
+                        "0.0823610000, 0.0883258000, 0.1058442000, 0.1545631000, 0.2920006000, 0.6756536000, 1.7566049000", \
+                        "0.0823736000, 0.0885544000, 0.1058972000, 0.1546328000, 0.2913496000, 0.6754648000, 1.7545466000", \
+                        "0.0894775000, 0.0950810000, 0.1111683000, 0.1574837000, 0.2915996000, 0.6748923000, 1.7612699000", \
+                        "0.1225115000, 0.1288143000, 0.1455064000, 0.1914552000, 0.3120153000, 0.6773513000, 1.7550136000", \
+                        "0.2003166000, 0.2077214000, 0.2275378000, 0.2796592000, 0.4099772000, 0.7404953000, 1.7629052000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0741493000, 0.0767697000, 0.0835593000, 0.1020553000, 0.1511691000, 0.2848718000, 0.6562631000", \
+                        "0.0783165000, 0.0806582000, 0.0873394000, 0.1060780000, 0.1552259000, 0.2888473000, 0.6600450000", \
+                        "0.0866180000, 0.0892044000, 0.0961517000, 0.1147227000, 0.1640427000, 0.2974340000, 0.6684897000", \
+                        "0.1059908000, 0.1085132000, 0.1153248000, 0.1337805000, 0.1834287000, 0.3174092000, 0.6884195000", \
+                        "0.1395357000, 0.1427290000, 0.1514164000, 0.1738143000, 0.2272597000, 0.3627744000, 0.7360753000", \
+                        "0.1808510000, 0.1854912000, 0.1979486000, 0.2293609000, 0.3028022000, 0.4621385000, 0.8416398000", \
+                        "0.2020230000, 0.2091689000, 0.2283191000, 0.2766700000, 0.3895697000, 0.6198591000, 1.0740526000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1672817000, 0.1724475000, 0.1865223000, 0.2246793000, 0.3323867000, 0.6330334000, 1.4786321000", \
+                        "0.1721066000, 0.1770584000, 0.1913127000, 0.2298481000, 0.3379313000, 0.6386243000, 1.4830051000", \
+                        "0.1842831000, 0.1894627000, 0.2030960000, 0.2428649000, 0.3510533000, 0.6524219000, 1.4982323000", \
+                        "0.2134942000, 0.2187805000, 0.2326736000, 0.2723569000, 0.3812585000, 0.6833099000, 1.5330348000", \
+                        "0.2767469000, 0.2814365000, 0.2955551000, 0.3343506000, 0.4432583000, 0.7466389000, 1.5933176000", \
+                        "0.3979528000, 0.4029951000, 0.4208920000, 0.4647612000, 0.5809624000, 0.8833232000, 1.7308945000", \
+                        "0.6089800000, 0.6175745000, 0.6417589000, 0.7025645000, 0.8523728000, 1.1957992000, 2.0470872000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0575554000, 0.0605805000, 0.0691004000, 0.0925988000, 0.1586251000, 0.3442561000, 0.8684707000", \
+                        "0.0576427000, 0.0606380000, 0.0689536000, 0.0927303000, 0.1584761000, 0.3443620000, 0.8680608000", \
+                        "0.0573674000, 0.0604000000, 0.0689509000, 0.0923691000, 0.1585739000, 0.3439877000, 0.8675514000", \
+                        "0.0599300000, 0.0627796000, 0.0707367000, 0.0933468000, 0.1582924000, 0.3441448000, 0.8675381000", \
+                        "0.0758581000, 0.0788467000, 0.0872384000, 0.1098382000, 0.1682839000, 0.3451579000, 0.8685843000", \
+                        "0.1146300000, 0.1185688000, 0.1287653000, 0.1545956000, 0.2184952000, 0.3794771000, 0.8706168000", \
+                        "0.1885389000, 0.1940320000, 0.2082562000, 0.2452468000, 0.3278577000, 0.5085881000, 0.9569705000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1144142000, 0.1208270000, 0.1390101000, 0.1917489000, 0.3385895000, 0.7501653000, 1.9114126000", \
+                        "0.1145875000, 0.1207890000, 0.1390320000, 0.1916865000, 0.3384553000, 0.7507847000, 1.9053870000", \
+                        "0.1142566000, 0.1205075000, 0.1393398000, 0.1920321000, 0.3384999000, 0.7489070000, 1.9068571000", \
+                        "0.1140420000, 0.1210246000, 0.1393259000, 0.1915415000, 0.3384132000, 0.7496070000, 1.9097892000", \
+                        "0.1176752000, 0.1240193000, 0.1418895000, 0.1928720000, 0.3386913000, 0.7499905000, 1.9091264000", \
+                        "0.1482071000, 0.1547798000, 0.1728146000, 0.2210891000, 0.3533913000, 0.7503762000, 1.9057771000", \
+                        "0.2258288000, 0.2330775000, 0.2531194000, 0.3070152000, 0.4441484000, 0.8030375000, 1.9155597000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0779121000, 0.0804319000, 0.0875148000, 0.1056135000, 0.1549190000, 0.2886099000, 0.6594363000", \
+                        "0.0818014000, 0.0841068000, 0.0909169000, 0.1095809000, 0.1586909000, 0.2922841000, 0.6636789000", \
+                        "0.0888331000, 0.0914208000, 0.0985318000, 0.1168845000, 0.1661536000, 0.2998844000, 0.6709920000", \
+                        "0.1029832000, 0.1055433000, 0.1124803000, 0.1309619000, 0.1803626000, 0.3143191000, 0.6856697000", \
+                        "0.1271460000, 0.1301894000, 0.1375344000, 0.1578698000, 0.2105402000, 0.3453686000, 0.7177897000", \
+                        "0.1605339000, 0.1639826000, 0.1740447000, 0.1998106000, 0.2638474000, 0.4124352000, 0.7890070000", \
+                        "0.1776121000, 0.1834283000, 0.1991179000, 0.2385754000, 0.3296012000, 0.5226431000, 0.9460080000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1789357000, 0.1843792000, 0.1983718000, 0.2359599000, 0.3409948000, 0.6321601000, 1.4476842000", \
+                        "0.1846445000, 0.1895201000, 0.2034285000, 0.2408570000, 0.3456534000, 0.6374285000, 1.4532232000", \
+                        "0.1976066000, 0.2017601000, 0.2164060000, 0.2542436000, 0.3589384000, 0.6507277000, 1.4663430000", \
+                        "0.2275338000, 0.2324228000, 0.2447277000, 0.2843176000, 0.3895675000, 0.6811195000, 1.4968901000", \
+                        "0.2874144000, 0.2920739000, 0.3054593000, 0.3435585000, 0.4495730000, 0.7411936000, 1.5575325000", \
+                        "0.4034826000, 0.4092005000, 0.4233074000, 0.4666882000, 0.5777315000, 0.8696167000, 1.6867905000", \
+                        "0.6040872000, 0.6119435000, 0.6327228000, 0.6879160000, 0.8291991000, 1.1581146000, 1.9796800000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0575620000, 0.0605556000, 0.0690128000, 0.0926581000, 0.1585032000, 0.3442407000, 0.8675564000", \
+                        "0.0576069000, 0.0604886000, 0.0689377000, 0.0926796000, 0.1584495000, 0.3440454000, 0.8679918000", \
+                        "0.0574685000, 0.0604987000, 0.0689532000, 0.0926209000, 0.1586420000, 0.3443646000, 0.8671929000", \
+                        "0.0590806000, 0.0619066000, 0.0701702000, 0.0931906000, 0.1583072000, 0.3441145000, 0.8674279000", \
+                        "0.0686556000, 0.0719314000, 0.0802626000, 0.1029439000, 0.1650091000, 0.3453841000, 0.8684359000", \
+                        "0.0968441000, 0.1001727000, 0.1085859000, 0.1324772000, 0.1966347000, 0.3680520000, 0.8725299000", \
+                        "0.1615900000, 0.1657499000, 0.1771642000, 0.2061199000, 0.2763627000, 0.4500575000, 0.9287308000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1319592000, 0.1386206000, 0.1558127000, 0.2064446000, 0.3485766000, 0.7486793000, 1.8675359000", \
+                        "0.1321309000, 0.1375626000, 0.1554684000, 0.2064667000, 0.3486608000, 0.7468695000, 1.8711628000", \
+                        "0.1310299000, 0.1383956000, 0.1557712000, 0.2064539000, 0.3486796000, 0.7465225000, 1.8675961000", \
+                        "0.1309379000, 0.1374771000, 0.1563026000, 0.2064442000, 0.3488362000, 0.7486849000, 1.8669539000", \
+                        "0.1342162000, 0.1407284000, 0.1578258000, 0.2073534000, 0.3485236000, 0.7474032000, 1.8677289000", \
+                        "0.1619904000, 0.1681493000, 0.1864357000, 0.2340710000, 0.3644383000, 0.7489770000, 1.8698765000", \
+                        "0.2343623000, 0.2411975000, 0.2612291000, 0.3128327000, 0.4476143000, 0.8042446000, 1.8753150000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0228628000, 0.0243698000, 0.0287636000, 0.0400161000, 0.0712259000, 0.1583423000, 0.4035713000", \
+                        "0.0267291000, 0.0282752000, 0.0326503000, 0.0442090000, 0.0756659000, 0.1629010000, 0.4080534000", \
+                        "0.0353045000, 0.0372398000, 0.0425300000, 0.0541318000, 0.0857220000, 0.1734406000, 0.4185534000", \
+                        "0.0453721000, 0.0485177000, 0.0563905000, 0.0737827000, 0.1095528000, 0.1972618000, 0.4419445000", \
+                        "0.0515353000, 0.0562343000, 0.0683358000, 0.0961188000, 0.1509936000, 0.2524491000, 0.4974011000", \
+                        "0.0420613000, 0.0495576000, 0.0676598000, 0.1106520000, 0.1953875000, 0.3509191000, 0.6251089000", \
+                        "-0.013524200, -0.002595800, 0.0241975000, 0.0899586000, 0.2233417000, 0.4613413000, 0.8736000000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1136998000, 0.1186065000, 0.1340197000, 0.1737656000, 0.2804877000, 0.5744935000, 1.3979263000", \
+                        "0.1173851000, 0.1229418000, 0.1373077000, 0.1769244000, 0.2847414000, 0.5796778000, 1.4024243000", \
+                        "0.1270502000, 0.1316467000, 0.1468616000, 0.1860706000, 0.2948323000, 0.5906068000, 1.4142829000", \
+                        "0.1540890000, 0.1595307000, 0.1735387000, 0.2115879000, 0.3200727000, 0.6169341000, 1.4419161000", \
+                        "0.2212308000, 0.2271368000, 0.2421603000, 0.2799719000, 0.3846605000, 0.6833645000, 1.5107473000", \
+                        "0.3518417000, 0.3595153000, 0.3800608000, 0.4307447000, 0.5479623000, 0.8387391000, 1.6628048000", \
+                        "0.5616945000, 0.5725486000, 0.6032336000, 0.6810676000, 0.8573455000, 1.2105578000, 2.0276452000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0276447000, 0.0295649000, 0.0349438000, 0.0501059000, 0.0924258000, 0.2125546000, 0.5484127000", \
+                        "0.0275705000, 0.0295002000, 0.0349362000, 0.0500720000, 0.0925305000, 0.2116680000, 0.5484060000", \
+                        "0.0322993000, 0.0337509000, 0.0379314000, 0.0512665000, 0.0924049000, 0.2126024000, 0.5482601000", \
+                        "0.0470554000, 0.0486727000, 0.0532219000, 0.0646630000, 0.0985931000, 0.2119290000, 0.5484762000", \
+                        "0.0762059000, 0.0781390000, 0.0835346000, 0.0980672000, 0.1334511000, 0.2270089000, 0.5480060000", \
+                        "0.1291810000, 0.1318989000, 0.1399458000, 0.1597273000, 0.2083484000, 0.3094888000, 0.5764449000", \
+                        "0.2233058000, 0.2272196000, 0.2400696000, 0.2682402000, 0.3377887000, 0.4828309000, 0.7606898000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1079814000, 0.1147154000, 0.1324694000, 0.1825834000, 0.3254048000, 0.7235146000, 1.8475274000", \
+                        "0.1080164000, 0.1140606000, 0.1323451000, 0.1826349000, 0.3245940000, 0.7234882000, 1.8444714000", \
+                        "0.1078102000, 0.1144344000, 0.1324612000, 0.1828586000, 0.3246151000, 0.7245126000, 1.8454874000", \
+                        "0.1061760000, 0.1126199000, 0.1314782000, 0.1824914000, 0.3244301000, 0.7235148000, 1.8453477000", \
+                        "0.1227137000, 0.1276724000, 0.1423220000, 0.1877989000, 0.3239465000, 0.7233898000, 1.8537267000", \
+                        "0.1755682000, 0.1828397000, 0.2016059000, 0.2484398000, 0.3601431000, 0.7240319000, 1.8447206000", \
+                        "0.2677529000, 0.2785008000, 0.3060349000, 0.3724120000, 0.5159935000, 0.8248174000, 1.8530910000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0286764000, 0.0301934000, 0.0342822000, 0.0455736000, 0.0768841000, 0.1638776000, 0.4088483000", \
+                        "0.0326900000, 0.0342208000, 0.0385830000, 0.0499794000, 0.0813320000, 0.1686067000, 0.4135846000", \
+                        "0.0402271000, 0.0419776000, 0.0466441000, 0.0585483000, 0.0901436000, 0.1775979000, 0.4227503000", \
+                        "0.0506531000, 0.0532617000, 0.0595138000, 0.0745228000, 0.1090853000, 0.1970882000, 0.4427255000", \
+                        "0.0604525000, 0.0640546000, 0.0736809000, 0.0961773000, 0.1427820000, 0.2413537000, 0.4877563000", \
+                        "0.0567370000, 0.0628141000, 0.0786279000, 0.1139775000, 0.1865996000, 0.3197594000, 0.5904712000", \
+                        "0.0094019000, 0.0186559000, 0.0437334000, 0.1017818000, 0.2172943000, 0.4244050000, 0.7825129000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1428129000, 0.1482367000, 0.1623572000, 0.2000247000, 0.3055006000, 0.5963472000, 1.4131912000", \
+                        "0.1463693000, 0.1510980000, 0.1654645000, 0.2038497000, 0.3092663000, 0.6010220000, 1.4167282000", \
+                        "0.1564045000, 0.1614614000, 0.1745699000, 0.2133343000, 0.3194096000, 0.6116207000, 1.4285777000", \
+                        "0.1829183000, 0.1879877000, 0.2020005000, 0.2403837000, 0.3457387000, 0.6384091000, 1.4564789000", \
+                        "0.2504213000, 0.2553519000, 0.2684888000, 0.3063798000, 0.4120352000, 0.7045515000, 1.5239653000", \
+                        "0.3895200000, 0.3958117000, 0.4136028000, 0.4587492000, 0.5692063000, 0.8553145000, 1.6720798000", \
+                        "0.6122353000, 0.6221197000, 0.6488952000, 0.7176376000, 0.8821526000, 1.2265209000, 2.0311315000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0276202000, 0.0295423000, 0.0349271000, 0.0500310000, 0.0923760000, 0.2118897000, 0.5483888000", \
+                        "0.0276569000, 0.0295619000, 0.0349383000, 0.0500512000, 0.0924142000, 0.2118188000, 0.5485418000", \
+                        "0.0297162000, 0.0314112000, 0.0363381000, 0.0506109000, 0.0924344000, 0.2117120000, 0.5486273000", \
+                        "0.0396062000, 0.0411461000, 0.0455562000, 0.0580010000, 0.0956675000, 0.2118936000, 0.5485445000", \
+                        "0.0618745000, 0.0635622000, 0.0682401000, 0.0812287000, 0.1168194000, 0.2209088000, 0.5480907000", \
+                        "0.1066810000, 0.1088556000, 0.1144169000, 0.1303548000, 0.1712976000, 0.2716584000, 0.5668517000", \
+                        "0.1921372000, 0.1946776000, 0.2020090000, 0.2230917000, 0.2765496000, 0.3950065000, 0.6801013000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.1318296000, 0.1375300000, 0.1557944000, 0.2064068000, 0.3484968000, 0.7483363000, 1.8704487000", \
+                        "0.1309791000, 0.1381116000, 0.1554703000, 0.2064546000, 0.3488247000, 0.7467036000, 1.8674005000", \
+                        "0.1309437000, 0.1374439000, 0.1562095000, 0.2071143000, 0.3486143000, 0.7467579000, 1.8708943000", \
+                        "0.1306280000, 0.1368664000, 0.1553304000, 0.2062295000, 0.3486391000, 0.7473056000, 1.8706230000", \
+                        "0.1395991000, 0.1451272000, 0.1616725000, 0.2091450000, 0.3479345000, 0.7464853000, 1.8761086000", \
+                        "0.1959397000, 0.2027786000, 0.2221442000, 0.2647304000, 0.3843588000, 0.7501039000, 1.8724284000", \
+                        "0.2908698000, 0.3006607000, 0.3267835000, 0.3904190000, 0.5314923000, 0.8469735000, 1.8730781000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41o_1 */
+
+/* removed sky130_fd_sc_hd__a41o_2 */
+
+    cell ("sky130_fd_sc_hd__a41o_4") {
+        leakage_power () {
+            value : 0.0046105000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082481000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082575000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082581000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082869000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082599000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082888000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082912000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046109000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0089728000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0082703000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083003000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083028000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046103000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088094000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0083167000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046099000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088056000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0046100000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0088092000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0021909000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054482000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__a41o";
+        cell_leakage_power : 0.0063521180;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0042110000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088935000, 0.0088876000, 0.0088741000, 0.0088719000, 0.0088668000, 0.0088551000, 0.0088282000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006171300, -0.006172800, -0.006176500, -0.006159500, -0.006120300, -0.006030100, -0.005822100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043280000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0083726000, 0.0083698000, 0.0083633000, 0.0083959000, 0.0084713000, 0.0086448000, 0.0090450000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007752000, -0.007748400, -0.007740000, -0.007738100, -0.007733800, -0.007723800, -0.007700800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043620000;
+        }
+        pin ("A3") {
+            capacitance : 0.0043970000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078491000, 0.0078549000, 0.0078680000, 0.0078667000, 0.0078637000, 0.0078566000, 0.0078404000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007845000, -0.007846300, -0.007849200, -0.007851100, -0.007855500, -0.007865600, -0.007889000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045650000;
+        }
+        pin ("A4") {
+            capacitance : 0.0044010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078615000, 0.0078653000, 0.0078740000, 0.0078766000, 0.0078825000, 0.0078961000, 0.0079274000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007869500, -0.007867900, -0.007864300, -0.007863400, -0.007861100, -0.007856000, -0.007844000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046380000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045140000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039355000, 0.0039187000, 0.0038799000, 0.0039153000, 0.0039970000, 0.0041851000, 0.0046188000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003398400, -0.003409200, -0.003434000, -0.003438100, -0.003447300, -0.003468700, -0.003518000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048960000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&A2&A3&A4) | (B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0283566000, 0.0265670000, 0.0214184000, 0.0061189000, -0.049881000, -0.242562700, -0.868521400", \
+                        "0.0280678000, 0.0264028000, 0.0212607000, 0.0060403000, -0.049909300, -0.242778900, -0.868557800", \
+                        "0.0278946000, 0.0260994000, 0.0209503000, 0.0056863000, -0.050359400, -0.243007800, -0.868928700", \
+                        "0.0273414000, 0.0255613000, 0.0204113000, 0.0051336000, -0.050773500, -0.243517100, -0.869395100", \
+                        "0.0267200000, 0.0249667000, 0.0197803000, 0.0043789000, -0.051575000, -0.244261600, -0.869954200", \
+                        "0.0256060000, 0.0237654000, 0.0183663000, 0.0033590000, -0.052226000, -0.244540100, -0.870177700", \
+                        "0.0347086000, 0.0322526000, 0.0260554000, 0.0072155000, -0.052388500, -0.244896000, -0.870154200");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0338333000, 0.0356243000, 0.0417639000, 0.0615851000, 0.1225181000, 0.3156794000, 0.9357667000", \
+                        "0.0333588000, 0.0351549000, 0.0412926000, 0.0611566000, 0.1224145000, 0.3154337000, 0.9362187000", \
+                        "0.0331112000, 0.0349775000, 0.0410904000, 0.0607144000, 0.1220379000, 0.3154240000, 0.9345519000", \
+                        "0.0326079000, 0.0344323000, 0.0405597000, 0.0603972000, 0.1215607000, 0.3147928000, 0.9353658000", \
+                        "0.0326094000, 0.0344464000, 0.0404429000, 0.0601711000, 0.1206257000, 0.3141379000, 0.9349147000", \
+                        "0.0338426000, 0.0355877000, 0.0412813000, 0.0597400000, 0.1204101000, 0.3134558000, 0.9329565000", \
+                        "0.0368070000, 0.0383718000, 0.0439586000, 0.0623088000, 0.1221448000, 0.3145637000, 0.9323754000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0346549000, 0.0328990000, 0.0275833000, 0.0122223000, -0.043399500, -0.235572200, -0.861250600", \
+                        "0.0346663000, 0.0328870000, 0.0275853000, 0.0121122000, -0.043512300, -0.235751700, -0.861420900", \
+                        "0.0343455000, 0.0324895000, 0.0272440000, 0.0120322000, -0.043778300, -0.236040400, -0.861652200", \
+                        "0.0336994000, 0.0319681000, 0.0266361000, 0.0112380000, -0.044396700, -0.236524600, -0.862184500", \
+                        "0.0330929000, 0.0312577000, 0.0259732000, 0.0104660000, -0.045260900, -0.237388600, -0.862906800", \
+                        "0.0324240000, 0.0305331000, 0.0251662000, 0.0097977000, -0.045780200, -0.237886300, -0.863273700", \
+                        "0.0403502000, 0.0382967000, 0.0321176000, 0.0133000000, -0.045885200, -0.238597500, -0.863777600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352090000, 0.0370408000, 0.0431815000, 0.0628633000, 0.1241415000, 0.3173625000, 0.9370636000", \
+                        "0.0351157000, 0.0368996000, 0.0430367000, 0.0626601000, 0.1238578000, 0.3171228000, 0.9377107000", \
+                        "0.0347503000, 0.0365531000, 0.0426773000, 0.0622565000, 0.1236887000, 0.3170704000, 0.9404864000", \
+                        "0.0343557000, 0.0360742000, 0.0422013000, 0.0619166000, 0.1233850000, 0.3164428000, 0.9388132000", \
+                        "0.0344455000, 0.0362337000, 0.0422643000, 0.0617448000, 0.1228334000, 0.3160841000, 0.9384244000", \
+                        "0.0350807000, 0.0370292000, 0.0427457000, 0.0613545000, 0.1220861000, 0.3148963000, 0.9360292000", \
+                        "0.0378416000, 0.0395152000, 0.0450949000, 0.0637751000, 0.1234246000, 0.3159692000, 0.9328086000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0403557000, 0.0385641000, 0.0331159000, 0.0178161000, -0.037840100, -0.229758500, -0.855075300", \
+                        "0.0399791000, 0.0381966000, 0.0328705000, 0.0174838000, -0.037974900, -0.229871500, -0.855227900", \
+                        "0.0399757000, 0.0381705000, 0.0328181000, 0.0174059000, -0.038200600, -0.230231300, -0.855485900", \
+                        "0.0395812000, 0.0378202000, 0.0324444000, 0.0170309000, -0.038622000, -0.230500600, -0.855845800", \
+                        "0.0389614000, 0.0371668000, 0.0318093000, 0.0163859000, -0.039164000, -0.231141500, -0.856286100", \
+                        "0.0388110000, 0.0370585000, 0.0316474000, 0.0160690000, -0.039430300, -0.231320600, -0.856493200", \
+                        "0.0472567000, 0.0452092000, 0.0390311000, 0.0201257000, -0.039786400, -0.231862900, -0.856713700");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352324000, 0.0370341000, 0.0431742000, 0.0628031000, 0.1240054000, 0.3173077000, 0.9377950000", \
+                        "0.0350356000, 0.0368914000, 0.0429733000, 0.0625792000, 0.1238348000, 0.3171586000, 0.9376107000", \
+                        "0.0346191000, 0.0365214000, 0.0426178000, 0.0622063000, 0.1236449000, 0.3170022000, 0.9403905000", \
+                        "0.0343278000, 0.0361146000, 0.0422544000, 0.0618658000, 0.1233662000, 0.3164119000, 0.9387489000", \
+                        "0.0340978000, 0.0359312000, 0.0419462000, 0.0616662000, 0.1226481000, 0.3161689000, 0.9367587000", \
+                        "0.0351113000, 0.0368784000, 0.0426614000, 0.0612501000, 0.1224490000, 0.3152237000, 0.9351694000", \
+                        "0.0370366000, 0.0387233000, 0.0443706000, 0.0631729000, 0.1234732000, 0.3161723000, 0.9338160000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0450691000, 0.0432673000, 0.0379496000, 0.0225730000, -0.032708000, -0.224240900, -0.849146400", \
+                        "0.0448084000, 0.0429961000, 0.0376185000, 0.0222254000, -0.033043000, -0.224393300, -0.849350100", \
+                        "0.0443803000, 0.0425954000, 0.0372545000, 0.0220401000, -0.033293800, -0.224736900, -0.849649400", \
+                        "0.0443311000, 0.0425185000, 0.0371812000, 0.0218069000, -0.033464700, -0.224973400, -0.849939300", \
+                        "0.0438487000, 0.0420422000, 0.0366650000, 0.0212830000, -0.033968400, -0.225379700, -0.850250300", \
+                        "0.0444455000, 0.0426674000, 0.0371784000, 0.0214707000, -0.034050600, -0.225538000, -0.850406700", \
+                        "0.0529207000, 0.0508729000, 0.0447423000, 0.0257731000, -0.034195000, -0.226101300, -0.850570300");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0352459000, 0.0370984000, 0.0431815000, 0.0627875000, 0.1240433000, 0.3173460000, 0.9377597000", \
+                        "0.0348994000, 0.0367251000, 0.0428280000, 0.0626215000, 0.1238822000, 0.3170619000, 0.9363928000", \
+                        "0.0346165000, 0.0364723000, 0.0425521000, 0.0623546000, 0.1236541000, 0.3169981000, 0.9388711000", \
+                        "0.0342458000, 0.0361000000, 0.0422027000, 0.0618820000, 0.1233086000, 0.3165759000, 0.9399334000", \
+                        "0.0340523000, 0.0358858000, 0.0419435000, 0.0614765000, 0.1226252000, 0.3161127000, 0.9363976000", \
+                        "0.0354089000, 0.0371923000, 0.0429965000, 0.0612362000, 0.1224440000, 0.3152548000, 0.9373385000", \
+                        "0.0369649000, 0.0386596000, 0.0443766000, 0.0631184000, 0.1230420000, 0.3156195000, 0.9339815000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0430353000, 0.0411739000, 0.0358115000, 0.0205105000, -0.034691400, -0.226051600, -0.850947200", \
+                        "0.0427847000, 0.0409430000, 0.0358425000, 0.0203843000, -0.034834300, -0.226340600, -0.851186800", \
+                        "0.0424475000, 0.0405546000, 0.0353112000, 0.0198995000, -0.035236500, -0.226697300, -0.851573400", \
+                        "0.0420354000, 0.0403004000, 0.0349262000, 0.0195810000, -0.035663000, -0.227111100, -0.851946300", \
+                        "0.0415580000, 0.0398134000, 0.0344628000, 0.0190226000, -0.036367100, -0.227684700, -0.852493800", \
+                        "0.0423874000, 0.0405541000, 0.0350424000, 0.0193355000, -0.036321400, -0.227760300, -0.852331700", \
+                        "0.0542434000, 0.0520001000, 0.0454990000, 0.0261681000, -0.032659800, -0.225355000, -0.850275600");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
+                    values("0.0240264000, 0.0260792000, 0.0325039000, 0.0520580000, 0.1118664000, 0.3039243000, 0.9233464000", \
+                        "0.0239445000, 0.0259866000, 0.0324230000, 0.0519706000, 0.1118424000, 0.3039011000, 0.9239186000", \
+                        "0.0237323000, 0.0257321000, 0.0320857000, 0.0515570000, 0.1116545000, 0.3039013000, 0.9275183000", \
+                        "0.0232338000, 0.0251642000, 0.0314041000, 0.0507404000, 0.1109710000, 0.3029268000, 0.9207356000", \
+                        "0.0233640000, 0.0251964000, 0.0311067000, 0.0504307000, 0.1104745000, 0.3030241000, 0.9235364000", \
+                        "0.0248931000, 0.0266617000, 0.0324365000, 0.0512065000, 0.1105823000, 0.3022687000, 0.9223149000", \
+                        "0.0286964000, 0.0302440000, 0.0357174000, 0.0540921000, 0.1141410000, 0.3053202000, 0.9213523000");
+                }
+            }
+            max_capacitance : 0.5603130000;
+            max_transition : 1.5019620000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1752514000, 0.1791203000, 0.1892402000, 0.2123169000, 0.2610626000, 0.3765588000, 0.7077884000", \
+                        "0.1810962000, 0.1849696000, 0.1948706000, 0.2179863000, 0.2669347000, 0.3822915000, 0.7138947000", \
+                        "0.1943133000, 0.1982127000, 0.2083303000, 0.2312909000, 0.2802480000, 0.3955737000, 0.7265627000", \
+                        "0.2243421000, 0.2282099000, 0.2382933000, 0.2611022000, 0.3100811000, 0.4255340000, 0.7563434000", \
+                        "0.2892406000, 0.2930873000, 0.3031748000, 0.3260370000, 0.3750517000, 0.4905000000, 0.8220858000", \
+                        "0.4156313000, 0.4199282000, 0.4310825000, 0.4562251000, 0.5083077000, 0.6263552000, 0.9575946000", \
+                        "0.6293223000, 0.6346536000, 0.6483119000, 0.6784631000, 0.7396329000, 0.8676851000, 1.2019676000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1461379000, 0.1516169000, 0.1660870000, 0.2001547000, 0.2814216000, 0.5072767000, 1.2164513000", \
+                        "0.1493791000, 0.1548650000, 0.1693780000, 0.2034896000, 0.2847993000, 0.5105574000, 1.2197858000", \
+                        "0.1579377000, 0.1634175000, 0.1779224000, 0.2119935000, 0.2933181000, 0.5188925000, 1.2305049000", \
+                        "0.1781200000, 0.1836060000, 0.1981581000, 0.2322415000, 0.3134594000, 0.5393937000, 1.2486778000", \
+                        "0.2264467000, 0.2318251000, 0.2462109000, 0.2802640000, 0.3618031000, 0.5874718000, 1.2963699000", \
+                        "0.2969285000, 0.3027674000, 0.3175987000, 0.3521641000, 0.4342047000, 0.6609791000, 1.3700651000", \
+                        "0.3666661000, 0.3739380000, 0.3924625000, 0.4317737000, 0.5154365000, 0.7413850000, 1.4522047000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0252063000, 0.0274268000, 0.0340146000, 0.0509178000, 0.0943433000, 0.2239810000, 0.6630501000", \
+                        "0.0251819000, 0.0277002000, 0.0341288000, 0.0513620000, 0.0945233000, 0.2242965000, 0.6644656000", \
+                        "0.0250751000, 0.0274864000, 0.0341061000, 0.0506719000, 0.0944516000, 0.2245173000, 0.6635229000", \
+                        "0.0249974000, 0.0274492000, 0.0339728000, 0.0508639000, 0.0946908000, 0.2246556000, 0.6640458000", \
+                        "0.0250869000, 0.0274996000, 0.0341027000, 0.0511351000, 0.0944645000, 0.2244578000, 0.6637077000", \
+                        "0.0306109000, 0.0333115000, 0.0404313000, 0.0571171000, 0.0998780000, 0.2275906000, 0.6639230000", \
+                        "0.0428126000, 0.0456007000, 0.0539458000, 0.0724845000, 0.1175443000, 0.2413572000, 0.6663838000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0312085000, 0.0353777000, 0.0469587000, 0.0780061000, 0.1705116000, 0.4794298000, 1.5005253000", \
+                        "0.0312782000, 0.0353818000, 0.0470021000, 0.0779977000, 0.1701456000, 0.4781017000, 1.4991723000", \
+                        "0.0311815000, 0.0355123000, 0.0470612000, 0.0780472000, 0.1706938000, 0.4792934000, 1.5018078000", \
+                        "0.0314397000, 0.0354191000, 0.0471003000, 0.0779307000, 0.1700635000, 0.4785637000, 1.4991850000", \
+                        "0.0317072000, 0.0357172000, 0.0473698000, 0.0785319000, 0.1705057000, 0.4791598000, 1.4980269000", \
+                        "0.0369726000, 0.0405209000, 0.0516069000, 0.0813609000, 0.1737468000, 0.4806289000, 1.4997764000", \
+                        "0.0495075000, 0.0540569000, 0.0656182000, 0.0928751000, 0.1787961000, 0.4822752000, 1.4960329000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2084035000, 0.2125618000, 0.2232894000, 0.2473198000, 0.2976568000, 0.4147988000, 0.7474036000", \
+                        "0.2141028000, 0.2182475000, 0.2289846000, 0.2530054000, 0.3037750000, 0.4206382000, 0.7526624000", \
+                        "0.2270611000, 0.2312170000, 0.2419979000, 0.2658729000, 0.3163270000, 0.4335012000, 0.7659363000", \
+                        "0.2571079000, 0.2612625000, 0.2719950000, 0.2960239000, 0.3464045000, 0.4635673000, 0.7962131000", \
+                        "0.3218594000, 0.3260863000, 0.3367729000, 0.3607606000, 0.4113594000, 0.5287186000, 0.8613418000", \
+                        "0.4549048000, 0.4593880000, 0.4709315000, 0.4965186000, 0.5488238000, 0.6676411000, 1.0002996000", \
+                        "0.6881120000, 0.6935225000, 0.7074501000, 0.7378831000, 0.7979073000, 0.9253940000, 1.2614298000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1626114000, 0.1680796000, 0.1825323000, 0.2166310000, 0.2979694000, 0.5234292000, 1.2326098000", \
+                        "0.1664008000, 0.1718874000, 0.1863561000, 0.2203952000, 0.3016112000, 0.5275075000, 1.2359093000", \
+                        "0.1749747000, 0.1804399000, 0.1948829000, 0.2290173000, 0.3103465000, 0.5357770000, 1.2469841000", \
+                        "0.1949106000, 0.2003215000, 0.2148216000, 0.2489157000, 0.3302970000, 0.5554036000, 1.2652615000", \
+                        "0.2395660000, 0.2450639000, 0.2596316000, 0.2937328000, 0.3750100000, 0.6003534000, 1.3094026000", \
+                        "0.3114933000, 0.3174848000, 0.3330377000, 0.3687185000, 0.4516343000, 0.6788103000, 1.3884410000", \
+                        "0.3895433000, 0.3970293000, 0.4159512000, 0.4567584000, 0.5429120000, 0.7698433000, 1.4798712000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0280233000, 0.0305922000, 0.0374384000, 0.0536687000, 0.0970564000, 0.2272409000, 0.6651744000", \
+                        "0.0280287000, 0.0305559000, 0.0371153000, 0.0540799000, 0.0970824000, 0.2270634000, 0.6651681000", \
+                        "0.0282405000, 0.0304380000, 0.0372756000, 0.0537527000, 0.0977265000, 0.2273754000, 0.6653935000", \
+                        "0.0280445000, 0.0306069000, 0.0374313000, 0.0536709000, 0.0970642000, 0.2272310000, 0.6652914000", \
+                        "0.0280469000, 0.0306168000, 0.0371371000, 0.0537485000, 0.0975663000, 0.2270545000, 0.6646811000", \
+                        "0.0320994000, 0.0348362000, 0.0413300000, 0.0586628000, 0.1009492000, 0.2286762000, 0.6659718000", \
+                        "0.0435616000, 0.0467400000, 0.0539672000, 0.0720928000, 0.1161741000, 0.2414245000, 0.6674505000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313448000, 0.0354562000, 0.0469858000, 0.0779929000, 0.1701743000, 0.4784647000, 1.5004373000", \
+                        "0.0312862000, 0.0354547000, 0.0470087000, 0.0781229000, 0.1701113000, 0.4788263000, 1.4971424000", \
+                        "0.0314535000, 0.0356178000, 0.0472135000, 0.0780695000, 0.1705415000, 0.4792411000, 1.5019579000", \
+                        "0.0314156000, 0.0354174000, 0.0471454000, 0.0781241000, 0.1700027000, 0.4785948000, 1.4981526000", \
+                        "0.0321451000, 0.0361981000, 0.0477292000, 0.0789871000, 0.1703809000, 0.4781920000, 1.4969748000", \
+                        "0.0363647000, 0.0407751000, 0.0523461000, 0.0826262000, 0.1738623000, 0.4807431000, 1.4988446000", \
+                        "0.0483433000, 0.0531669000, 0.0656092000, 0.0953566000, 0.1800782000, 0.4828592000, 1.4953170000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2208521000, 0.2250906000, 0.2359925000, 0.2602206000, 0.3111092000, 0.4279598000, 0.7610656000", \
+                        "0.2264531000, 0.2306852000, 0.2416375000, 0.2659224000, 0.3163745000, 0.4336926000, 0.7663901000", \
+                        "0.2399870000, 0.2442265000, 0.2551599000, 0.2793772000, 0.3302593000, 0.4471772000, 0.7802277000", \
+                        "0.2707384000, 0.2749689000, 0.2859101000, 0.3101157000, 0.3606507000, 0.4779604000, 0.8110062000", \
+                        "0.3355239000, 0.3397513000, 0.3506417000, 0.3749287000, 0.4257578000, 0.5430787000, 0.8761012000", \
+                        "0.4714280000, 0.4760451000, 0.4875532000, 0.5129129000, 0.5646264000, 0.6825269000, 1.0158043000", \
+                        "0.7116703000, 0.7171012000, 0.7307890000, 0.7604968000, 0.8199454000, 0.9462263000, 1.2816578000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1708478000, 0.1763283000, 0.1907895000, 0.2248420000, 0.3060447000, 0.5318668000, 1.2401106000", \
+                        "0.1745980000, 0.1800890000, 0.1945498000, 0.2286021000, 0.3098011000, 0.5355830000, 1.2440716000", \
+                        "0.1821749000, 0.1876431000, 0.2020933000, 0.2362389000, 0.3175778000, 0.5429726000, 1.2541402000", \
+                        "0.1979189000, 0.2033833000, 0.2177978000, 0.2518881000, 0.3332880000, 0.5584118000, 1.2680612000", \
+                        "0.2311284000, 0.2366685000, 0.2512844000, 0.2857349000, 0.3670065000, 0.5927756000, 1.3014522000", \
+                        "0.2873981000, 0.2933862000, 0.3090629000, 0.3450936000, 0.4282101000, 0.6550773000, 1.3673691000", \
+                        "0.3519727000, 0.3591384000, 0.3775853000, 0.4181636000, 0.5054936000, 0.7335346000, 1.4430807000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0290173000, 0.0315223000, 0.0388297000, 0.0544612000, 0.0978407000, 0.2268872000, 0.6660019000", \
+                        "0.0292310000, 0.0318142000, 0.0385830000, 0.0545914000, 0.0976621000, 0.2273587000, 0.6655940000", \
+                        "0.0290988000, 0.0316338000, 0.0384238000, 0.0545525000, 0.0978899000, 0.2274636000, 0.6660046000", \
+                        "0.0290727000, 0.0316210000, 0.0383773000, 0.0545987000, 0.0975420000, 0.2273429000, 0.6658036000", \
+                        "0.0292295000, 0.0318581000, 0.0381006000, 0.0546394000, 0.0979172000, 0.2269566000, 0.6650637000", \
+                        "0.0326398000, 0.0351477000, 0.0416795000, 0.0582123000, 0.0998739000, 0.2283109000, 0.6642956000", \
+                        "0.0427398000, 0.0457445000, 0.0542537000, 0.0708891000, 0.1143660000, 0.2396836000, 0.6670784000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313287000, 0.0354644000, 0.0470112000, 0.0781220000, 0.1702678000, 0.4791021000, 1.4981816000", \
+                        "0.0313246000, 0.0355038000, 0.0470115000, 0.0781049000, 0.1704204000, 0.4792357000, 1.4990194000", \
+                        "0.0311882000, 0.0354433000, 0.0472385000, 0.0780886000, 0.1705334000, 0.4792319000, 1.5019620000", \
+                        "0.0313997000, 0.0355936000, 0.0471749000, 0.0780951000, 0.1700144000, 0.4785612000, 1.4980901000", \
+                        "0.0319956000, 0.0362022000, 0.0477943000, 0.0785111000, 0.1705290000, 0.4792566000, 1.4978659000", \
+                        "0.0354013000, 0.0397251000, 0.0514088000, 0.0824821000, 0.1734943000, 0.4796122000, 1.5001551000", \
+                        "0.0460240000, 0.0505819000, 0.0626674000, 0.0940170000, 0.1800051000, 0.4826735000, 1.4964869000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2410485000, 0.2454709000, 0.2568412000, 0.2819583000, 0.3340698000, 0.4524888000, 0.7864164000", \
+                        "0.2460859000, 0.2505167000, 0.2618473000, 0.2868881000, 0.3391378000, 0.4574795000, 0.7917172000", \
+                        "0.2592834000, 0.2636923000, 0.2750424000, 0.3000772000, 0.3520401000, 0.4707898000, 0.8049722000", \
+                        "0.2888771000, 0.2933018000, 0.3046834000, 0.3298137000, 0.3819035000, 0.5003815000, 0.8343762000", \
+                        "0.3502996000, 0.3547797000, 0.3660220000, 0.3910245000, 0.4431869000, 0.5621863000, 0.8961218000", \
+                        "0.4789627000, 0.4835849000, 0.4955052000, 0.5213801000, 0.5743657000, 0.6936326000, 1.0278260000", \
+                        "0.7046645000, 0.7099994000, 0.7241543000, 0.7539749000, 0.8138581000, 0.9405312000, 1.2772817000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.1761856000, 0.1816757000, 0.1961402000, 0.2301902000, 0.3113901000, 0.5371392000, 1.2456103000", \
+                        "0.1800949000, 0.1855772000, 0.2000881000, 0.2342394000, 0.3155481000, 0.5411459000, 1.2499256000", \
+                        "0.1879246000, 0.1934088000, 0.2079332000, 0.2421238000, 0.3234292000, 0.5485477000, 1.2577395000", \
+                        "0.2025091000, 0.2079813000, 0.2224550000, 0.2567253000, 0.3379951000, 0.5631967000, 1.2737137000", \
+                        "0.2303163000, 0.2358227000, 0.2503042000, 0.2846393000, 0.3659616000, 0.5916156000, 1.3003612000", \
+                        "0.2751373000, 0.2810445000, 0.2965994000, 0.3325309000, 0.4157631000, 0.6418768000, 1.3506855000", \
+                        "0.3292962000, 0.3361681000, 0.3540822000, 0.3940359000, 0.4812716000, 0.7094981000, 1.4178754000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0312560000, 0.0338341000, 0.0407997000, 0.0572744000, 0.1003391000, 0.2299890000, 0.6656039000", \
+                        "0.0311327000, 0.0336976000, 0.0411793000, 0.0567406000, 0.1001514000, 0.2294996000, 0.6677875000", \
+                        "0.0312299000, 0.0340222000, 0.0408639000, 0.0570483000, 0.1004597000, 0.2299502000, 0.6671865000", \
+                        "0.0312294000, 0.0337930000, 0.0407461000, 0.0572572000, 0.1002958000, 0.2298046000, 0.6682758000", \
+                        "0.0312520000, 0.0338740000, 0.0411378000, 0.0575608000, 0.0998021000, 0.2294376000, 0.6677904000", \
+                        "0.0339923000, 0.0365755000, 0.0432951000, 0.0595861000, 0.1022825000, 0.2306543000, 0.6678573000", \
+                        "0.0437593000, 0.0469199000, 0.0548762000, 0.0716434000, 0.1150917000, 0.2409118000, 0.6685779000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313309000, 0.0355146000, 0.0470147000, 0.0781027000, 0.1704333000, 0.4792434000, 1.4991051000", \
+                        "0.0314525000, 0.0354559000, 0.0472843000, 0.0779579000, 0.1704301000, 0.4791403000, 1.5003612000", \
+                        "0.0315719000, 0.0356266000, 0.0472609000, 0.0779706000, 0.1704370000, 0.4789264000, 1.4975199000", \
+                        "0.0311329000, 0.0353449000, 0.0471723000, 0.0780804000, 0.1702056000, 0.4790275000, 1.5010134000", \
+                        "0.0317766000, 0.0358824000, 0.0474277000, 0.0785842000, 0.1708894000, 0.4793825000, 1.4997511000", \
+                        "0.0346567000, 0.0391544000, 0.0509248000, 0.0823933000, 0.1735508000, 0.4792481000, 1.4982235000", \
+                        "0.0428182000, 0.0472415000, 0.0599733000, 0.0917148000, 0.1800962000, 0.4822683000, 1.4981930000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.2072282000, 0.2116229000, 0.2229969000, 0.2480858000, 0.3003378000, 0.4191678000, 0.7535192000", \
+                        "0.2111326000, 0.2155620000, 0.2268983000, 0.2521068000, 0.3043012000, 0.4229365000, 0.7575783000", \
+                        "0.2214330000, 0.2258442000, 0.2372230000, 0.2620876000, 0.3139860000, 0.4330377000, 0.7671114000", \
+                        "0.2478567000, 0.2522755000, 0.2638543000, 0.2888894000, 0.3409798000, 0.4600459000, 0.7942103000", \
+                        "0.3142416000, 0.3186885000, 0.3299579000, 0.3549964000, 0.4071320000, 0.5261432000, 0.8605729000", \
+                        "0.4635771000, 0.4684100000, 0.4807453000, 0.5068427000, 0.5597615000, 0.6788016000, 1.0135619000", \
+                        "0.7089455000, 0.7152285000, 0.7309564000, 0.7640402000, 0.8240074000, 0.9478859000, 1.2849117000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0570989000, 0.0609560000, 0.0717899000, 0.1002234000, 0.1730440000, 0.3932248000, 1.0984375000", \
+                        "0.0618867000, 0.0657577000, 0.0765858000, 0.1050187000, 0.1779049000, 0.3978622000, 1.1054031000", \
+                        "0.0730108000, 0.0768356000, 0.0875808000, 0.1159710000, 0.1890914000, 0.4087506000, 1.1164475000", \
+                        "0.0951134000, 0.0991041000, 0.1101411000, 0.1386601000, 0.2119098000, 0.4315735000, 1.1478953000", \
+                        "0.1247925000, 0.1297778000, 0.1428091000, 0.1738966000, 0.2486437000, 0.4693222000, 1.1772505000", \
+                        "0.1552133000, 0.1620376000, 0.1798279000, 0.2180873000, 0.2970146000, 0.5180440000, 1.2279460000", \
+                        "0.1659175000, 0.1750542000, 0.1994561000, 0.2523741000, 0.3432131000, 0.5656097000, 1.2708894000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0313910000, 0.0340692000, 0.0403322000, 0.0574984000, 0.1002465000, 0.2292491000, 0.6677858000", \
+                        "0.0312936000, 0.0340093000, 0.0404162000, 0.0571154000, 0.1002455000, 0.2296427000, 0.6678712000", \
+                        "0.0315374000, 0.0337304000, 0.0404813000, 0.0573342000, 0.1004623000, 0.2296777000, 0.6678723000", \
+                        "0.0313809000, 0.0340219000, 0.0405700000, 0.0577597000, 0.1004392000, 0.2297419000, 0.6674458000", \
+                        "0.0312466000, 0.0336636000, 0.0411964000, 0.0574809000, 0.1002981000, 0.2295139000, 0.6651223000", \
+                        "0.0367009000, 0.0390709000, 0.0454577000, 0.0608918000, 0.1024936000, 0.2298953000, 0.6673047000", \
+                        "0.0539706000, 0.0576017000, 0.0645313000, 0.0808044000, 0.1185278000, 0.2392599000, 0.6693164000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
+                    values("0.0187345000, 0.0222924000, 0.0334262000, 0.0646484000, 0.1576572000, 0.4716914000, 1.4957987000", \
+                        "0.0187035000, 0.0222944000, 0.0333752000, 0.0646505000, 0.1576898000, 0.4721440000, 1.4942688000", \
+                        "0.0187314000, 0.0223507000, 0.0334839000, 0.0646321000, 0.1577190000, 0.4701748000, 1.5001480000", \
+                        "0.0209076000, 0.0243064000, 0.0348916000, 0.0652364000, 0.1576108000, 0.4714336000, 1.4966234000", \
+                        "0.0281409000, 0.0315719000, 0.0418864000, 0.0704077000, 0.1595496000, 0.4720082000, 1.4969825000", \
+                        "0.0410716000, 0.0456649000, 0.0577893000, 0.0839021000, 0.1653925000, 0.4708894000, 1.4938556000", \
+                        "0.0615857000, 0.0679530000, 0.0844398000, 0.1138514000, 0.1817333000, 0.4741884000, 1.4864959000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__a41oi_1 */
+
+/* removed sky130_fd_sc_hd__a41oi_2 */
+
+    cell ("sky130_fd_sc_hd__a41oi_4") {
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017470000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0029806000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0030025000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0004870000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0048485000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035618000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015894000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035616000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016137000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016153000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016893000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016184000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016927000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016996000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0034253000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035615000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0016390000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017099000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0017191000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0035623000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0030054000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__a41oi";
+        cell_leakage_power : 0.0027973540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0083200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181588000, 0.0181538000, 0.0181422000, 0.0181360000, 0.0181217000, 0.0180888000, 0.0180128000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013116700, -0.013142000, -0.013200400, -0.013166100, -0.013087100, -0.012905000, -0.012485200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085120000;
+        }
+        pin ("A2") {
+            capacitance : 0.0083460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0172103000, 0.0172160000, 0.0172292000, 0.0172914000, 0.0174348000, 0.0177654000, 0.0185273000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015611900, -0.015610800, -0.015608100, -0.015606800, -0.015603900, -0.015597000, -0.015581300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0085660000;
+        }
+        pin ("A3") {
+            capacitance : 0.0082840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0154083000, 0.0154089000, 0.0154105000, 0.0154108000, 0.0154115000, 0.0154131000, 0.0154169000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015386000, -0.015374200, -0.015347000, -0.015344300, -0.015338100, -0.015323900, -0.015291000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086400000;
+        }
+        pin ("A4") {
+            capacitance : 0.0085280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080710000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0155199000, 0.0155295000, 0.0155519000, 0.0155562000, 0.0155661000, 0.0155889000, 0.0156415000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015535100, -0.015536100, -0.015538300, -0.015537900, -0.015536800, -0.015534500, -0.015529000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089860000;
+        }
+        pin ("B1") {
+            capacitance : 0.0084790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077840000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091441000, 0.0091140000, 0.0090446000, 0.0091221000, 0.0093007000, 0.0097122000, 0.0106609000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006956200, -0.006955100, -0.006952500, -0.006954500, -0.006958900, -0.006969200, -0.006992800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091750000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0210996000, 0.0197451000, 0.0161122000, 0.0062555000, -0.020592600, -0.093409000, -0.290829500", \
+                        "0.0207013000, 0.0193759000, 0.0156957000, 0.0058453000, -0.020904500, -0.093700600, -0.291148100", \
+                        "0.0200656000, 0.0187407000, 0.0150535000, 0.0052855000, -0.021348300, -0.094102300, -0.291485300", \
+                        "0.0190481000, 0.0178196000, 0.0141959000, 0.0044204000, -0.022129600, -0.094633500, -0.291847000", \
+                        "0.0184450000, 0.0171078000, 0.0135699000, 0.0039578000, -0.022542800, -0.094982500, -0.292459900", \
+                        "0.0189410000, 0.0175752000, 0.0136563000, 0.0037359000, -0.022894100, -0.095607800, -0.292689600", \
+                        "0.0220921000, 0.0206461000, 0.0166883000, 0.0065846000, -0.021018500, -0.094304000, -0.292966600");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0269287000, 0.0285283000, 0.0325341000, 0.0431330000, 0.0702773000, 0.1438896000, 0.3394828000", \
+                        "0.0262417000, 0.0278448000, 0.0319115000, 0.0425987000, 0.0702381000, 0.1431038000, 0.3389101000", \
+                        "0.0254292000, 0.0269665000, 0.0310770000, 0.0418832000, 0.0697554000, 0.1430219000, 0.3391501000", \
+                        "0.0246653000, 0.0261977000, 0.0301397000, 0.0407674000, 0.0686356000, 0.1433042000, 0.3387925000", \
+                        "0.0241405000, 0.0254732000, 0.0293263000, 0.0395481000, 0.0674588000, 0.1411128000, 0.3390321000", \
+                        "0.0240046000, 0.0253986000, 0.0291954000, 0.0394726000, 0.0666225000, 0.1401490000, 0.3383349000", \
+                        "0.0234588000, 0.0248138000, 0.0285130000, 0.0383690000, 0.0664813000, 0.1406958000, 0.3369995000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0236449000, 0.0222833000, 0.0186220000, 0.0086891000, -0.018145400, -0.090968300, -0.288470100", \
+                        "0.0232881000, 0.0218938000, 0.0182214000, 0.0082949000, -0.018551100, -0.091278600, -0.288728200", \
+                        "0.0226125000, 0.0212635000, 0.0176403000, 0.0078113000, -0.018997100, -0.091727600, -0.289147100", \
+                        "0.0218389000, 0.0204926000, 0.0168688000, 0.0070706000, -0.019598800, -0.092230300, -0.289499800", \
+                        "0.0211118000, 0.0197931000, 0.0163200000, 0.0065680000, -0.019961500, -0.092441300, -0.289505300", \
+                        "0.0210083000, 0.0196403000, 0.0160063000, 0.0060868000, -0.020806000, -0.093147500, -0.290115500", \
+                        "0.0231352000, 0.0217560000, 0.0180415000, 0.0077534000, -0.019542700, -0.093137700, -0.290251000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0409951000, 0.0424013000, 0.0461126000, 0.0562054000, 0.0832303000, 0.1559318000, 0.3511684000", \
+                        "0.0404576000, 0.0418184000, 0.0456881000, 0.0559045000, 0.0831857000, 0.1558992000, 0.3511670000", \
+                        "0.0394725000, 0.0409864000, 0.0447955000, 0.0551511000, 0.0826898000, 0.1555924000, 0.3513504000", \
+                        "0.0382833000, 0.0397609000, 0.0436157000, 0.0540247000, 0.0817327000, 0.1551692000, 0.3508013000", \
+                        "0.0373301000, 0.0387243000, 0.0425219000, 0.0527757000, 0.0802755000, 0.1537956000, 0.3503297000", \
+                        "0.0367771000, 0.0380884000, 0.0419490000, 0.0521681000, 0.0793226000, 0.1525423000, 0.3489013000", \
+                        "0.0351514000, 0.0364607000, 0.0400957000, 0.0500105000, 0.0783653000, 0.1512880000, 0.3479411000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0235919000, 0.0221920000, 0.0185118000, 0.0085964000, -0.018262400, -0.091018400, -0.288447000", \
+                        "0.0231376000, 0.0217772000, 0.0181280000, 0.0082282000, -0.018642400, -0.091466700, -0.288803800", \
+                        "0.0224918000, 0.0211305000, 0.0175160000, 0.0076799000, -0.019163500, -0.091902600, -0.289278600", \
+                        "0.0216476000, 0.0203217000, 0.0166981000, 0.0069049000, -0.019733600, -0.092318200, -0.289638500", \
+                        "0.0210241000, 0.0197092000, 0.0161359000, 0.0064054000, -0.020212400, -0.092636400, -0.289752900", \
+                        "0.0207013000, 0.0193382000, 0.0156451000, 0.0058859000, -0.020840200, -0.093100400, -0.290150000", \
+                        "0.0224255000, 0.0209554000, 0.0172381000, 0.0070864000, -0.020119100, -0.093566700, -0.290427000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0529691000, 0.0543472000, 0.0582282000, 0.0681957000, 0.0953214000, 0.1681049000, 0.3641367000", \
+                        "0.0525497000, 0.0540296000, 0.0578370000, 0.0678713000, 0.0949789000, 0.1678380000, 0.3634923000", \
+                        "0.0517781000, 0.0532116000, 0.0570898000, 0.0672701000, 0.0946285000, 0.1674925000, 0.3633252000", \
+                        "0.0508815000, 0.0523792000, 0.0562559000, 0.0665113000, 0.0940441000, 0.1670235000, 0.3629655000", \
+                        "0.0501598000, 0.0515863000, 0.0553671000, 0.0656118000, 0.0930257000, 0.1664024000, 0.3628354000", \
+                        "0.0500363000, 0.0514826000, 0.0553783000, 0.0655112000, 0.0927738000, 0.1657205000, 0.3621062000", \
+                        "0.0491210000, 0.0505517000, 0.0543277000, 0.0650332000, 0.0925824000, 0.1658629000, 0.3619161000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0233025000, 0.0219401000, 0.0182752000, 0.0083830000, -0.018496500, -0.091323600, -0.288696500", \
+                        "0.0229090000, 0.0215502000, 0.0178260000, 0.0079341000, -0.018935400, -0.091695100, -0.289126700", \
+                        "0.0222518000, 0.0209159000, 0.0172631000, 0.0073930000, -0.019407600, -0.092145200, -0.289536300", \
+                        "0.0214678000, 0.0201401000, 0.0165040000, 0.0066815000, -0.019917800, -0.092600200, -0.289906000", \
+                        "0.0209405000, 0.0196193000, 0.0160138000, 0.0061968000, -0.020374300, -0.092815700, -0.289995300", \
+                        "0.0209269000, 0.0196783000, 0.0159774000, 0.0056902000, -0.020968100, -0.093338100, -0.290250800", \
+                        "0.0225386000, 0.0212092000, 0.0173924000, 0.0072984000, -0.020048900, -0.093578800, -0.290603700");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0633504000, 0.0647317000, 0.0686156000, 0.0786465000, 0.1058574000, 0.1789756000, 0.3747835000", \
+                        "0.0630036000, 0.0643636000, 0.0680213000, 0.0782862000, 0.1055897000, 0.1787537000, 0.3750160000", \
+                        "0.0624420000, 0.0637591000, 0.0676258000, 0.0776838000, 0.1049724000, 0.1781006000, 0.3744684000", \
+                        "0.0618389000, 0.0632219000, 0.0669727000, 0.0772304000, 0.1046420000, 0.1777779000, 0.3738908000", \
+                        "0.0612624000, 0.0626409000, 0.0663749000, 0.0766417000, 0.1041629000, 0.1772347000, 0.3737618000", \
+                        "0.0613581000, 0.0624542000, 0.0664947000, 0.0766935000, 0.1039171000, 0.1772820000, 0.3738887000", \
+                        "0.0603609000, 0.0617041000, 0.0656434000, 0.0765368000, 0.1039453000, 0.1774838000, 0.3735353000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("-0.000207900, -0.001374700, -0.004710100, -0.014278800, -0.041078600, -0.114212900, -0.312177000", \
+                        "-0.000836600, -0.001997800, -0.005175000, -0.014509800, -0.041022600, -0.113980700, -0.311828800", \
+                        "-0.001575100, -0.002669600, -0.005930100, -0.015096900, -0.041182100, -0.113834800, -0.311550700", \
+                        "-0.002049900, -0.003410800, -0.006787700, -0.015929000, -0.041811100, -0.113980600, -0.311470500", \
+                        "-0.001617100, -0.002969600, -0.006447500, -0.015933800, -0.042582500, -0.114575300, -0.311640200", \
+                        "-3.60000e-05, -0.001483200, -0.005357500, -0.015496400, -0.042378200, -0.115201600, -0.312062700", \
+                        "0.0053024000, 0.0036914000, -0.000470200, -0.011280700, -0.039653800, -0.112805300, -0.311210700");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
+                    values("0.0573521000, 0.0588112000, 0.0626932000, 0.0731982000, 0.1010249000, 0.1745929000, 0.3709590000", \
+                        "0.0567285000, 0.0581183000, 0.0619852000, 0.0726275000, 0.1003738000, 0.1740850000, 0.3704827000", \
+                        "0.0563596000, 0.0577053000, 0.0615370000, 0.0717868000, 0.0997729000, 0.1737676000, 0.3701033000", \
+                        "0.0556436000, 0.0570335000, 0.0608689000, 0.0712311000, 0.0988264000, 0.1728969000, 0.3695204000", \
+                        "0.0553328000, 0.0567300000, 0.0605486000, 0.0707100000, 0.0976659000, 0.1711605000, 0.3683524000", \
+                        "0.0572905000, 0.0586395000, 0.0624893000, 0.0725964000, 0.0990462000, 0.1715790000, 0.3672085000", \
+                        "0.0643458000, 0.0653273000, 0.0680435000, 0.0765530000, 0.1022747000, 0.1740364000, 0.3671860000");
+                }
+            }
+            max_capacitance : 0.1941020000;
+            max_transition : 1.4951550000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0530923000, 0.0562778000, 0.0645032000, 0.0856371000, 0.1385133000, 0.2736954000, 0.6332653000", \
+                        "0.0562041000, 0.0595061000, 0.0677353000, 0.0887991000, 0.1419823000, 0.2772830000, 0.6363858000", \
+                        "0.0640364000, 0.0672600000, 0.0755751000, 0.0970074000, 0.1500519000, 0.2854898000, 0.6446537000", \
+                        "0.0866097000, 0.0902618000, 0.0975717000, 0.1174750000, 0.1706140000, 0.3064547000, 0.6654097000", \
+                        "0.1183276000, 0.1227582000, 0.1339203000, 0.1605233000, 0.2191927000, 0.3549805000, 0.7153390000", \
+                        "0.1490663000, 0.1556216000, 0.1718002000, 0.2115635000, 0.2958952000, 0.4660740000, 0.8279867000", \
+                        "0.1521763000, 0.1617640000, 0.1858470000, 0.2446227000, 0.3744825000, 0.6270390000, 1.0916016000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0873878000, 0.0922667000, 0.1046624000, 0.1363570000, 0.2172988000, 0.4354779000, 1.0187614000", \
+                        "0.0916230000, 0.0965637000, 0.1091658000, 0.1413442000, 0.2232999000, 0.4400429000, 1.0216830000", \
+                        "0.1039195000, 0.1086349000, 0.1212384000, 0.1536418000, 0.2366945000, 0.4543870000, 1.0360054000", \
+                        "0.1341466000, 0.1387784000, 0.1507497000, 0.1827149000, 0.2661782000, 0.4866261000, 1.0684653000", \
+                        "0.1922970000, 0.1977637000, 0.2117182000, 0.2458405000, 0.3285338000, 0.5474739000, 1.1353456000", \
+                        "0.2870074000, 0.2945028000, 0.3148425000, 0.3607123000, 0.4623463000, 0.6875482000, 1.2729705000", \
+                        "0.4345158000, 0.4470002000, 0.4796276000, 0.5531882000, 0.7031411000, 0.9930939000, 1.5972303000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0662862000, 0.0691635000, 0.0780522000, 0.1019986000, 0.1690955000, 0.3515754000, 0.8478181000", \
+                        "0.0657016000, 0.0689337000, 0.0777405000, 0.1020538000, 0.1691642000, 0.3518935000, 0.8475759000", \
+                        "0.0620882000, 0.0653983000, 0.0750994000, 0.1013171000, 0.1689262000, 0.3516678000, 0.8471649000", \
+                        "0.0657510000, 0.0693549000, 0.0777707000, 0.1013113000, 0.1676023000, 0.3516940000, 0.8470279000", \
+                        "0.0819693000, 0.0863021000, 0.0975268000, 0.1257407000, 0.1835462000, 0.3528115000, 0.8469866000", \
+                        "0.1225321000, 0.1282674000, 0.1429248000, 0.1767299000, 0.2529283000, 0.4075427000, 0.8523430000", \
+                        "0.1952183000, 0.2037691000, 0.2258678000, 0.2758929000, 0.3749026000, 0.5734200000, 0.9807418000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0481460000, 0.0536505000, 0.0686774000, 0.1097140000, 0.2196486000, 0.5183554000, 1.3143420000", \
+                        "0.0483109000, 0.0537893000, 0.0686916000, 0.1095831000, 0.2191027000, 0.5135174000, 1.3124914000", \
+                        "0.0485433000, 0.0540628000, 0.0690406000, 0.1096446000, 0.2193509000, 0.5144998000, 1.3112599000", \
+                        "0.0492946000, 0.0545516000, 0.0695034000, 0.1095291000, 0.2193039000, 0.5179702000, 1.3112497000", \
+                        "0.0629613000, 0.0683007000, 0.0808426000, 0.1167316000, 0.2203808000, 0.5141697000, 1.3118781000", \
+                        "0.0946753000, 0.1003876000, 0.1155132000, 0.1551599000, 0.2521263000, 0.5226122000, 1.3150281000", \
+                        "0.1695366000, 0.1765229000, 0.1957600000, 0.2434647000, 0.3544946000, 0.6115157000, 1.3284313000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0696841000, 0.0728650000, 0.0813675000, 0.1021293000, 0.1549233000, 0.2902436000, 0.6495340000", \
+                        "0.0733279000, 0.0763782000, 0.0847749000, 0.1057495000, 0.1583277000, 0.2940018000, 0.6530181000", \
+                        "0.0813352000, 0.0845622000, 0.0927231000, 0.1137435000, 0.1667055000, 0.3023031000, 0.6614432000", \
+                        "0.0998989000, 0.1032758000, 0.1117130000, 0.1326944000, 0.1855720000, 0.3218028000, 0.6817236000", \
+                        "0.1320422000, 0.1360918000, 0.1464158000, 0.1717273000, 0.2296243000, 0.3670214000, 0.7276906000", \
+                        "0.1678065000, 0.1737955000, 0.1897859000, 0.2255365000, 0.3041209000, 0.4663397000, 0.8332832000", \
+                        "0.1793291000, 0.1889776000, 0.2119944000, 0.2660721000, 0.3869711000, 0.6221116000, 1.0635689000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1205834000, 0.1249720000, 0.1367141000, 0.1685795000, 0.2518458000, 0.4749006000, 1.0755405000", \
+                        "0.1253600000, 0.1298108000, 0.1418033000, 0.1738656000, 0.2578876000, 0.4812384000, 1.0824014000", \
+                        "0.1371202000, 0.1417926000, 0.1538424000, 0.1865608000, 0.2713772000, 0.4955159000, 1.0961401000", \
+                        "0.1665334000, 0.1709576000, 0.1829943000, 0.2159124000, 0.3011156000, 0.5258623000, 1.1284420000", \
+                        "0.2286458000, 0.2337935000, 0.2466795000, 0.2787726000, 0.3636345000, 0.5893708000, 1.1920104000", \
+                        "0.3376991000, 0.3444110000, 0.3609259000, 0.4017088000, 0.4987563000, 0.7273407000, 1.3328845000", \
+                        "0.5187945000, 0.5294494000, 0.5550771000, 0.6162563000, 0.7506151000, 1.0307184000, 1.6503712000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0641800000, 0.0675001000, 0.0765781000, 0.1014406000, 0.1688425000, 0.3515545000, 0.8477761000", \
+                        "0.0640467000, 0.0675889000, 0.0765118000, 0.1013984000, 0.1685556000, 0.3518947000, 0.8474118000", \
+                        "0.0638029000, 0.0670203000, 0.0765000000, 0.1010843000, 0.1687300000, 0.3518275000, 0.8472746000", \
+                        "0.0664756000, 0.0695776000, 0.0782765000, 0.1024659000, 0.1683731000, 0.3515681000, 0.8476928000", \
+                        "0.0823435000, 0.0858112000, 0.0955271000, 0.1194126000, 0.1786460000, 0.3531744000, 0.8474237000", \
+                        "0.1223266000, 0.1265249000, 0.1375831000, 0.1654706000, 0.2309255000, 0.3874728000, 0.8518401000", \
+                        "0.1972112000, 0.2039931000, 0.2205208000, 0.2590468000, 0.3431285000, 0.5236706000, 0.9402258000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0730009000, 0.0788288000, 0.0945165000, 0.1366828000, 0.2503192000, 0.5552522000, 1.3821142000", \
+                        "0.0730074000, 0.0787442000, 0.0945198000, 0.1369336000, 0.2502387000, 0.5551096000, 1.3782993000", \
+                        "0.0730788000, 0.0789061000, 0.0945004000, 0.1367221000, 0.2503382000, 0.5563244000, 1.3767786000", \
+                        "0.0734012000, 0.0789312000, 0.0946496000, 0.1369447000, 0.2502049000, 0.5553343000, 1.3798075000", \
+                        "0.0810012000, 0.0861873000, 0.1006157000, 0.1405698000, 0.2505348000, 0.5574022000, 1.3780396000", \
+                        "0.1124018000, 0.1180305000, 0.1337907000, 0.1744061000, 0.2747907000, 0.5614404000, 1.3821102000", \
+                        "0.1870672000, 0.1945360000, 0.2132603000, 0.2593319000, 0.3704617000, 0.6367900000, 1.3927993000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0787714000, 0.0818068000, 0.0901644000, 0.1110343000, 0.1638003000, 0.2992975000, 0.6579408000", \
+                        "0.0822579000, 0.0854382000, 0.0939272000, 0.1147387000, 0.1671613000, 0.3031381000, 0.6617102000", \
+                        "0.0895279000, 0.0927671000, 0.1009153000, 0.1218841000, 0.1748419000, 0.3101757000, 0.6704858000", \
+                        "0.1040788000, 0.1073946000, 0.1157481000, 0.1367576000, 0.1896705000, 0.3254813000, 0.6846221000", \
+                        "0.1285641000, 0.1321132000, 0.1413215000, 0.1653279000, 0.2212440000, 0.3585181000, 0.7181364000", \
+                        "0.1592810000, 0.1640723000, 0.1764801000, 0.2088043000, 0.2761401000, 0.4296001000, 0.7946379000", \
+                        "0.1644445000, 0.1723393000, 0.1906908000, 0.2377115000, 0.3405479000, 0.5448099000, 0.9626416000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1510060000, 0.1560213000, 0.1682257000, 0.2027719000, 0.2920396000, 0.5291405000, 1.1654336000", \
+                        "0.1556466000, 0.1599188000, 0.1734880000, 0.2079150000, 0.2974466000, 0.5345020000, 1.1703919000", \
+                        "0.1682460000, 0.1727384000, 0.1856253000, 0.2203626000, 0.3105921000, 0.5483164000, 1.1849601000", \
+                        "0.1977486000, 0.2019321000, 0.2158256000, 0.2498725000, 0.3403944000, 0.5792337000, 1.2155974000", \
+                        "0.2607644000, 0.2656265000, 0.2786369000, 0.3131403000, 0.4032839000, 0.6420205000, 1.2794273000", \
+                        "0.3780976000, 0.3839576000, 0.3987109000, 0.4401732000, 0.5392699000, 0.7785460000, 1.4168617000", \
+                        "0.5803269000, 0.5889874000, 0.6127007000, 0.6682157000, 0.7980768000, 1.0826008000, 1.7308774000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0640408000, 0.0675909000, 0.0765258000, 0.1013591000, 0.1687534000, 0.3518702000, 0.8470908000", \
+                        "0.0640397000, 0.0673376000, 0.0764267000, 0.1013293000, 0.1686874000, 0.3517538000, 0.8462242000", \
+                        "0.0637079000, 0.0670664000, 0.0764883000, 0.1013260000, 0.1686622000, 0.3514901000, 0.8481187000", \
+                        "0.0659458000, 0.0691696000, 0.0778883000, 0.1019963000, 0.1684701000, 0.3517454000, 0.8470740000", \
+                        "0.0772052000, 0.0804838000, 0.0889059000, 0.1132738000, 0.1755237000, 0.3532671000, 0.8471572000", \
+                        "0.1102247000, 0.1137814000, 0.1230950000, 0.1482450000, 0.2106105000, 0.3777839000, 0.8522694000", \
+                        "0.1819686000, 0.1873431000, 0.2005881000, 0.2317467000, 0.3056446000, 0.4728227000, 0.9182493000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1004379000, 0.1062720000, 0.1232936000, 0.1678419000, 0.2880585000, 0.6112821000, 1.4811716000", \
+                        "0.1001576000, 0.1066144000, 0.1231854000, 0.1678448000, 0.2882627000, 0.6112923000, 1.4805845000", \
+                        "0.1004376000, 0.1063509000, 0.1232808000, 0.1677787000, 0.2880484000, 0.6121826000, 1.4850339000", \
+                        "0.1000851000, 0.1065285000, 0.1231928000, 0.1679017000, 0.2883090000, 0.6109180000, 1.4811298000", \
+                        "0.1049050000, 0.1106119000, 0.1265543000, 0.1697291000, 0.2883137000, 0.6118522000, 1.4808013000", \
+                        "0.1345934000, 0.1409532000, 0.1576764000, 0.1997117000, 0.3068384000, 0.6145005000, 1.4858879000", \
+                        "0.2089709000, 0.2170463000, 0.2349670000, 0.2819351000, 0.3976673000, 0.6818899000, 1.4951547000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0831762000, 0.0863924000, 0.0948232000, 0.1156474000, 0.1680505000, 0.3040017000, 0.6624434000", \
+                        "0.0869881000, 0.0900258000, 0.0983194000, 0.1191928000, 0.1719337000, 0.3072613000, 0.6675812000", \
+                        "0.0939015000, 0.0972166000, 0.1052201000, 0.1262015000, 0.1791795000, 0.3145037000, 0.6745699000", \
+                        "0.1065730000, 0.1098173000, 0.1181210000, 0.1392555000, 0.1920321000, 0.3281684000, 0.6872923000", \
+                        "0.1261504000, 0.1296034000, 0.1383035000, 0.1610499000, 0.2162801000, 0.3528886000, 0.7124101000", \
+                        "0.1490386000, 0.1541388000, 0.1646939000, 0.1909840000, 0.2542284000, 0.4023739000, 0.7659684000", \
+                        "0.1505794000, 0.1572514000, 0.1729369000, 0.2110742000, 0.2984293000, 0.4788007000, 0.8789223000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1625279000, 0.1678106000, 0.1810343000, 0.2150458000, 0.3033923000, 0.5344193000, 1.1503136000", \
+                        "0.1679079000, 0.1727670000, 0.1857063000, 0.2197664000, 0.3074151000, 0.5386393000, 1.1547755000", \
+                        "0.1807952000, 0.1857789000, 0.1976528000, 0.2325259000, 0.3213572000, 0.5525277000, 1.1682644000", \
+                        "0.2100490000, 0.2149185000, 0.2276068000, 0.2623112000, 0.3506996000, 0.5825482000, 1.1987474000", \
+                        "0.2704230000, 0.2751319000, 0.2882329000, 0.3224802000, 0.4095689000, 0.6421215000, 1.2585915000", \
+                        "0.3828522000, 0.3893551000, 0.4049467000, 0.4437629000, 0.5393404000, 0.7712702000, 1.3884408000", \
+                        "0.5820697000, 0.5901075000, 0.6101613000, 0.6601852000, 0.7833955000, 1.0556319000, 1.6831312000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0640324000, 0.0673475000, 0.0764207000, 0.1013609000, 0.1687221000, 0.3517585000, 0.8468868000", \
+                        "0.0640470000, 0.0673410000, 0.0764788000, 0.1012975000, 0.1686031000, 0.3514728000, 0.8475461000", \
+                        "0.0638742000, 0.0670979000, 0.0765811000, 0.1011410000, 0.1686735000, 0.3514169000, 0.8474879000", \
+                        "0.0648765000, 0.0683665000, 0.0771196000, 0.1017265000, 0.1686653000, 0.3516342000, 0.8474732000", \
+                        "0.0726359000, 0.0759796000, 0.0849165000, 0.1090596000, 0.1735248000, 0.3528744000, 0.8472210000", \
+                        "0.0954960000, 0.0989114000, 0.1078789000, 0.1324030000, 0.1971806000, 0.3712689000, 0.8517831000", \
+                        "0.1584155000, 0.1625824000, 0.1725157000, 0.2000714000, 0.2660934000, 0.4363842000, 0.8978009000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1187874000, 0.1247748000, 0.1417435000, 0.1843587000, 0.3007526000, 0.6136441000, 1.4570587000", \
+                        "0.1192259000, 0.1252030000, 0.1411246000, 0.1848555000, 0.3010424000, 0.6137093000, 1.4549328000", \
+                        "0.1190289000, 0.1246713000, 0.1413272000, 0.1847522000, 0.3007431000, 0.6132809000, 1.4583859000", \
+                        "0.1189809000, 0.1249827000, 0.1411905000, 0.1849026000, 0.3016245000, 0.6139514000, 1.4588439000", \
+                        "0.1218830000, 0.1276961000, 0.1435797000, 0.1858676000, 0.3009991000, 0.6136613000, 1.4579793000", \
+                        "0.1508147000, 0.1555374000, 0.1721435000, 0.2134527000, 0.3182430000, 0.6176828000, 1.4556751000", \
+                        "0.2195134000, 0.2260808000, 0.2439667000, 0.2894032000, 0.4042216000, 0.6839001000, 1.4753619000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0139201000, 0.0147929000, 0.0170526000, 0.0228135000, 0.0375962000, 0.0770815000, 0.1832229000", \
+                        "0.0184397000, 0.0194160000, 0.0216777000, 0.0274816000, 0.0424872000, 0.0819488000, 0.1881928000", \
+                        "0.0244993000, 0.0261202000, 0.0299340000, 0.0379616000, 0.0535289000, 0.0932631000, 0.1995685000", \
+                        "0.0302844000, 0.0328834000, 0.0390988000, 0.0517762000, 0.0760483000, 0.1188856000, 0.2250975000", \
+                        "0.0320327000, 0.0354329000, 0.0450131000, 0.0657665000, 0.1043671000, 0.1709488000, 0.2858461000", \
+                        "0.0161002000, 0.0224952000, 0.0371998000, 0.0700939000, 0.1317640000, 0.2369666000, 0.4099182000", \
+                        "-0.047025600, -0.037098500, -0.013468800, 0.0387152000, 0.1366509000, 0.3028460000, 0.5747524000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1242895000, 0.1294140000, 0.1433708000, 0.1785351000, 0.2672481000, 0.4994049000, 1.1159752000", \
+                        "0.1276775000, 0.1328813000, 0.1454131000, 0.1812575000, 0.2711824000, 0.5036495000, 1.1203017000", \
+                        "0.1382974000, 0.1435033000, 0.1568400000, 0.1914459000, 0.2802888000, 0.5138448000, 1.1317360000", \
+                        "0.1649345000, 0.1695727000, 0.1832371000, 0.2172114000, 0.3065768000, 0.5396875000, 1.1581380000", \
+                        "0.2345044000, 0.2388629000, 0.2514190000, 0.2849294000, 0.3707515000, 0.6035237000, 1.2220156000", \
+                        "0.3678848000, 0.3747183000, 0.3908372000, 0.4334926000, 0.5297549000, 0.7573826000, 1.3715927000", \
+                        "0.5815742000, 0.5922757000, 0.6174434000, 0.6827597000, 0.8280323000, 1.1196831000, 1.7357924000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.0104760000, 0.0117560000, 0.0150543000, 0.0237915000, 0.0460590000, 0.1025857000, 0.2477178000", \
+                        "0.0118209000, 0.0127791000, 0.0157177000, 0.0238431000, 0.0460796000, 0.1026128000, 0.2476473000", \
+                        "0.0205801000, 0.0212519000, 0.0232169000, 0.0285837000, 0.0475929000, 0.1026060000, 0.2475988000", \
+                        "0.0380136000, 0.0384438000, 0.0403971000, 0.0463163000, 0.0617884000, 0.1075378000, 0.2476192000", \
+                        "0.0725255000, 0.0732079000, 0.0752531000, 0.0817151000, 0.1000530000, 0.1416801000, 0.2573909000", \
+                        "0.1309884000, 0.1318571000, 0.1350298000, 0.1434505000, 0.1676085000, 0.2231564000, 0.3342462000", \
+                        "0.2354857000, 0.2363042000, 0.2405327000, 0.2518671000, 0.2869990000, 0.3686941000, 0.5221759000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
+                    values("0.1192859000, 0.1251851000, 0.1411621000, 0.1844973000, 0.3008295000, 0.6131080000, 1.4544256000", \
+                        "0.1191252000, 0.1248050000, 0.1410409000, 0.1844719000, 0.3006306000, 0.6136238000, 1.4553424000", \
+                        "0.1192094000, 0.1248768000, 0.1407065000, 0.1844191000, 0.3008007000, 0.6136983000, 1.4582499000", \
+                        "0.1165067000, 0.1230146000, 0.1402851000, 0.1844750000, 0.3013359000, 0.6139853000, 1.4554596000", \
+                        "0.1275370000, 0.1327269000, 0.1471907000, 0.1874562000, 0.2999428000, 0.6134227000, 1.4569046000", \
+                        "0.1801594000, 0.1871363000, 0.2029633000, 0.2456498000, 0.3391263000, 0.6195948000, 1.4555108000", \
+                        "0.2670231000, 0.2773666000, 0.3032793000, 0.3650697000, 0.4877294000, 0.7390091000, 1.4771695000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2_0 */
+
+/* removed sky130_fd_sc_hd__and2_1 */
+
+    cell ("sky130_fd_sc_hd__and2_2") {
+        leakage_power () {
+            value : 0.0039778000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0036338000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0018727000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0039927000;
+            when : "A&!B";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__and2";
+        cell_leakage_power : 0.0033692280;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014500000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025787000, 0.0025778000, 0.0025757000, 0.0025755000, 0.0025752000, 0.0025746000, 0.0025730000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001982600, -0.001985500, -0.001992300, -0.001988000, -0.001978000, -0.001955200, -0.001902400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014830000;
+        }
+        pin ("B") {
+            capacitance : 0.0014720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014030000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0022826000, 0.0022812000, 0.0022779000, 0.0022784000, 0.0022795000, 0.0022822000, 0.0022883000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002279600, -0.002276700, -0.002270000, -0.002270200, -0.002270400, -0.002271000, -0.002272400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015410000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000");
+                    values("0.0117543000, 0.0103079000, 0.0064083000, -0.005064600, -0.041817800, -0.152068000, -0.474139400", \
+                        "0.0116692000, 0.0102267000, 0.0063563000, -0.005214300, -0.041882300, -0.152187300, -0.474213500", \
+                        "0.0115564000, 0.0100950000, 0.0061755000, -0.005380300, -0.042084300, -0.152341000, -0.474376700", \
+                        "0.0113755000, 0.0098629000, 0.0059359000, -0.005661300, -0.042342500, -0.152546900, -0.474589400", \
+                        "0.0111773000, 0.0096786000, 0.0059532000, -0.005749100, -0.042600500, -0.152773100, -0.474742800", \
+                        "0.0117980000, 0.0103075000, 0.0054038000, -0.005842200, -0.042640800, -0.152844200, -0.474706200", \
+                        "0.0153806000, 0.0135958000, 0.0087413000, -0.004558300, -0.042453700, -0.152586100, -0.474325400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000");
+                    values("0.0146731000, 0.0162788000, 0.0209847000, 0.0344096000, 0.0723212000, 0.1818844000, 0.5006528000", \
+                        "0.0146472000, 0.0162724000, 0.0210165000, 0.0342933000, 0.0723475000, 0.1817968000, 0.5006438000", \
+                        "0.0145676000, 0.0162075000, 0.0209238000, 0.0342467000, 0.0722084000, 0.1817449000, 0.5031501000", \
+                        "0.0145392000, 0.0161674000, 0.0208704000, 0.0342218000, 0.0720574000, 0.1817553000, 0.5011114000", \
+                        "0.0144982000, 0.0161072000, 0.0207718000, 0.0339634000, 0.0715973000, 0.1815939000, 0.4984059000", \
+                        "0.0150638000, 0.0166019000, 0.0210662000, 0.0340177000, 0.0716022000, 0.1804869000, 0.5007694000", \
+                        "0.0163117000, 0.0177632000, 0.0221268000, 0.0352554000, 0.0727947000, 0.1819054000, 0.4987252000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000");
+                    values("0.0134696000, 0.0120180000, 0.0079642000, -0.003653300, -0.040532700, -0.150791100, -0.472886600", \
+                        "0.0132903000, 0.0117997000, 0.0078386000, -0.003739800, -0.040629400, -0.150896800, -0.472993900", \
+                        "0.0131979000, 0.0116908000, 0.0077379000, -0.003941800, -0.040758500, -0.150997600, -0.473079600", \
+                        "0.0130356000, 0.0115439000, 0.0075622000, -0.004091500, -0.040877300, -0.151177100, -0.473183100", \
+                        "0.0130784000, 0.0115552000, 0.0075391000, -0.004243200, -0.041127200, -0.151343600, -0.473301800", \
+                        "0.0130149000, 0.0114388000, 0.0072822000, -0.004059600, -0.040986900, -0.151287400, -0.473258600", \
+                        "0.0170820000, 0.0152849000, 0.0104698000, -0.002581800, -0.040647300, -0.150932700, -0.472868300");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000");
+                    values("0.0151865000, 0.0168168000, 0.0215584000, 0.0347919000, 0.0727728000, 0.1822645000, 0.5024664000", \
+                        "0.0151702000, 0.0168151000, 0.0214851000, 0.0348589000, 0.0726719000, 0.1822710000, 0.5010122000", \
+                        "0.0150463000, 0.0166822000, 0.0213946000, 0.0347023000, 0.0726703000, 0.1822337000, 0.5033337000", \
+                        "0.0149685000, 0.0166021000, 0.0213348000, 0.0346575000, 0.0724922000, 0.1821337000, 0.5014783000", \
+                        "0.0149247000, 0.0165151000, 0.0212182000, 0.0343565000, 0.0723027000, 0.1820207000, 0.5014984000", \
+                        "0.0155276000, 0.0170649000, 0.0216251000, 0.0345882000, 0.0720163000, 0.1814026000, 0.5026486000", \
+                        "0.0162574000, 0.0177564000, 0.0221687000, 0.0352553000, 0.0729662000, 0.1827096000, 0.5008285000");
+                }
+            }
+            max_capacitance : 0.3030490000;
+            max_transition : 1.5057800000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.1364071000, 0.1418157000, 0.1541442000, 0.1792684000, 0.2293132000, 0.3450230000, 0.6619946000", \
+                        "0.1416111000, 0.1470187000, 0.1593495000, 0.1845761000, 0.2345699000, 0.3504547000, 0.6673611000", \
+                        "0.1542877000, 0.1596582000, 0.1719276000, 0.1971277000, 0.2470832000, 0.3628070000, 0.6799235000", \
+                        "0.1851654000, 0.1905413000, 0.2028390000, 0.2278691000, 0.2780798000, 0.3938639000, 0.7109625000", \
+                        "0.2595029000, 0.2648475000, 0.2769676000, 0.3019138000, 0.3522006000, 0.4680429000, 0.7847200000", \
+                        "0.4005576000, 0.4070511000, 0.4219907000, 0.4509234000, 0.5053586000, 0.6234845000, 0.9403769000", \
+                        "0.6243880000, 0.6330449000, 0.6524813000, 0.6902249000, 0.7569049000, 0.8837219000, 1.2016257000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.0979053000, 0.1038914000, 0.1182502000, 0.1513566000, 0.2339801000, 0.4672650000, 1.1413402000", \
+                        "0.1022707000, 0.1082777000, 0.1226557000, 0.1555695000, 0.2383861000, 0.4718838000, 1.1487145000", \
+                        "0.1128362000, 0.1189557000, 0.1332549000, 0.1662914000, 0.2490047000, 0.4817577000, 1.1572244000", \
+                        "0.1377473000, 0.1438027000, 0.1580598000, 0.1909931000, 0.2735761000, 0.5066922000, 1.1843485000", \
+                        "0.1840116000, 0.1903861000, 0.2055685000, 0.2393427000, 0.3223771000, 0.5565326000, 1.2317655000", \
+                        "0.2442716000, 0.2524390000, 0.2698434000, 0.3065319000, 0.3910942000, 0.6235067000, 1.2984965000", \
+                        "0.3030587000, 0.3138884000, 0.3379232000, 0.3826174000, 0.4700909000, 0.7018189000, 1.3771542000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.0277559000, 0.0310736000, 0.0391022000, 0.0573323000, 0.1044718000, 0.2406137000, 0.6627560000", \
+                        "0.0276743000, 0.0310357000, 0.0389816000, 0.0573615000, 0.1045062000, 0.2410272000, 0.6599468000", \
+                        "0.0278697000, 0.0313137000, 0.0389624000, 0.0576597000, 0.1046146000, 0.2407712000, 0.6597430000", \
+                        "0.0278804000, 0.0312867000, 0.0393970000, 0.0573128000, 0.1045276000, 0.2409501000, 0.6595259000", \
+                        "0.0282353000, 0.0314154000, 0.0402463000, 0.0580400000, 0.1049777000, 0.2414620000, 0.6647693000", \
+                        "0.0404417000, 0.0441529000, 0.0522760000, 0.0700773000, 0.1130105000, 0.2439002000, 0.6609731000", \
+                        "0.0616221000, 0.0656455000, 0.0758334000, 0.0967075000, 0.1385238000, 0.2602116000, 0.6622231000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.0262547000, 0.0313493000, 0.0446448000, 0.0812598000, 0.1918287000, 0.5261477000, 1.5011272000", \
+                        "0.0262657000, 0.0312228000, 0.0445179000, 0.0810991000, 0.1919225000, 0.5251414000, 1.4996066000", \
+                        "0.0263817000, 0.0313686000, 0.0445823000, 0.0812658000, 0.1919214000, 0.5249874000, 1.5030975000", \
+                        "0.0262734000, 0.0312734000, 0.0444390000, 0.0812956000, 0.1917528000, 0.5269601000, 1.5053194000", \
+                        "0.0306689000, 0.0357580000, 0.0481469000, 0.0835879000, 0.1926219000, 0.5253208000, 1.4981015000", \
+                        "0.0415067000, 0.0463481000, 0.0585462000, 0.0907611000, 0.1961538000, 0.5255698000, 1.5002397000", \
+                        "0.0589621000, 0.0654241000, 0.0797694000, 0.1111835000, 0.2045499000, 0.5278779000, 1.4969760000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.1558299000, 0.1614587000, 0.1741890000, 0.1996477000, 0.2506957000, 0.3670645000, 0.6843777000", \
+                        "0.1607572000, 0.1663703000, 0.1790987000, 0.2045177000, 0.2556772000, 0.3720091000, 0.6893619000", \
+                        "0.1740037000, 0.1795904000, 0.1923255000, 0.2180000000, 0.2688531000, 0.3852432000, 0.7025615000", \
+                        "0.2058436000, 0.2114687000, 0.2242208000, 0.2498287000, 0.3008377000, 0.4173971000, 0.7345343000", \
+                        "0.2822132000, 0.2877884000, 0.3004429000, 0.3260738000, 0.3772591000, 0.4937820000, 0.8112417000", \
+                        "0.4390603000, 0.4456899000, 0.4604577000, 0.4890418000, 0.5427345000, 0.6601903000, 0.9775145000", \
+                        "0.6954046000, 0.7039635000, 0.7236417000, 0.7609182000, 0.8259712000, 0.9519316000, 1.2699251000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.1034967000, 0.1095161000, 0.1238951000, 0.1568059000, 0.2394919000, 0.4719508000, 1.1479453000", \
+                        "0.1080419000, 0.1140426000, 0.1282747000, 0.1613830000, 0.2439290000, 0.4775650000, 1.1508836000", \
+                        "0.1170988000, 0.1231137000, 0.1374552000, 0.1704393000, 0.2531770000, 0.4856827000, 1.1602203000", \
+                        "0.1376836000, 0.1436330000, 0.1579747000, 0.1908991000, 0.2734294000, 0.5073726000, 1.1842225000", \
+                        "0.1763889000, 0.1828160000, 0.1978548000, 0.2318321000, 0.3149285000, 0.5477792000, 1.2263611000", \
+                        "0.2313141000, 0.2387638000, 0.2559056000, 0.2921681000, 0.3765182000, 0.6096989000, 1.2891400000", \
+                        "0.2845534000, 0.2945381000, 0.3166900000, 0.3593771000, 0.4476765000, 0.6810682000, 1.3550648000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.0299345000, 0.0330403000, 0.0415794000, 0.0602454000, 0.1064846000, 0.2422293000, 0.6638477000", \
+                        "0.0296371000, 0.0330950000, 0.0414024000, 0.0605492000, 0.1063452000, 0.2419041000, 0.6641499000", \
+                        "0.0299386000, 0.0330109000, 0.0415399000, 0.0595342000, 0.1065693000, 0.2421634000, 0.6644975000", \
+                        "0.0296639000, 0.0330590000, 0.0410142000, 0.0599194000, 0.1065710000, 0.2421447000, 0.6639496000", \
+                        "0.0300756000, 0.0332108000, 0.0414059000, 0.0596753000, 0.1064786000, 0.2416065000, 0.6633563000", \
+                        "0.0401013000, 0.0432791000, 0.0514824000, 0.0692921000, 0.1118586000, 0.2435755000, 0.6636997000", \
+                        "0.0614068000, 0.0653008000, 0.0753478000, 0.0955680000, 0.1374687000, 0.2587044000, 0.6627545000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000");
+                    values("0.0262797000, 0.0311947000, 0.0444734000, 0.0812766000, 0.1915664000, 0.5251167000, 1.5010247000", \
+                        "0.0263118000, 0.0312779000, 0.0446028000, 0.0812698000, 0.1918466000, 0.5249897000, 1.5006529000", \
+                        "0.0262559000, 0.0312214000, 0.0445993000, 0.0812137000, 0.1916287000, 0.5252688000, 1.5057801000", \
+                        "0.0264730000, 0.0312215000, 0.0445229000, 0.0812302000, 0.1917469000, 0.5251012000, 1.5041181000", \
+                        "0.0293697000, 0.0343510000, 0.0473197000, 0.0832465000, 0.1922883000, 0.5271021000, 1.5053249000", \
+                        "0.0371512000, 0.0420075000, 0.0551279000, 0.0892049000, 0.1957395000, 0.5247283000, 1.5004159000", \
+                        "0.0519232000, 0.0581657000, 0.0724698000, 0.1044502000, 0.2020811000, 0.5270284000, 1.4948588000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and2_4") {
+        leakage_power () {
+            value : 0.0045182000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0042181000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0049141000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0045368000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__and2";
+        cell_leakage_power : 0.0045468170;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022440000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0046441000, 0.0046450000, 0.0046471000, 0.0046473000, 0.0046477000, 0.0046486000, 0.0046508000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003813900, -0.003815400, -0.003818900, -0.003812800, -0.003798900, -0.003766900, -0.003693100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0023990000;
+        }
+        pin ("B") {
+            capacitance : 0.0024240000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0043132000, 0.0043138000, 0.0043151000, 0.0043147000, 0.0043138000, 0.0043118000, 0.0043072000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004314400, -0.004314000, -0.004313200, -0.004313100, -0.004312900, -0.004312500, -0.004311400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025590000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0219934000, 0.0203575000, 0.0153407000, -0.000571600, -0.056486100, -0.243191300, -0.843738500", \
+                        "0.0218637000, 0.0202414000, 0.0152177000, -0.000721400, -0.056630900, -0.243270800, -0.843826200", \
+                        "0.0216027000, 0.0199616000, 0.0148455000, -0.000961400, -0.056924000, -0.243539000, -0.844065200", \
+                        "0.0213800000, 0.0197347000, 0.0145553000, -0.001499200, -0.057400200, -0.243932800, -0.844342500", \
+                        "0.0217083000, 0.0199763000, 0.0145601000, -0.001760400, -0.057926300, -0.244305500, -0.844611500", \
+                        "0.0235541000, 0.0215797000, 0.0157522000, -0.002316000, -0.058359200, -0.243979100, -0.844365700", \
+                        "0.0291198000, 0.0269686000, 0.0199042000, 0.0011556000, -0.057032300, -0.243583300, -0.843218800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0268359000, 0.0287043000, 0.0347671000, 0.0535348000, 0.1121659000, 0.2986388000, 0.8936575000", \
+                        "0.0267891000, 0.0286378000, 0.0345587000, 0.0535035000, 0.1123113000, 0.2984654000, 0.8886216000", \
+                        "0.0265147000, 0.0283975000, 0.0344192000, 0.0534200000, 0.1121571000, 0.2983078000, 0.8937819000", \
+                        "0.0264661000, 0.0283159000, 0.0343476000, 0.0532022000, 0.1118517000, 0.2980327000, 0.8925930000", \
+                        "0.0265940000, 0.0284016000, 0.0342972000, 0.0525095000, 0.1109989000, 0.2977092000, 0.8935497000", \
+                        "0.0281363000, 0.0298973000, 0.0355536000, 0.0536864000, 0.1110265000, 0.2967123000, 0.8928317000", \
+                        "0.0300397000, 0.0317357000, 0.0372010000, 0.0551988000, 0.1127392000, 0.2987017000, 0.8901778000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0242342000, 0.0226232000, 0.0174575000, 0.0013143000, -0.054620700, -0.241329300, -0.841892600", \
+                        "0.0241061000, 0.0224827000, 0.0172657000, 0.0013069000, -0.054743600, -0.241436100, -0.842009200", \
+                        "0.0239825000, 0.0223074000, 0.0171334000, 0.0009634000, -0.055016900, -0.241636800, -0.842178200", \
+                        "0.0237839000, 0.0221014000, 0.0168350000, 0.0006720000, -0.055315700, -0.241879000, -0.842381100", \
+                        "0.0239839000, 0.0222619000, 0.0168394000, 0.0003758000, -0.055343300, -0.241928800, -0.842348400", \
+                        "0.0241729000, 0.0222595000, 0.0164405000, -0.000333700, -0.055474400, -0.241754300, -0.841946200", \
+                        "0.0310029000, 0.0288509000, 0.0225415000, 0.0047170000, -0.054509000, -0.241373200, -0.841389900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
+                    values("0.0274649000, 0.0293370000, 0.0352974000, 0.0541880000, 0.1129284000, 0.2988462000, 0.8976207000", \
+                        "0.0274234000, 0.0292947000, 0.0353569000, 0.0541434000, 0.1128546000, 0.2989904000, 0.8934235000", \
+                        "0.0271964000, 0.0290773000, 0.0350998000, 0.0540511000, 0.1127472000, 0.2986478000, 0.8940299000", \
+                        "0.0270387000, 0.0288931000, 0.0349200000, 0.0538420000, 0.1124182000, 0.2984832000, 0.8906550000", \
+                        "0.0269662000, 0.0288491000, 0.0347595000, 0.0531925000, 0.1118951000, 0.2983111000, 0.8934664000", \
+                        "0.0278650000, 0.0296570000, 0.0353535000, 0.0535465000, 0.1117268000, 0.2970995000, 0.8926131000", \
+                        "0.0291608000, 0.0308249000, 0.0364298000, 0.0544184000, 0.1126395000, 0.2987646000, 0.8925423000");
+                }
+            }
+            max_capacitance : 0.5392550000;
+            max_transition : 1.5073040000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1075722000, 0.1108711000, 0.1194063000, 0.1385359000, 0.1792587000, 0.2814725000, 0.5909777000", \
+                        "0.1128001000, 0.1160923000, 0.1245839000, 0.1437462000, 0.1845300000, 0.2868012000, 0.5967698000", \
+                        "0.1254879000, 0.1292502000, 0.1377147000, 0.1568275000, 0.1976561000, 0.2999596000, 0.6103894000", \
+                        "0.1569157000, 0.1602705000, 0.1687679000, 0.1878012000, 0.2287325000, 0.3310373000, 0.6413503000", \
+                        "0.2290461000, 0.2324625000, 0.2411661000, 0.2602894000, 0.3015657000, 0.4041014000, 0.7136133000", \
+                        "0.3514737000, 0.3558933000, 0.3672617000, 0.3916203000, 0.4386747000, 0.5434140000, 0.8522572000", \
+                        "0.5428690000, 0.5485799000, 0.5634483000, 0.5957725000, 0.6554340000, 0.7709175000, 1.0814671000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1001052000, 0.1044490000, 0.1158731000, 0.1439631000, 0.2175814000, 0.4404515000, 1.1489737000", \
+                        "0.1042852000, 0.1085675000, 0.1199086000, 0.1480933000, 0.2216752000, 0.4448077000, 1.1530928000", \
+                        "0.1145495000, 0.1188651000, 0.1303157000, 0.1584149000, 0.2319022000, 0.4550954000, 1.1663756000", \
+                        "0.1389479000, 0.1432470000, 0.1546415000, 0.1827197000, 0.2562166000, 0.4799561000, 1.1956172000", \
+                        "0.1854124000, 0.1900212000, 0.2022073000, 0.2309741000, 0.3050086000, 0.5274398000, 1.2392685000", \
+                        "0.2445943000, 0.2506001000, 0.2655731000, 0.2977021000, 0.3730493000, 0.5964311000, 1.3060746000", \
+                        "0.3022301000, 0.3098472000, 0.3292155000, 0.3698066000, 0.4514374000, 0.6732024000, 1.3816840000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0217115000, 0.0234817000, 0.0291566000, 0.0426379000, 0.0811186000, 0.2037033000, 0.6203453000", \
+                        "0.0214940000, 0.0235378000, 0.0291617000, 0.0428483000, 0.0810571000, 0.2035903000, 0.6206806000", \
+                        "0.0215759000, 0.0235712000, 0.0288988000, 0.0429835000, 0.0811935000, 0.2035723000, 0.6187569000", \
+                        "0.0215966000, 0.0236828000, 0.0291780000, 0.0429033000, 0.0810994000, 0.2037923000, 0.6189805000", \
+                        "0.0241568000, 0.0261043000, 0.0312016000, 0.0443087000, 0.0822326000, 0.2042058000, 0.6222320000", \
+                        "0.0363429000, 0.0388287000, 0.0446289000, 0.0585859000, 0.0932091000, 0.2086272000, 0.6198016000", \
+                        "0.0564236000, 0.0588638000, 0.0670648000, 0.0834888000, 0.1181764000, 0.2244491000, 0.6188730000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0249653000, 0.0284283000, 0.0381845000, 0.0673825000, 0.1621492000, 0.4790814000, 1.5012275000", \
+                        "0.0249947000, 0.0283563000, 0.0383610000, 0.0673585000, 0.1621393000, 0.4793477000, 1.5013665000", \
+                        "0.0249919000, 0.0284311000, 0.0383725000, 0.0672879000, 0.1619728000, 0.4793616000, 1.5033943000", \
+                        "0.0249676000, 0.0284299000, 0.0382230000, 0.0673482000, 0.1619671000, 0.4784575000, 1.5049285000", \
+                        "0.0293278000, 0.0325243000, 0.0420544000, 0.0700699000, 0.1630664000, 0.4788117000, 1.5054440000", \
+                        "0.0399405000, 0.0435059000, 0.0532515000, 0.0787437000, 0.1672937000, 0.4788523000, 1.5021409000", \
+                        "0.0576111000, 0.0612524000, 0.0734685000, 0.0982774000, 0.1780262000, 0.4818362000, 1.4969254000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1255966000, 0.1291250000, 0.1381782000, 0.1582270000, 0.2002370000, 0.3035514000, 0.6133271000", \
+                        "0.1310570000, 0.1345455000, 0.1434573000, 0.1634322000, 0.2055105000, 0.3088259000, 0.6187498000", \
+                        "0.1438751000, 0.1472799000, 0.1570833000, 0.1771827000, 0.2192748000, 0.3225942000, 0.6325042000", \
+                        "0.1762233000, 0.1797537000, 0.1888648000, 0.2088671000, 0.2507718000, 0.3541753000, 0.6643017000", \
+                        "0.2523053000, 0.2557794000, 0.2647994000, 0.2847366000, 0.3259102000, 0.4294096000, 0.7400690000", \
+                        "0.3940385000, 0.3985494000, 0.4100280000, 0.4343532000, 0.4810699000, 0.5871086000, 0.8975454000", \
+                        "0.6222710000, 0.6279829000, 0.6433246000, 0.6759321000, 0.7356510000, 0.8508389000, 1.1626645000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.1052030000, 0.1095009000, 0.1209242000, 0.1491337000, 0.2226441000, 0.4451655000, 1.1547054000", \
+                        "0.1094998000, 0.1138446000, 0.1252630000, 0.1533589000, 0.2269185000, 0.4495909000, 1.1581007000", \
+                        "0.1185689000, 0.1228791000, 0.1343074000, 0.1624743000, 0.2358514000, 0.4586717000, 1.1697386000", \
+                        "0.1389187000, 0.1432541000, 0.1546988000, 0.1827786000, 0.2561527000, 0.4791273000, 1.1967589000", \
+                        "0.1778365000, 0.1824616000, 0.1945080000, 0.2235293000, 0.2976709000, 0.5202719000, 1.2281585000", \
+                        "0.2311435000, 0.2367548000, 0.2508218000, 0.2824046000, 0.3587645000, 0.5815975000, 1.2940511000", \
+                        "0.2793611000, 0.2865273000, 0.3049423000, 0.3435750000, 0.4241753000, 0.6473023000, 1.3555471000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0241419000, 0.0259677000, 0.0318131000, 0.0454388000, 0.0839345000, 0.2055038000, 0.6209739000", \
+                        "0.0241401000, 0.0262818000, 0.0314745000, 0.0455130000, 0.0838626000, 0.2055502000, 0.6215251000", \
+                        "0.0239680000, 0.0260806000, 0.0315359000, 0.0455867000, 0.0839582000, 0.2055777000, 0.6211461000", \
+                        "0.0241108000, 0.0262833000, 0.0314738000, 0.0458092000, 0.0839025000, 0.2055762000, 0.6216975000", \
+                        "0.0246488000, 0.0267049000, 0.0324516000, 0.0460825000, 0.0844081000, 0.2057645000, 0.6185589000", \
+                        "0.0371110000, 0.0395494000, 0.0451100000, 0.0582432000, 0.0934432000, 0.2088847000, 0.6175619000", \
+                        "0.0573322000, 0.0602757000, 0.0679052000, 0.0842567000, 0.1184644000, 0.2241975000, 0.6199924000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
+                    values("0.0249482000, 0.0283355000, 0.0382583000, 0.0674461000, 0.1619367000, 0.4789714000, 1.5073039000", \
+                        "0.0249776000, 0.0284419000, 0.0381678000, 0.0673835000, 0.1621627000, 0.4791031000, 1.5024892000", \
+                        "0.0249770000, 0.0284064000, 0.0383676000, 0.0672702000, 0.1618237000, 0.4792354000, 1.5032409000", \
+                        "0.0249320000, 0.0283174000, 0.0383013000, 0.0672791000, 0.1617747000, 0.4792219000, 1.5017381000", \
+                        "0.0279188000, 0.0314126000, 0.0412130000, 0.0695779000, 0.1629917000, 0.4805606000, 1.5029451000", \
+                        "0.0358768000, 0.0395254000, 0.0489308000, 0.0766307000, 0.1666984000, 0.4782073000, 1.5043545000", \
+                        "0.0512571000, 0.0550663000, 0.0661057000, 0.0927317000, 0.1760204000, 0.4814027000, 1.4971808000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and2b_1 */
+
+/* removed sky130_fd_sc_hd__and2b_2 */
+
+/* removed sky130_fd_sc_hd__and2b_4 */
+
+/* removed sky130_fd_sc_hd__and3_1 */
+
+    cell ("sky130_fd_sc_hd__and3_2") {
+        leakage_power () {
+            value : 0.0054309000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0054126000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0057657000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0054343000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0057854000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0054402000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0023742000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0058099000;
+            when : "A&B&!C";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__and3";
+        cell_leakage_power : 0.0051816490;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014260000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0024964000, 0.0024986000, 0.0025035000, 0.0025033000, 0.0025028000, 0.0025015000, 0.0024987000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001971900, -0.001972600, -0.001974200, -0.001970200, -0.001961100, -0.001940000, -0.001891300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014470000;
+        }
+        pin ("B") {
+            capacitance : 0.0015050000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0027080000, 0.0027010000, 0.0026848000, 0.0026943000, 0.0027164000, 0.0027672000, 0.0028843000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002436200, -0.002431700, -0.002421200, -0.002420500, -0.002419100, -0.002415700, -0.002408000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015350000;
+        }
+        pin ("C") {
+            capacitance : 0.0015240000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0023208000, 0.0023147000, 0.0023008000, 0.0023010000, 0.0023017000, 0.0023031000, 0.0023063000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002312900, -0.002307600, -0.002295500, -0.002296100, -0.002297500, -0.002300700, -0.002308000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015930000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0113670000, 0.0098307000, 0.0058694000, -0.005690100, -0.043022600, -0.155431200, -0.484902400", \
+                        "0.0112677000, 0.0097384000, 0.0057982000, -0.005876500, -0.043136200, -0.155442000, -0.484956200", \
+                        "0.0111121000, 0.0096183000, 0.0055932000, -0.005987900, -0.043316900, -0.155571900, -0.485053300", \
+                        "0.0108376000, 0.0093357000, 0.0053760000, -0.006285400, -0.043530700, -0.155873200, -0.485298500", \
+                        "0.0106487000, 0.0091323000, 0.0053901000, -0.006658800, -0.043836700, -0.156171400, -0.485535800", \
+                        "0.0109253000, 0.0092464000, 0.0049139000, -0.006885900, -0.043820500, -0.156151200, -0.485483500", \
+                        "0.0151234000, 0.0132615000, 0.0083233000, -0.005222300, -0.043769800, -0.156037100, -0.485192000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0149010000, 0.0164931000, 0.0212902000, 0.0348269000, 0.0733988000, 0.1851806000, 0.5112451000", \
+                        "0.0148611000, 0.0164987000, 0.0212078000, 0.0349093000, 0.0733477000, 0.1851470000, 0.5112490000", \
+                        "0.0147910000, 0.0164146000, 0.0211326000, 0.0347311000, 0.0733895000, 0.1850727000, 0.5110059000", \
+                        "0.0146524000, 0.0162983000, 0.0210157000, 0.0346303000, 0.0731856000, 0.1849178000, 0.5132251000", \
+                        "0.0147157000, 0.0163146000, 0.0209726000, 0.0345520000, 0.0727777000, 0.1848094000, 0.5114236000", \
+                        "0.0155191000, 0.0170101000, 0.0214104000, 0.0344507000, 0.0727533000, 0.1839457000, 0.5113532000", \
+                        "0.0167644000, 0.0181654000, 0.0227371000, 0.0357455000, 0.0739887000, 0.1849603000, 0.5110434000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0127515000, 0.0112228000, 0.0072992000, -0.004406300, -0.041462600, -0.153809300, -0.483184500", \
+                        "0.0126853000, 0.0111651000, 0.0071912000, -0.004388100, -0.041621400, -0.153833800, -0.483248100", \
+                        "0.0126080000, 0.0110888000, 0.0070823000, -0.004516500, -0.041627100, -0.153926400, -0.483325600", \
+                        "0.0124628000, 0.0109708000, 0.0069597000, -0.004754600, -0.041958600, -0.154118200, -0.483501600", \
+                        "0.0123670000, 0.0108655000, 0.0067974000, -0.004962900, -0.042191400, -0.154363500, -0.483617400", \
+                        "0.0124908000, 0.0113761000, 0.0070702000, -0.005169700, -0.042208800, -0.154586300, -0.483794200", \
+                        "0.0166289000, 0.0147915000, 0.0098640000, -0.003711300, -0.042243500, -0.154498200, -0.483606000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0149152000, 0.0164969000, 0.0212875000, 0.0348915000, 0.0734643000, 0.1850817000, 0.5133565000", \
+                        "0.0148643000, 0.0164716000, 0.0212049000, 0.0349071000, 0.0733007000, 0.1849877000, 0.5112015000", \
+                        "0.0148710000, 0.0164785000, 0.0211199000, 0.0347065000, 0.0732390000, 0.1848215000, 0.5107494000", \
+                        "0.0146895000, 0.0163314000, 0.0210520000, 0.0347337000, 0.0731842000, 0.1848600000, 0.5137041000", \
+                        "0.0147605000, 0.0163470000, 0.0209590000, 0.0345248000, 0.0729973000, 0.1848509000, 0.5113559000", \
+                        "0.0155668000, 0.0170876000, 0.0215453000, 0.0345905000, 0.0728299000, 0.1844694000, 0.5110752000", \
+                        "0.0163752000, 0.0178176000, 0.0221598000, 0.0353158000, 0.0731055000, 0.1849965000, 0.5113902000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0143450000, 0.0128364000, 0.0087855000, -0.002885100, -0.040253400, -0.152663200, -0.482120500", \
+                        "0.0142581000, 0.0127197000, 0.0086622000, -0.002944100, -0.040333800, -0.152749000, -0.482186400", \
+                        "0.0143128000, 0.0127392000, 0.0086889000, -0.003087000, -0.040467600, -0.152841800, -0.482263300", \
+                        "0.0140142000, 0.0124840000, 0.0084606000, -0.003279500, -0.040639800, -0.153003100, -0.482403200", \
+                        "0.0138679000, 0.0123326000, 0.0082510000, -0.003528500, -0.040881700, -0.153182900, -0.482520000", \
+                        "0.0142955000, 0.0126739000, 0.0084825000, -0.003547300, -0.040833200, -0.153146900, -0.482470200", \
+                        "0.0185543000, 0.0167058000, 0.0117548000, -0.002003400, -0.040681000, -0.152959300, -0.482216700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000");
+                    values("0.0153735000, 0.0169878000, 0.0217298000, 0.0353307000, 0.0737002000, 0.1852839000, 0.5135755000", \
+                        "0.0153073000, 0.0169314000, 0.0216335000, 0.0353280000, 0.0737064000, 0.1852669000, 0.5111155000", \
+                        "0.0151969000, 0.0167788000, 0.0215844000, 0.0351810000, 0.0736678000, 0.1852486000, 0.5108290000", \
+                        "0.0150558000, 0.0166369000, 0.0214329000, 0.0350999000, 0.0735843000, 0.1850486000, 0.5117422000", \
+                        "0.0150349000, 0.0165643000, 0.0212575000, 0.0348483000, 0.0733646000, 0.1849289000, 0.5117822000", \
+                        "0.0155762000, 0.0171211000, 0.0218441000, 0.0348037000, 0.0731239000, 0.1847182000, 0.5108398000", \
+                        "0.0162270000, 0.0176723000, 0.0220938000, 0.0354218000, 0.0736504000, 0.1855838000, 0.5093804000");
+                }
+            }
+            max_capacitance : 0.3094580000;
+            max_transition : 1.5082440000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1399989000, 0.1459394000, 0.1592845000, 0.1858997000, 0.2386768000, 0.3599186000, 0.6917405000", \
+                        "0.1453554000, 0.1512893000, 0.1646392000, 0.1914123000, 0.2441291000, 0.3653078000, 0.6973701000", \
+                        "0.1579656000, 0.1638605000, 0.1771013000, 0.2033583000, 0.2564839000, 0.3776252000, 0.7096329000", \
+                        "0.1883983000, 0.1943758000, 0.2076887000, 0.2346216000, 0.2872279000, 0.4085289000, 0.7404167000", \
+                        "0.2623253000, 0.2682373000, 0.2814251000, 0.3073434000, 0.3608008000, 0.4821112000, 0.8138012000", \
+                        "0.4040357000, 0.4112656000, 0.4272800000, 0.4581023000, 0.5149960000, 0.6382777000, 0.9701165000", \
+                        "0.6274317000, 0.6366185000, 0.6575767000, 0.6974303000, 0.7674713000, 0.9003036000, 1.2335672000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1259505000, 0.1335603000, 0.1509985000, 0.1884266000, 0.2748027000, 0.5087379000, 1.1858320000", \
+                        "0.1299265000, 0.1375503000, 0.1549376000, 0.1924924000, 0.2788331000, 0.5127960000, 1.1916973000", \
+                        "0.1395581000, 0.1469926000, 0.1645719000, 0.2019839000, 0.2885404000, 0.5213279000, 1.2030731000", \
+                        "0.1630475000, 0.1706844000, 0.1881331000, 0.2255741000, 0.3120597000, 0.5448912000, 1.2253862000", \
+                        "0.2125856000, 0.2203885000, 0.2382337000, 0.2762435000, 0.3633522000, 0.5963031000, 1.2753890000", \
+                        "0.2831603000, 0.2927376000, 0.3128627000, 0.3537016000, 0.4426685000, 0.6768831000, 1.3525360000", \
+                        "0.3581449000, 0.3701555000, 0.3972373000, 0.4464253000, 0.5399474000, 0.7736822000, 1.4507147000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0265291000, 0.0303568000, 0.0390277000, 0.0584549000, 0.1077463000, 0.2497730000, 0.6873090000", \
+                        "0.0267609000, 0.0305846000, 0.0390500000, 0.0583902000, 0.1076434000, 0.2494280000, 0.6915342000", \
+                        "0.0267963000, 0.0302480000, 0.0390179000, 0.0585444000, 0.1076940000, 0.2494595000, 0.6917220000", \
+                        "0.0268205000, 0.0304530000, 0.0390779000, 0.0586449000, 0.1077141000, 0.2495788000, 0.6881166000", \
+                        "0.0269233000, 0.0306373000, 0.0400845000, 0.0586365000, 0.1078360000, 0.2499117000, 0.6922837000", \
+                        "0.0382610000, 0.0427030000, 0.0514647000, 0.0701119000, 0.1157278000, 0.2522739000, 0.6921931000", \
+                        "0.0584036000, 0.0634952000, 0.0743485000, 0.0968798000, 0.1419324000, 0.2688899000, 0.6905597000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0302485000, 0.0360995000, 0.0504289000, 0.0876557000, 0.1949329000, 0.5230008000, 1.5016748000", \
+                        "0.0303490000, 0.0362922000, 0.0505596000, 0.0875233000, 0.1950276000, 0.5234385000, 1.5010857000", \
+                        "0.0303508000, 0.0362483000, 0.0506905000, 0.0874479000, 0.1947617000, 0.5240900000, 1.5006775000", \
+                        "0.0303174000, 0.0360984000, 0.0505898000, 0.0874586000, 0.1947524000, 0.5241778000, 1.5022725000", \
+                        "0.0329376000, 0.0387542000, 0.0526967000, 0.0890080000, 0.1954920000, 0.5241091000, 1.5040105000", \
+                        "0.0428065000, 0.0486370000, 0.0624773000, 0.0967304000, 0.1998904000, 0.5253650000, 1.5006328000", \
+                        "0.0595177000, 0.0670999000, 0.0836359000, 0.1159541000, 0.2097742000, 0.5281184000, 1.4958409000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1592076000, 0.1654224000, 0.1794002000, 0.2069911000, 0.2608231000, 0.3831253000, 0.7157246000", \
+                        "0.1645404000, 0.1707685000, 0.1846597000, 0.2120397000, 0.2661845000, 0.3883727000, 0.7204790000", \
+                        "0.1778060000, 0.1840253000, 0.1979477000, 0.2255782000, 0.2794452000, 0.4017840000, 0.7338439000", \
+                        "0.2085926000, 0.2148996000, 0.2288161000, 0.2563072000, 0.3104443000, 0.4325920000, 0.7649953000", \
+                        "0.2845917000, 0.2907938000, 0.3047509000, 0.3322946000, 0.3853597000, 0.5088264000, 0.8415000000", \
+                        "0.4412825000, 0.4486305000, 0.4645497000, 0.4951509000, 0.5516135000, 0.6757113000, 1.0073010000", \
+                        "0.6963421000, 0.7056414000, 0.7267683000, 0.7665744000, 0.8358058000, 0.9679820000, 1.3018259000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1327410000, 0.1403460000, 0.1577845000, 0.1952443000, 0.2816418000, 0.5144200000, 1.1905130000", \
+                        "0.1370200000, 0.1446368000, 0.1620169000, 0.1995600000, 0.2857903000, 0.5196153000, 1.1970782000", \
+                        "0.1461622000, 0.1538707000, 0.1711594000, 0.2085883000, 0.2950824000, 0.5281915000, 1.2079568000", \
+                        "0.1667469000, 0.1743742000, 0.1919050000, 0.2292943000, 0.3155991000, 0.5493018000, 1.2251010000", \
+                        "0.2095504000, 0.2174973000, 0.2352329000, 0.2733168000, 0.3599495000, 0.5938715000, 1.2686067000", \
+                        "0.2744779000, 0.2835527000, 0.3036578000, 0.3443137000, 0.4329067000, 0.6668788000, 1.3452337000", \
+                        "0.3444786000, 0.3559809000, 0.3814395000, 0.4296417000, 0.5223195000, 0.7570643000, 1.4323703000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0288481000, 0.0328117000, 0.0415070000, 0.0613028000, 0.1102810000, 0.2511482000, 0.6897024000", \
+                        "0.0287479000, 0.0325644000, 0.0412925000, 0.0616595000, 0.1101600000, 0.2512311000, 0.6890011000", \
+                        "0.0288419000, 0.0327267000, 0.0417215000, 0.0615183000, 0.1101227000, 0.2511563000, 0.6886839000", \
+                        "0.0288600000, 0.0329163000, 0.0413343000, 0.0612681000, 0.1099595000, 0.2512586000, 0.6881423000", \
+                        "0.0287419000, 0.0329331000, 0.0417290000, 0.0609987000, 0.1101064000, 0.2515901000, 0.6917714000", \
+                        "0.0382113000, 0.0423978000, 0.0509191000, 0.0694767000, 0.1153525000, 0.2523403000, 0.6930814000", \
+                        "0.0584190000, 0.0628527000, 0.0740413000, 0.0954377000, 0.1405604000, 0.2670156000, 0.6917525000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0304759000, 0.0363498000, 0.0506607000, 0.0873404000, 0.1947822000, 0.5235597000, 1.5013137000", \
+                        "0.0303451000, 0.0360825000, 0.0506666000, 0.0874935000, 0.1950464000, 0.5236422000, 1.5022282000", \
+                        "0.0303908000, 0.0362024000, 0.0507441000, 0.0874247000, 0.1947691000, 0.5235630000, 1.5014469000", \
+                        "0.0303355000, 0.0361919000, 0.0506240000, 0.0875218000, 0.1944728000, 0.5233554000, 1.5082439000", \
+                        "0.0324454000, 0.0379470000, 0.0525540000, 0.0889674000, 0.1954876000, 0.5233984000, 1.5025282000", \
+                        "0.0394648000, 0.0456010000, 0.0599725000, 0.0953093000, 0.1989691000, 0.5243340000, 1.5029468000", \
+                        "0.0540160000, 0.0614480000, 0.0773506000, 0.1111278000, 0.2096718000, 0.5276759000, 1.4981882000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1734749000, 0.1797965000, 0.1938684000, 0.2217501000, 0.2758014000, 0.3982955000, 0.7307365000", \
+                        "0.1785066000, 0.1848182000, 0.1989135000, 0.2267589000, 0.2808895000, 0.4034570000, 0.7359974000", \
+                        "0.1916303000, 0.1979075000, 0.2118272000, 0.2395256000, 0.2934998000, 0.4163239000, 0.7487313000", \
+                        "0.2228920000, 0.2292403000, 0.2432781000, 0.2708724000, 0.3261743000, 0.4487862000, 0.7813126000", \
+                        "0.2993266000, 0.3056702000, 0.3197485000, 0.3475683000, 0.4020419000, 0.5248382000, 0.8578423000", \
+                        "0.4629779000, 0.4700530000, 0.4858323000, 0.5157501000, 0.5720760000, 0.6955262000, 1.0282810000", \
+                        "0.7352111000, 0.7446247000, 0.7652103000, 0.8039769000, 0.8721756000, 1.0037676000, 1.3373124000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.1395737000, 0.1472937000, 0.1646421000, 0.2021017000, 0.2884234000, 0.5210623000, 1.1958335000", \
+                        "0.1438072000, 0.1514123000, 0.1687612000, 0.2063167000, 0.2925640000, 0.5260339000, 1.2047127000", \
+                        "0.1518021000, 0.1594153000, 0.1768501000, 0.2142987000, 0.3006171000, 0.5331061000, 1.2096648000", \
+                        "0.1682642000, 0.1758511000, 0.1933469000, 0.2308384000, 0.3171651000, 0.5498697000, 1.2280751000", \
+                        "0.2014057000, 0.2091892000, 0.2272189000, 0.2651958000, 0.3520331000, 0.5850848000, 1.2635218000", \
+                        "0.2534195000, 0.2622397000, 0.2821322000, 0.3226227000, 0.4117917000, 0.6456664000, 1.3281259000", \
+                        "0.3117052000, 0.3226391000, 0.3467518000, 0.3935917000, 0.4870839000, 0.7224860000, 1.3976240000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0296558000, 0.0337071000, 0.0423612000, 0.0620490000, 0.1110219000, 0.2520029000, 0.6890891000", \
+                        "0.0298198000, 0.0338252000, 0.0423241000, 0.0620973000, 0.1111115000, 0.2517282000, 0.6882104000", \
+                        "0.0297700000, 0.0336009000, 0.0429845000, 0.0622661000, 0.1112584000, 0.2519225000, 0.6932047000", \
+                        "0.0297634000, 0.0336445000, 0.0423948000, 0.0627304000, 0.1109826000, 0.2518975000, 0.6885969000", \
+                        "0.0298782000, 0.0337170000, 0.0425690000, 0.0622840000, 0.1108621000, 0.2515875000, 0.6913329000", \
+                        "0.0375049000, 0.0414895000, 0.0500022000, 0.0685456000, 0.1147934000, 0.2532191000, 0.6888501000", \
+                        "0.0572465000, 0.0627932000, 0.0739827000, 0.0934332000, 0.1385368000, 0.2661566000, 0.6922610000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000");
+                    values("0.0304110000, 0.0362282000, 0.0507438000, 0.0874605000, 0.1947312000, 0.5229014000, 1.5049068000", \
+                        "0.0303588000, 0.0364023000, 0.0505396000, 0.0876350000, 0.1950221000, 0.5238688000, 1.5006412000", \
+                        "0.0303373000, 0.0363727000, 0.0504416000, 0.0875629000, 0.1948546000, 0.5238265000, 1.4981454000", \
+                        "0.0303339000, 0.0363699000, 0.0505414000, 0.0874791000, 0.1947236000, 0.5235546000, 1.5047594000", \
+                        "0.0321930000, 0.0380836000, 0.0522649000, 0.0888150000, 0.1951621000, 0.5230542000, 1.5058301000", \
+                        "0.0371003000, 0.0433125000, 0.0586372000, 0.0948315000, 0.1991194000, 0.5238780000, 1.5021852000", \
+                        "0.0499702000, 0.0572094000, 0.0727763000, 0.1081606000, 0.2077082000, 0.5270422000, 1.4977233000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and3_4") {
+        leakage_power () {
+            value : 0.0042559000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0039331000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0042789000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0039392000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0049811000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0042932000;
+            when : "A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0039306000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0039122000;
+            when : "!A&!B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and3";
+        cell_leakage_power : 0.0041905290;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0024040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051349000, 0.0051372000, 0.0051424000, 0.0051409000, 0.0051374000, 0.0051294000, 0.0051108000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004027000, -0.004030400, -0.004038100, -0.004030700, -0.004013800, -0.003974700, -0.003884700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025400000;
+        }
+        pin ("B") {
+            capacitance : 0.0023830000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0044755000, 0.0044717000, 0.0044629000, 0.0044767000, 0.0045086000, 0.0045820000, 0.0047513000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004064600, -0.004062700, -0.004058500, -0.004058100, -0.004057300, -0.004055300, -0.004050800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024760000;
+        }
+        pin ("C") {
+            capacitance : 0.0024070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0043091000, 0.0043098000, 0.0043114000, 0.0043091000, 0.0043037000, 0.0042914000, 0.0042629000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004312700, -0.004311300, -0.004308100, -0.004307700, -0.004306700, -0.004304300, -0.004298800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025400000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0225850000, 0.0209644000, 0.0157295000, 0.0002470000, -0.054956800, -0.239495700, -0.832371700", \
+                        "0.0224587000, 0.0208180000, 0.0156780000, 0.0001067000, -0.055049400, -0.239614100, -0.832349300", \
+                        "0.0221840000, 0.0205991000, 0.0154280000, -0.000269300, -0.055285100, -0.239799900, -0.832689200", \
+                        "0.0219560000, 0.0202503000, 0.0150878000, -0.000717900, -0.055750300, -0.240207900, -0.832950400", \
+                        "0.0221768000, 0.0203665000, 0.0147660000, -0.000815000, -0.056429200, -0.240735600, -0.833324300", \
+                        "0.0235311000, 0.0216224000, 0.0157538000, -0.002138300, -0.056142400, -0.240433700, -0.833014400", \
+                        "0.0292414000, 0.0269504000, 0.0205621000, 0.0019517000, -0.055691000, -0.240160400, -0.832156100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0281984000, 0.0300139000, 0.0359616000, 0.0548615000, 0.1128240000, 0.2968729000, 0.8879999000", \
+                        "0.0281036000, 0.0299738000, 0.0358394000, 0.0547609000, 0.1130736000, 0.2969332000, 0.8887007000", \
+                        "0.0278949000, 0.0296990000, 0.0356326000, 0.0546573000, 0.1129668000, 0.2968335000, 0.8844410000", \
+                        "0.0276645000, 0.0294341000, 0.0353838000, 0.0542092000, 0.1125419000, 0.2964591000, 0.8878142000", \
+                        "0.0277961000, 0.0296036000, 0.0353953000, 0.0537361000, 0.1119904000, 0.2961638000, 0.8847197000", \
+                        "0.0294258000, 0.0311432000, 0.0367784000, 0.0546556000, 0.1118405000, 0.2957279000, 0.8843381000", \
+                        "0.0319205000, 0.0335141000, 0.0389073000, 0.0565368000, 0.1135980000, 0.2974668000, 0.8804212000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0251215000, 0.0234258000, 0.0181728000, 0.0025213000, -0.052433000, -0.236570400, -0.829309200", \
+                        "0.0250331000, 0.0232756000, 0.0181793000, 0.0023555000, -0.052393700, -0.236691800, -0.829380900", \
+                        "0.0248987000, 0.0231762000, 0.0180037000, 0.0023481000, -0.052586000, -0.236858800, -0.829613200", \
+                        "0.0246641000, 0.0229106000, 0.0176660000, 0.0019555000, -0.053027500, -0.237219300, -0.829864700", \
+                        "0.0246439000, 0.0227879000, 0.0176201000, 0.0014800000, -0.053335200, -0.237560500, -0.830072800", \
+                        "0.0248143000, 0.0227682000, 0.0173852000, 0.0011839000, -0.053961200, -0.237874900, -0.830159700", \
+                        "0.0316497000, 0.0295213000, 0.0234275000, 0.0047631000, -0.053076000, -0.237586700, -0.829660600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0286070000, 0.0304200000, 0.0363546000, 0.0552118000, 0.1134147000, 0.2970044000, 0.8840938000", \
+                        "0.0286020000, 0.0304069000, 0.0363382000, 0.0550809000, 0.1131036000, 0.2968835000, 0.8842847000", \
+                        "0.0284564000, 0.0302925000, 0.0362143000, 0.0549756000, 0.1133032000, 0.2970049000, 0.8842048000", \
+                        "0.0281202000, 0.0298940000, 0.0358436000, 0.0546731000, 0.1130499000, 0.2968477000, 0.8841786000", \
+                        "0.0281771000, 0.0299658000, 0.0356696000, 0.0544305000, 0.1125473000, 0.2965996000, 0.8838977000", \
+                        "0.0294072000, 0.0311341000, 0.0367020000, 0.0543173000, 0.1124445000, 0.2961559000, 0.8831550000", \
+                        "0.0308210000, 0.0324539000, 0.0379013000, 0.0557420000, 0.1143143000, 0.2971202000, 0.8832769000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0273607000, 0.0256983000, 0.0203092000, 0.0044320000, -0.050584100, -0.234928700, -0.827755300", \
+                        "0.0274142000, 0.0257122000, 0.0202359000, 0.0043609000, -0.050695600, -0.235065700, -0.827905800", \
+                        "0.0271344000, 0.0253465000, 0.0201096000, 0.0041092000, -0.050967200, -0.235246100, -0.827996100", \
+                        "0.0269880000, 0.0252881000, 0.0199173000, 0.0038731000, -0.051236600, -0.235426900, -0.828118600", \
+                        "0.0270037000, 0.0251614000, 0.0197754000, 0.0041117000, -0.051504200, -0.235786600, -0.828392200", \
+                        "0.0270112000, 0.0255823000, 0.0200467000, 0.0037390000, -0.051313900, -0.235686600, -0.828265600", \
+                        "0.0354998000, 0.0326390000, 0.0263836000, 0.0076294000, -0.049680800, -0.234665900, -0.827185200");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
+                    values("0.0288811000, 0.0306947000, 0.0366415000, 0.0555533000, 0.1134194000, 0.2970561000, 0.8875795000", \
+                        "0.0287654000, 0.0306023000, 0.0364582000, 0.0554255000, 0.1136495000, 0.2972510000, 0.8833801000", \
+                        "0.0286067000, 0.0304335000, 0.0363776000, 0.0552031000, 0.1135074000, 0.2971033000, 0.8840194000", \
+                        "0.0283508000, 0.0301255000, 0.0360764000, 0.0548893000, 0.1132393000, 0.2968654000, 0.8794756000", \
+                        "0.0282780000, 0.0301043000, 0.0359767000, 0.0546081000, 0.1127473000, 0.2967030000, 0.8837102000", \
+                        "0.0293827000, 0.0313559000, 0.0370289000, 0.0548746000, 0.1127775000, 0.2961417000, 0.8875729000", \
+                        "0.0304733000, 0.0321818000, 0.0376970000, 0.0557506000, 0.1142832000, 0.2975456000, 0.8817977000");
+                }
+            }
+            max_capacitance : 0.5328470000;
+            max_transition : 1.5077670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1176624000, 0.1211953000, 0.1302119000, 0.1504058000, 0.1927356000, 0.2959856000, 0.6031842000", \
+                        "0.1230620000, 0.1265467000, 0.1356027000, 0.1557674000, 0.1983010000, 0.3014897000, 0.6088806000", \
+                        "0.1362588000, 0.1397258000, 0.1488244000, 0.1690206000, 0.2113689000, 0.3146443000, 0.6218698000", \
+                        "0.1671590000, 0.1706760000, 0.1795174000, 0.2000300000, 0.2423069000, 0.3456893000, 0.6525671000", \
+                        "0.2403789000, 0.2438929000, 0.2524743000, 0.2724942000, 0.3157611000, 0.4187666000, 0.7262591000", \
+                        "0.3693820000, 0.3739397000, 0.3858142000, 0.4109687000, 0.4588423000, 0.5655961000, 0.8725635000", \
+                        "0.5720747000, 0.5781848000, 0.5927933000, 0.6261505000, 0.6882516000, 0.8061523000, 1.1158997000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1340763000, 0.1393270000, 0.1531677000, 0.1856525000, 0.2643026000, 0.4891171000, 1.1990191000", \
+                        "0.1378671000, 0.1431018000, 0.1568382000, 0.1894099000, 0.2682454000, 0.4924377000, 1.2045442000", \
+                        "0.1472179000, 0.1524737000, 0.1662910000, 0.1987366000, 0.2775485000, 0.5018227000, 1.2143205000", \
+                        "0.1696199000, 0.1748860000, 0.1887813000, 0.2212735000, 0.2999053000, 0.5247459000, 1.2356726000", \
+                        "0.2196725000, 0.2250672000, 0.2390340000, 0.2718083000, 0.3508999000, 0.5753048000, 1.2867362000", \
+                        "0.2899079000, 0.2963531000, 0.3125250000, 0.3483308000, 0.4292096000, 0.6539372000, 1.3658370000", \
+                        "0.3627692000, 0.3709281000, 0.3919285000, 0.4358308000, 0.5227573000, 0.7481811000, 1.4570243000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0229469000, 0.0250952000, 0.0307727000, 0.0452383000, 0.0837912000, 0.2046444000, 0.6158934000", \
+                        "0.0231319000, 0.0250296000, 0.0309189000, 0.0452554000, 0.0837586000, 0.2044359000, 0.6119869000", \
+                        "0.0230371000, 0.0250940000, 0.0310449000, 0.0453760000, 0.0837914000, 0.2046090000, 0.6157672000", \
+                        "0.0230382000, 0.0252683000, 0.0306832000, 0.0454021000, 0.0837584000, 0.2045494000, 0.6145139000", \
+                        "0.0246318000, 0.0268010000, 0.0324608000, 0.0464677000, 0.0843488000, 0.2047378000, 0.6111346000", \
+                        "0.0367968000, 0.0393522000, 0.0457113000, 0.0598095000, 0.0958726000, 0.2091593000, 0.6117996000", \
+                        "0.0571156000, 0.0599535000, 0.0687328000, 0.0855754000, 0.1213103000, 0.2269871000, 0.6145401000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318369000, 0.0357385000, 0.0468336000, 0.0769581000, 0.1698014000, 0.4824757000, 1.5060750000", \
+                        "0.0320573000, 0.0357851000, 0.0468233000, 0.0770289000, 0.1695583000, 0.4822201000, 1.5077671000", \
+                        "0.0316870000, 0.0356099000, 0.0467802000, 0.0770180000, 0.1695917000, 0.4820878000, 1.5038971000", \
+                        "0.0317929000, 0.0357566000, 0.0468538000, 0.0769830000, 0.1698987000, 0.4810902000, 1.5056097000", \
+                        "0.0338949000, 0.0376806000, 0.0483406000, 0.0787425000, 0.1705395000, 0.4826682000, 1.5061586000", \
+                        "0.0440737000, 0.0479575000, 0.0584061000, 0.0867194000, 0.1756460000, 0.4836797000, 1.5053370000", \
+                        "0.0615800000, 0.0669115000, 0.0792771000, 0.1064354000, 0.1881244000, 0.4862012000, 1.4997754000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1359565000, 0.1396689000, 0.1492386000, 0.1700226000, 0.2143244000, 0.3183809000, 0.6259408000", \
+                        "0.1413544000, 0.1450709000, 0.1546215000, 0.1757347000, 0.2196924000, 0.3236059000, 0.6312798000", \
+                        "0.1548157000, 0.1585121000, 0.1677815000, 0.1887002000, 0.2322355000, 0.3366315000, 0.6443373000", \
+                        "0.1866876000, 0.1901470000, 0.2000052000, 0.2209877000, 0.2646539000, 0.3688401000, 0.6762538000", \
+                        "0.2626976000, 0.2657046000, 0.2759215000, 0.2968464000, 0.3396162000, 0.4444486000, 0.7518973000", \
+                        "0.4102824000, 0.4153270000, 0.4266339000, 0.4516502000, 0.5003866000, 0.6072751000, 0.9145803000", \
+                        "0.6500261000, 0.6558750000, 0.6715567000, 0.7050849000, 0.7665708000, 0.8840779000, 1.1934486000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1413094000, 0.1465486000, 0.1603386000, 0.1928597000, 0.2714039000, 0.4959720000, 1.2081963000", \
+                        "0.1454501000, 0.1507121000, 0.1645203000, 0.1969231000, 0.2757359000, 0.4996989000, 1.2120310000", \
+                        "0.1541238000, 0.1594017000, 0.1731951000, 0.2056292000, 0.2844261000, 0.5083565000, 1.2168315000", \
+                        "0.1740558000, 0.1793654000, 0.1931983000, 0.2256948000, 0.3044672000, 0.5284735000, 1.2370853000", \
+                        "0.2163721000, 0.2218114000, 0.2357964000, 0.2687423000, 0.3476789000, 0.5724896000, 1.2861576000", \
+                        "0.2801762000, 0.2863977000, 0.3023365000, 0.3379085000, 0.4194913000, 0.6449998000, 1.3573733000", \
+                        "0.3446815000, 0.3524749000, 0.3724984000, 0.4151260000, 0.5023190000, 0.7288417000, 1.4369101000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0254384000, 0.0277563000, 0.0335643000, 0.0482841000, 0.0859561000, 0.2059903000, 0.6126439000", \
+                        "0.0253164000, 0.0275687000, 0.0336115000, 0.0477444000, 0.0860646000, 0.2061037000, 0.6166605000", \
+                        "0.0253245000, 0.0275907000, 0.0335511000, 0.0478728000, 0.0863612000, 0.2062060000, 0.6133797000", \
+                        "0.0253257000, 0.0274571000, 0.0334929000, 0.0477311000, 0.0860630000, 0.2062706000, 0.6157208000", \
+                        "0.0259922000, 0.0278997000, 0.0339873000, 0.0481977000, 0.0867450000, 0.2061078000, 0.6156615000", \
+                        "0.0371672000, 0.0393218000, 0.0464858000, 0.0592353000, 0.0944703000, 0.2090278000, 0.6163081000", \
+                        "0.0575287000, 0.0605187000, 0.0683342000, 0.0858129000, 0.1208752000, 0.2256639000, 0.6157173000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318695000, 0.0358283000, 0.0468862000, 0.0768902000, 0.1698781000, 0.4818674000, 1.5013298000", \
+                        "0.0318180000, 0.0357527000, 0.0467176000, 0.0771061000, 0.1699917000, 0.4820259000, 1.5023308000", \
+                        "0.0317292000, 0.0357395000, 0.0467174000, 0.0770136000, 0.1697009000, 0.4822380000, 1.5036692000", \
+                        "0.0317952000, 0.0357728000, 0.0469011000, 0.0769553000, 0.1695789000, 0.4822560000, 1.5028707000", \
+                        "0.0336174000, 0.0374186000, 0.0484465000, 0.0782071000, 0.1704859000, 0.4822355000, 1.5047241000", \
+                        "0.0411861000, 0.0450079000, 0.0558206000, 0.0858962000, 0.1749957000, 0.4824572000, 1.5006988000", \
+                        "0.0563722000, 0.0616121000, 0.0735963000, 0.1017686000, 0.1855476000, 0.4862266000, 1.4980526000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1463793000, 0.1501818000, 0.1599430000, 0.1814873000, 0.2258389000, 0.3307140000, 0.6382643000", \
+                        "0.1516765000, 0.1554792000, 0.1652955000, 0.1867589000, 0.2312042000, 0.3360996000, 0.6434325000", \
+                        "0.1647378000, 0.1685901000, 0.1782668000, 0.2005377000, 0.2448620000, 0.3499950000, 0.6577169000", \
+                        "0.1970174000, 0.2017078000, 0.2114547000, 0.2328421000, 0.2762625000, 0.3813738000, 0.6892688000", \
+                        "0.2742508000, 0.2771595000, 0.2868535000, 0.3080985000, 0.3534604000, 0.4587778000, 0.7664901000", \
+                        "0.4292484000, 0.4335562000, 0.4452826000, 0.4701610000, 0.5181920000, 0.6248703000, 0.9330694000", \
+                        "0.6834484000, 0.6889978000, 0.7045986000, 0.7376001000, 0.7985659000, 0.9154420000, 1.2250092000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.1461514000, 0.1514042000, 0.1652431000, 0.1977486000, 0.2763633000, 0.5006842000, 1.2115609000", \
+                        "0.1501494000, 0.1554074000, 0.1691529000, 0.2017424000, 0.2804681000, 0.5043301000, 1.2153831000", \
+                        "0.1580085000, 0.1632249000, 0.1770173000, 0.2095514000, 0.2882395000, 0.5122807000, 1.2231666000", \
+                        "0.1740834000, 0.1793860000, 0.1932420000, 0.2257365000, 0.3044238000, 0.5284793000, 1.2364118000", \
+                        "0.2076969000, 0.2131234000, 0.2273186000, 0.2601973000, 0.3392449000, 0.5640593000, 1.2765784000", \
+                        "0.2596807000, 0.2658394000, 0.2814085000, 0.3169973000, 0.3986289000, 0.6238294000, 1.3330604000", \
+                        "0.3130191000, 0.3206668000, 0.3398999000, 0.3811383000, 0.4683718000, 0.6952622000, 1.4036469000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0266935000, 0.0290497000, 0.0349898000, 0.0491600000, 0.0875808000, 0.2071880000, 0.6157102000", \
+                        "0.0265098000, 0.0287434000, 0.0345559000, 0.0494573000, 0.0875377000, 0.2071500000, 0.6144041000", \
+                        "0.0266206000, 0.0287208000, 0.0346443000, 0.0493539000, 0.0876090000, 0.2071038000, 0.6128622000", \
+                        "0.0265612000, 0.0290123000, 0.0349111000, 0.0494729000, 0.0875919000, 0.2073620000, 0.6131180000", \
+                        "0.0267277000, 0.0288112000, 0.0347677000, 0.0495456000, 0.0876008000, 0.2070598000, 0.6156083000", \
+                        "0.0369957000, 0.0398173000, 0.0455767000, 0.0591666000, 0.0943562000, 0.2095610000, 0.6143105000", \
+                        "0.0571428000, 0.0602045000, 0.0685627000, 0.0849131000, 0.1198252000, 0.2255216000, 0.6161894000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
+                    values("0.0318313000, 0.0357206000, 0.0468039000, 0.0769674000, 0.1700028000, 0.4821328000, 1.5038950000", \
+                        "0.0319539000, 0.0357699000, 0.0469898000, 0.0769861000, 0.1697882000, 0.4821613000, 1.4991854000", \
+                        "0.0320042000, 0.0356360000, 0.0468606000, 0.0770101000, 0.1698551000, 0.4817081000, 1.5049189000", \
+                        "0.0317713000, 0.0357611000, 0.0468840000, 0.0769974000, 0.1697960000, 0.4820889000, 1.5021002000", \
+                        "0.0334629000, 0.0373941000, 0.0480144000, 0.0781122000, 0.1704180000, 0.4824395000, 1.5041959000", \
+                        "0.0387963000, 0.0429279000, 0.0545034000, 0.0844813000, 0.1743957000, 0.4829192000, 1.5069104000", \
+                        "0.0524485000, 0.0575287000, 0.0691846000, 0.0990066000, 0.1844223000, 0.4860258000, 1.4950077000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and3b_1 */
+
+/* removed sky130_fd_sc_hd__and3b_2 */
+
+/* removed sky130_fd_sc_hd__and3b_4 */
+
+/* removed sky130_fd_sc_hd__and4_1 */
+
+    cell ("sky130_fd_sc_hd__and4_2") {
+        leakage_power () {
+            value : 0.0034361000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0034293000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0034555000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0034364000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0034597000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0034381000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0037988000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0034609000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0034660000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0034439000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0038356000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0034673000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0038674000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0034733000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0023631000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0038733000;
+            when : "A&B&C&!D";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__and4";
+        cell_leakage_power : 0.0034815480;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014950000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014800000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0028438000, 0.0028443000, 0.0028454000, 0.0028458000, 0.0028465000, 0.0028483000, 0.0028523000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002204700, -0.002207900, -0.002215200, -0.002210900, -0.002201000, -0.002178200, -0.002125700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015100000;
+        }
+        pin ("B") {
+            capacitance : 0.0015240000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014970000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0023960000, 0.0023930000, 0.0023861000, 0.0023947000, 0.0024145000, 0.0024602000, 0.0025655000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002164600, -0.002162600, -0.002157800, -0.002158000, -0.002158400, -0.002159200, -0.002161200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015510000;
+        }
+        pin ("C") {
+            capacitance : 0.0015200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014890000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0019128000, 0.0019131000, 0.0019140000, 0.0019137000, 0.0019130000, 0.0019115000, 0.0019081000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001919200, -0.001915200, -0.001906000, -0.001905900, -0.001905500, -0.001904700, -0.001902700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015510000;
+        }
+        pin ("D") {
+            capacitance : 0.0015370000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0021528000, 0.0021531000, 0.0021538000, 0.0021538000, 0.0021540000, 0.0021543000, 0.0021550000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002148500, -0.002149600, -0.002152000, -0.002152200, -0.002152700, -0.002154000, -0.002156800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015930000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C&D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0110362000, 0.0094787000, 0.0057099000, -0.005682000, -0.041889600, -0.151072000, -0.469922600", \
+                        "0.0109912000, 0.0095155000, 0.0057127000, -0.005602600, -0.041917300, -0.151120500, -0.469968100", \
+                        "0.0108474000, 0.0093802000, 0.0055626000, -0.005843900, -0.042053100, -0.151255000, -0.470107800", \
+                        "0.0106175000, 0.0091906000, 0.0053401000, -0.006057400, -0.042333400, -0.151496400, -0.470338100", \
+                        "0.0103794000, 0.0089079000, 0.0050518000, -0.006450600, -0.042667700, -0.151786100, -0.470614100", \
+                        "0.0106031000, 0.0089480000, 0.0046913000, -0.006712900, -0.043027800, -0.152044900, -0.470569500", \
+                        "0.0148535000, 0.0130494000, 0.0082078000, -0.005012100, -0.042426600, -0.151620400, -0.469953500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0150321000, 0.0166030000, 0.0212820000, 0.0347874000, 0.0725678000, 0.1812905000, 0.4973927000", \
+                        "0.0150492000, 0.0166072000, 0.0212959000, 0.0348429000, 0.0727180000, 0.1812514000, 0.4976965000", \
+                        "0.0148783000, 0.0164388000, 0.0212035000, 0.0347149000, 0.0725260000, 0.1812928000, 0.4944704000", \
+                        "0.0147291000, 0.0162649000, 0.0210126000, 0.0345673000, 0.0723863000, 0.1810250000, 0.4970241000", \
+                        "0.0148215000, 0.0163912000, 0.0209719000, 0.0343137000, 0.0721488000, 0.1808141000, 0.4970785000", \
+                        "0.0153544000, 0.0168151000, 0.0210696000, 0.0344349000, 0.0720473000, 0.1799597000, 0.4988728000", \
+                        "0.0170375000, 0.0184632000, 0.0229878000, 0.0357307000, 0.0729858000, 0.1808353000, 0.4981379000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0127271000, 0.0112044000, 0.0073036000, -0.004026600, -0.040160200, -0.149294200, -0.468085700", \
+                        "0.0125565000, 0.0110670000, 0.0073539000, -0.004179500, -0.040241100, -0.149330600, -0.468115300", \
+                        "0.0125595000, 0.0110441000, 0.0071574000, -0.004114900, -0.040397900, -0.149419400, -0.468214200", \
+                        "0.0123172000, 0.0107977000, 0.0068690000, -0.004479400, -0.040577400, -0.149658600, -0.468434600", \
+                        "0.0121158000, 0.0106238000, 0.0067612000, -0.004739600, -0.040968100, -0.149941300, -0.468670100", \
+                        "0.0121819000, 0.0106297000, 0.0064965000, -0.005050000, -0.041298100, -0.150237400, -0.468835600", \
+                        "0.0164830000, 0.0146890000, 0.0103625000, -0.002893300, -0.040626300, -0.149745400, -0.468241200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0151901000, 0.0167452000, 0.0214126000, 0.0349980000, 0.0727863000, 0.1815463000, 0.4996279000", \
+                        "0.0151801000, 0.0168214000, 0.0214715000, 0.0350080000, 0.0725906000, 0.1813004000, 0.4971458000", \
+                        "0.0151116000, 0.0167594000, 0.0214209000, 0.0349454000, 0.0728306000, 0.1813144000, 0.4976570000", \
+                        "0.0150118000, 0.0165220000, 0.0212509000, 0.0347017000, 0.0726097000, 0.1812749000, 0.4965114000", \
+                        "0.0149888000, 0.0165355000, 0.0211268000, 0.0346455000, 0.0724873000, 0.1810680000, 0.4969946000", \
+                        "0.0155035000, 0.0169709000, 0.0212758000, 0.0343874000, 0.0717363000, 0.1806358000, 0.4975139000", \
+                        "0.0167544000, 0.0181910000, 0.0224394000, 0.0354850000, 0.0731945000, 0.1818987000, 0.4981157000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0143985000, 0.0130375000, 0.0091195000, -0.002326900, -0.038326400, -0.147315800, -0.466027100", \
+                        "0.0143574000, 0.0128499000, 0.0089889000, -0.002267800, -0.038425500, -0.147358200, -0.466074300", \
+                        "0.0142612000, 0.0127639000, 0.0088969000, -0.002374400, -0.038488900, -0.147482100, -0.466186000", \
+                        "0.0141413000, 0.0126575000, 0.0087467000, -0.002618200, -0.038724500, -0.147664300, -0.466322500", \
+                        "0.0139708000, 0.0124645000, 0.0085698000, -0.002895900, -0.038975600, -0.147882400, -0.466503000", \
+                        "0.0143946000, 0.0127227000, 0.0086634000, -0.002934500, -0.039156700, -0.148017100, -0.466533700", \
+                        "0.0186738000, 0.0168582000, 0.0119870000, -0.001247700, -0.039009000, -0.147954600, -0.466368000");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0150361000, 0.0165970000, 0.0213546000, 0.0347061000, 0.0724523000, 0.1811043000, 0.4971996000", \
+                        "0.0149458000, 0.0165046000, 0.0211513000, 0.0346658000, 0.0725396000, 0.1812386000, 0.4992165000", \
+                        "0.0149165000, 0.0164777000, 0.0211944000, 0.0346704000, 0.0724323000, 0.1810357000, 0.4970404000", \
+                        "0.0147727000, 0.0163288000, 0.0211069000, 0.0344995000, 0.0724083000, 0.1809439000, 0.4969102000", \
+                        "0.0147763000, 0.0163313000, 0.0209313000, 0.0344798000, 0.0722859000, 0.1808019000, 0.4968095000", \
+                        "0.0154889000, 0.0169914000, 0.0213428000, 0.0342710000, 0.0719086000, 0.1808168000, 0.4969022000", \
+                        "0.0165391000, 0.0179895000, 0.0223831000, 0.0352043000, 0.0724845000, 0.1811387000, 0.4980124000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0156492000, 0.0141255000, 0.0102430000, -0.001188000, -0.037387700, -0.146474300, -0.465176100", \
+                        "0.0155785000, 0.0140675000, 0.0101719000, -0.001177400, -0.037441300, -0.146527800, -0.465248000", \
+                        "0.0155478000, 0.0140292000, 0.0100945000, -0.001345800, -0.037551500, -0.146631500, -0.465345600", \
+                        "0.0154430000, 0.0139388000, 0.0100065000, -0.001437600, -0.037684700, -0.146743400, -0.465442500", \
+                        "0.0152466000, 0.0137293000, 0.0098315000, -0.001641200, -0.037851600, -0.146890900, -0.465558100", \
+                        "0.0159598000, 0.0143656000, 0.0103272000, -0.001143300, -0.037551900, -0.146677100, -0.465364800", \
+                        "0.0204206000, 0.0186350000, 0.0137981000, 9.150000e-05, -0.037809400, -0.146758700, -0.465335700");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000");
+                    values("0.0152054000, 0.0168191000, 0.0214699000, 0.0350000000, 0.0728619000, 0.1811963000, 0.4974811000", \
+                        "0.0151969000, 0.0168031000, 0.0214989000, 0.0349971000, 0.0728492000, 0.1811921000, 0.4996951000", \
+                        "0.0151352000, 0.0166230000, 0.0212793000, 0.0348786000, 0.0726617000, 0.1812899000, 0.4991227000", \
+                        "0.0150057000, 0.0165836000, 0.0212566000, 0.0346457000, 0.0725619000, 0.1812544000, 0.4992347000", \
+                        "0.0149206000, 0.0164587000, 0.0211240000, 0.0344983000, 0.0723089000, 0.1809207000, 0.4968989000", \
+                        "0.0155825000, 0.0170134000, 0.0214937000, 0.0344759000, 0.0721927000, 0.1808010000, 0.4974373000", \
+                        "0.0161823000, 0.0176470000, 0.0220426000, 0.0351787000, 0.0728743000, 0.1812546000, 0.4953162000");
+                }
+            }
+            max_capacitance : 0.3003030000;
+            max_transition : 1.5088210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1439006000, 0.1498602000, 0.1631129000, 0.1893829000, 0.2404104000, 0.3545403000, 0.6604106000", \
+                        "0.1492820000, 0.1552104000, 0.1683628000, 0.1947434000, 0.2458399000, 0.3598492000, 0.6660071000", \
+                        "0.1625071000, 0.1684572000, 0.1815795000, 0.2076911000, 0.2590065000, 0.3729889000, 0.6791892000", \
+                        "0.1930727000, 0.1990569000, 0.2122190000, 0.2383452000, 0.2898161000, 0.4038004000, 0.7098170000", \
+                        "0.2669442000, 0.2728811000, 0.2859721000, 0.3120346000, 0.3634746000, 0.4776673000, 0.7836952000", \
+                        "0.4109409000, 0.4181891000, 0.4340591000, 0.4644262000, 0.5196815000, 0.6358923000, 0.9404197000", \
+                        "0.6392744000, 0.6486617000, 0.6693517000, 0.7090644000, 0.7774078000, 0.9043031000, 1.2101872000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1561482000, 0.1651011000, 0.1852611000, 0.2277625000, 0.3208165000, 0.5592614000, 1.2388742000", \
+                        "0.1599025000, 0.1688951000, 0.1892483000, 0.2316453000, 0.3247320000, 0.5628961000, 1.2459872000", \
+                        "0.1687122000, 0.1776380000, 0.1979405000, 0.2403890000, 0.3334426000, 0.5718336000, 1.2552758000", \
+                        "0.1910249000, 0.1999276000, 0.2204135000, 0.2627903000, 0.3559326000, 0.5939595000, 1.2756424000", \
+                        "0.2421129000, 0.2510226000, 0.2714529000, 0.3138282000, 0.4069419000, 0.6451224000, 1.3253989000", \
+                        "0.3213409000, 0.3315905000, 0.3534253000, 0.3982857000, 0.4938661000, 0.7341472000, 1.4202810000", \
+                        "0.4104822000, 0.4233424000, 0.4516707000, 0.5044405000, 0.6035923000, 0.8438069000, 1.5244942000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0263981000, 0.0302305000, 0.0385749000, 0.0573991000, 0.1032598000, 0.2311659000, 0.6304057000", \
+                        "0.0266860000, 0.0303039000, 0.0393077000, 0.0573474000, 0.1029063000, 0.2320449000, 0.6303764000", \
+                        "0.0264799000, 0.0303039000, 0.0392731000, 0.0580147000, 0.1027924000, 0.2318402000, 0.6298208000", \
+                        "0.0265101000, 0.0303104000, 0.0387402000, 0.0579819000, 0.1028447000, 0.2321086000, 0.6276972000", \
+                        "0.0268052000, 0.0303036000, 0.0392107000, 0.0582394000, 0.1027863000, 0.2317642000, 0.6321822000", \
+                        "0.0376236000, 0.0414724000, 0.0505867000, 0.0685665000, 0.1102590000, 0.2345935000, 0.6332410000", \
+                        "0.0571327000, 0.0622560000, 0.0733900000, 0.0950067000, 0.1371931000, 0.2528797000, 0.6317059000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0362225000, 0.0429598000, 0.0594175000, 0.0976664000, 0.2040689000, 0.5274232000, 1.5009899000", \
+                        "0.0365097000, 0.0428887000, 0.0589996000, 0.0976697000, 0.2037458000, 0.5287286000, 1.5054005000", \
+                        "0.0361992000, 0.0428236000, 0.0591364000, 0.0976563000, 0.2040688000, 0.5280417000, 1.4985820000", \
+                        "0.0362173000, 0.0428178000, 0.0590481000, 0.0976078000, 0.2039577000, 0.5288775000, 1.5034298000", \
+                        "0.0370996000, 0.0437412000, 0.0596934000, 0.0987082000, 0.2037963000, 0.5286497000, 1.5032291000", \
+                        "0.0457438000, 0.0522038000, 0.0677855000, 0.1049331000, 0.2091291000, 0.5307380000, 1.5043435000", \
+                        "0.0627884000, 0.0707378000, 0.0883733000, 0.1230427000, 0.2186402000, 0.5350209000, 1.4976378000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1696447000, 0.1760320000, 0.1901181000, 0.2175587000, 0.2704082000, 0.3861980000, 0.6927764000", \
+                        "0.1751309000, 0.1814905000, 0.1954735000, 0.2231991000, 0.2758755000, 0.3915683000, 0.6981745000", \
+                        "0.1886635000, 0.1949983000, 0.2090434000, 0.2366048000, 0.2892646000, 0.4050052000, 0.7116427000", \
+                        "0.2197822000, 0.2261768000, 0.2404011000, 0.2680092000, 0.3207739000, 0.4366294000, 0.7430783000", \
+                        "0.2955622000, 0.3018660000, 0.3158776000, 0.3433327000, 0.3965025000, 0.5123795000, 0.8187345000", \
+                        "0.4568396000, 0.4643051000, 0.4799469000, 0.5100706000, 0.5654033000, 0.6825607000, 0.9892752000", \
+                        "0.7239129000, 0.7334410000, 0.7541367000, 0.7929248000, 0.8616914000, 0.9884887000, 1.2975510000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1672908000, 0.1762155000, 0.1964777000, 0.2390384000, 0.3320599000, 0.5702577000, 1.2539146000", \
+                        "0.1713460000, 0.1804128000, 0.2007431000, 0.2431033000, 0.3361908000, 0.5740765000, 1.2562023000", \
+                        "0.1800765000, 0.1891515000, 0.2095169000, 0.2518646000, 0.3449682000, 0.5830697000, 1.2661077000", \
+                        "0.2000438000, 0.2088313000, 0.2293536000, 0.2716733000, 0.3647361000, 0.6030292000, 1.2893692000", \
+                        "0.2440360000, 0.2530667000, 0.2735815000, 0.3163118000, 0.4093832000, 0.6478560000, 1.3273100000", \
+                        "0.3165556000, 0.3266526000, 0.3490504000, 0.3931004000, 0.4892165000, 0.7289672000, 1.4121735000", \
+                        "0.3997439000, 0.4119913000, 0.4395710000, 0.4915705000, 0.5913746000, 0.8320482000, 1.5132361000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0294647000, 0.0332775000, 0.0421536000, 0.0610945000, 0.1069645000, 0.2347176000, 0.6340173000", \
+                        "0.0294643000, 0.0333601000, 0.0425699000, 0.0610501000, 0.1070634000, 0.2345870000, 0.6305958000", \
+                        "0.0293741000, 0.0332262000, 0.0419416000, 0.0611059000, 0.1068495000, 0.2341223000, 0.6335918000", \
+                        "0.0294389000, 0.0333093000, 0.0424638000, 0.0612801000, 0.1065162000, 0.2345385000, 0.6300439000", \
+                        "0.0293716000, 0.0332344000, 0.0419394000, 0.0608736000, 0.1064226000, 0.2344989000, 0.6306639000", \
+                        "0.0380494000, 0.0419897000, 0.0505133000, 0.0687001000, 0.1113247000, 0.2354973000, 0.6349700000", \
+                        "0.0577684000, 0.0628408000, 0.0736779000, 0.0952811000, 0.1364857000, 0.2513015000, 0.6331184000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0360881000, 0.0427502000, 0.0593443000, 0.0978051000, 0.2039959000, 0.5285833000, 1.5024072000", \
+                        "0.0362573000, 0.0431424000, 0.0590754000, 0.0977606000, 0.2043346000, 0.5291632000, 1.5025891000", \
+                        "0.0362391000, 0.0431223000, 0.0590035000, 0.0977447000, 0.2038504000, 0.5285609000, 1.5069753000", \
+                        "0.0362391000, 0.0428716000, 0.0590764000, 0.0976288000, 0.2043335000, 0.5283270000, 1.5046955000", \
+                        "0.0374138000, 0.0439482000, 0.0600187000, 0.0984746000, 0.2044216000, 0.5281333000, 1.4987245000", \
+                        "0.0434058000, 0.0501515000, 0.0663735000, 0.1057186000, 0.2094114000, 0.5289586000, 1.5023885000", \
+                        "0.0583279000, 0.0663668000, 0.0837925000, 0.1201906000, 0.2180252000, 0.5343343000, 1.4991741000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1847760000, 0.1912889000, 0.2056436000, 0.2339832000, 0.2875182000, 0.4040908000, 0.7112480000", \
+                        "0.1901220000, 0.1967025000, 0.2111693000, 0.2390660000, 0.2929835000, 0.4096185000, 0.7167446000", \
+                        "0.2036420000, 0.2101890000, 0.2246923000, 0.2528144000, 0.3064360000, 0.4232440000, 0.7303754000", \
+                        "0.2350713000, 0.2422406000, 0.2566743000, 0.2848801000, 0.3387499000, 0.4555108000, 0.7623507000", \
+                        "0.3116540000, 0.3183479000, 0.3327960000, 0.3609437000, 0.4148568000, 0.5319147000, 0.8384652000", \
+                        "0.4798283000, 0.4877058000, 0.5035366000, 0.5333418000, 0.5883864000, 0.7058856000, 1.0118976000", \
+                        "0.7664216000, 0.7760407000, 0.7965741000, 0.8358976000, 0.9026852000, 1.0288227000, 1.3376902000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1735709000, 0.1825499000, 0.2028722000, 0.2452322000, 0.3382641000, 0.5763987000, 1.2559562000", \
+                        "0.1777894000, 0.1867329000, 0.2069630000, 0.2495572000, 0.3425456000, 0.5806230000, 1.2642174000", \
+                        "0.1863096000, 0.1952542000, 0.2154932000, 0.2579907000, 0.3509872000, 0.5892012000, 1.2686261000", \
+                        "0.2032576000, 0.2122221000, 0.2325852000, 0.2749496000, 0.3681051000, 0.6056856000, 1.2884641000", \
+                        "0.2394094000, 0.2483025000, 0.2687782000, 0.3115627000, 0.4046581000, 0.6428224000, 1.3243259000", \
+                        "0.3000459000, 0.3100749000, 0.3321563000, 0.3772599000, 0.4727552000, 0.7122296000, 1.3914950000", \
+                        "0.3725376000, 0.3847629000, 0.4110746000, 0.4625926000, 0.5627672000, 0.8035057000, 1.4836637000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0310024000, 0.0349356000, 0.0438356000, 0.0624976000, 0.1084049000, 0.2354036000, 0.6302515000", \
+                        "0.0310682000, 0.0350778000, 0.0439093000, 0.0629557000, 0.1086316000, 0.2349323000, 0.6305006000", \
+                        "0.0310626000, 0.0350415000, 0.0441733000, 0.0630220000, 0.1082781000, 0.2360417000, 0.6343185000", \
+                        "0.0310222000, 0.0348471000, 0.0436562000, 0.0625964000, 0.1083697000, 0.2356298000, 0.6319686000", \
+                        "0.0309396000, 0.0349964000, 0.0443938000, 0.0625348000, 0.1084203000, 0.2352903000, 0.6310594000", \
+                        "0.0374007000, 0.0420546000, 0.0499097000, 0.0674765000, 0.1110049000, 0.2359264000, 0.6315815000", \
+                        "0.0573523000, 0.0623953000, 0.0728480000, 0.0929186000, 0.1340299000, 0.2514366000, 0.6344754000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0360394000, 0.0428029000, 0.0590191000, 0.0977033000, 0.2040942000, 0.5279885000, 1.5016485000", \
+                        "0.0361167000, 0.0427057000, 0.0592918000, 0.0978694000, 0.2040152000, 0.5285660000, 1.5028918000", \
+                        "0.0361181000, 0.0428712000, 0.0593540000, 0.0976635000, 0.2041560000, 0.5274349000, 1.5012905000", \
+                        "0.0361319000, 0.0427762000, 0.0589420000, 0.0977740000, 0.2039425000, 0.5292038000, 1.5014519000", \
+                        "0.0373778000, 0.0441899000, 0.0600259000, 0.0982520000, 0.2040446000, 0.5283348000, 1.5031417000", \
+                        "0.0420800000, 0.0492781000, 0.0652152000, 0.1044397000, 0.2082206000, 0.5303904000, 1.4991139000", \
+                        "0.0552859000, 0.0628693000, 0.0802212000, 0.1174609000, 0.2180184000, 0.5331601000, 1.4976794000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1946516000, 0.2012745000, 0.2159046000, 0.2443457000, 0.2981863000, 0.4151804000, 0.7223835000", \
+                        "0.1998945000, 0.2065239000, 0.2211294000, 0.2495122000, 0.3033585000, 0.4204506000, 0.7280389000", \
+                        "0.2127878000, 0.2194027000, 0.2340379000, 0.2624249000, 0.3161763000, 0.4334914000, 0.7403144000", \
+                        "0.2451845000, 0.2518135000, 0.2663369000, 0.2956833000, 0.3495680000, 0.4666986000, 0.7736217000", \
+                        "0.3223256000, 0.3289218000, 0.3435185000, 0.3721033000, 0.4263588000, 0.5433472000, 0.8506700000", \
+                        "0.4950903000, 0.5022422000, 0.5177365000, 0.5461351000, 0.6009002000, 0.7181321000, 1.0255844000", \
+                        "0.7931334000, 0.8025201000, 0.8230167000, 0.8612602000, 0.9272516000, 1.0530274000, 1.3614511000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.1795201000, 0.1885042000, 0.2088883000, 0.2512457000, 0.3443069000, 0.5820969000, 1.2650933000", \
+                        "0.1837813000, 0.1927333000, 0.2130833000, 0.2554914000, 0.3485429000, 0.5864570000, 1.2680548000", \
+                        "0.1919312000, 0.2008156000, 0.2210528000, 0.2636268000, 0.3566155000, 0.5945772000, 1.2782229000", \
+                        "0.2070882000, 0.2160621000, 0.2364458000, 0.2787255000, 0.3717259000, 0.6099565000, 1.2940125000", \
+                        "0.2363556000, 0.2453466000, 0.2656838000, 0.3083950000, 0.4015525000, 0.6394253000, 1.3218105000", \
+                        "0.2839018000, 0.2934357000, 0.3155420000, 0.3604421000, 0.4560217000, 0.6954322000, 1.3746867000", \
+                        "0.3427513000, 0.3541413000, 0.3795061000, 0.4291574000, 0.5296489000, 0.7706664000, 1.4510715000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0320692000, 0.0362488000, 0.0446177000, 0.0642411000, 0.1095516000, 0.2362584000, 0.6321831000", \
+                        "0.0319932000, 0.0360659000, 0.0451929000, 0.0641310000, 0.1094127000, 0.2367748000, 0.6319672000", \
+                        "0.0319265000, 0.0360223000, 0.0453674000, 0.0635119000, 0.1092990000, 0.2362996000, 0.6316588000", \
+                        "0.0320481000, 0.0360019000, 0.0446420000, 0.0638862000, 0.1092757000, 0.2363049000, 0.6318269000", \
+                        "0.0319925000, 0.0358290000, 0.0446792000, 0.0641371000, 0.1090993000, 0.2366124000, 0.6323211000", \
+                        "0.0373720000, 0.0409389000, 0.0497497000, 0.0677646000, 0.1112008000, 0.2368372000, 0.6328285000", \
+                        "0.0567533000, 0.0611903000, 0.0716472000, 0.0915187000, 0.1328058000, 0.2493639000, 0.6340760000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000");
+                    values("0.0363037000, 0.0430898000, 0.0590050000, 0.0976802000, 0.2040173000, 0.5287212000, 1.5048167000", \
+                        "0.0365166000, 0.0430303000, 0.0589054000, 0.0975200000, 0.2039578000, 0.5280481000, 1.5088212000", \
+                        "0.0360182000, 0.0426994000, 0.0593427000, 0.0978278000, 0.2040086000, 0.5284278000, 1.5032456000", \
+                        "0.0362151000, 0.0428867000, 0.0591886000, 0.0977537000, 0.2038378000, 0.5292686000, 1.5050878000", \
+                        "0.0371774000, 0.0436197000, 0.0595133000, 0.0982838000, 0.2044145000, 0.5288629000, 1.5017571000", \
+                        "0.0411242000, 0.0481088000, 0.0648436000, 0.1032961000, 0.2076834000, 0.5285557000, 1.5014794000", \
+                        "0.0508141000, 0.0586356000, 0.0757667000, 0.1156499000, 0.2166704000, 0.5329967000, 1.4955370000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__and4_4") {
+        leakage_power () {
+            value : 0.0040885000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040826000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0041054000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0040890000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0041100000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040910000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0044086000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0041123000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0041161000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0040967000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0044373000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0041185000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0044719000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0041245000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0059705000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0044864000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__and4";
+        cell_leakage_power : 0.0043068320;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0049085000, 0.0049057000, 0.0048994000, 0.0049006000, 0.0049034000, 0.0049100000, 0.0049251000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004021500, -0.004023400, -0.004027800, -0.004021400, -0.004006700, -0.003972700, -0.003894200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0023970000;
+        }
+        pin ("B") {
+            capacitance : 0.0024100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045905000, 0.0045862000, 0.0045764000, 0.0045897000, 0.0046203000, 0.0046909000, 0.0048537000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004173700, -0.004173900, -0.004174400, -0.004173800, -0.004172300, -0.004168800, -0.004160800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024870000;
+        }
+        pin ("C") {
+            capacitance : 0.0023630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022720000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039295000, 0.0039276000, 0.0039232000, 0.0039233000, 0.0039235000, 0.0039240000, 0.0039250000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003933000, -0.003930600, -0.003925100, -0.003924700, -0.003923800, -0.003921700, -0.003917000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024540000;
+        }
+        pin ("D") {
+            capacitance : 0.0023420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022160000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0041907000, 0.0041865000, 0.0041768000, 0.0041775000, 0.0041791000, 0.0041829000, 0.0041916000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004179100, -0.004178000, -0.004175300, -0.004175300, -0.004175300, -0.004175200, -0.004174900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024690000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B&C&D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0223359000, 0.0206942000, 0.0156045000, 4.220000e-05, -0.055116100, -0.239300300, -0.831073400", \
+                        "0.0223127000, 0.0206209000, 0.0155582000, 1.590000e-05, -0.055126100, -0.239430000, -0.831212900", \
+                        "0.0220741000, 0.0204953000, 0.0153623000, -0.000200200, -0.055301500, -0.239506100, -0.831285300", \
+                        "0.0218765000, 0.0202375000, 0.0151509000, -0.000573000, -0.055799900, -0.239957500, -0.831625400", \
+                        "0.0219509000, 0.0201994000, 0.0149125000, -0.001217400, -0.056130300, -0.240440400, -0.831948100", \
+                        "0.0235522000, 0.0216341000, 0.0158156000, -0.001528300, -0.056570800, -0.240284400, -0.831719400", \
+                        "0.0286287000, 0.0265396000, 0.0202548000, 0.0016847000, -0.055205300, -0.240054900, -0.830997900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0284573000, 0.0302812000, 0.0361407000, 0.0551465000, 0.1131036000, 0.2967632000, 0.8872369000", \
+                        "0.0283793000, 0.0302197000, 0.0361439000, 0.0548561000, 0.1132597000, 0.2969647000, 0.8872511000", \
+                        "0.0281455000, 0.0299734000, 0.0358226000, 0.0547779000, 0.1131833000, 0.2968166000, 0.8833840000", \
+                        "0.0278921000, 0.0296821000, 0.0354694000, 0.0543895000, 0.1130761000, 0.2963908000, 0.8833783000", \
+                        "0.0279423000, 0.0297159000, 0.0353788000, 0.0541404000, 0.1125677000, 0.2960288000, 0.8826487000", \
+                        "0.0291382000, 0.0307874000, 0.0363953000, 0.0549625000, 0.1120965000, 0.2959301000, 0.8783530000", \
+                        "0.0327781000, 0.0343445000, 0.0396643000, 0.0571872000, 0.1141342000, 0.2967248000, 0.8824727000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0247975000, 0.0230256000, 0.0177456000, 0.0022381000, -0.052691800, -0.236785000, -0.828399900", \
+                        "0.0245758000, 0.0228916000, 0.0176975000, 0.0020692000, -0.052857600, -0.236828700, -0.828438100", \
+                        "0.0244854000, 0.0227397000, 0.0175535000, 0.0019372000, -0.053008900, -0.236900500, -0.828564000", \
+                        "0.0241787000, 0.0226117000, 0.0173402000, 0.0015960000, -0.053480900, -0.237280800, -0.828831100", \
+                        "0.0242279000, 0.0225580000, 0.0172231000, 0.0011121000, -0.053687600, -0.237787600, -0.829263900", \
+                        "0.0240097000, 0.0222137000, 0.0165476000, 0.0011577000, -0.054455100, -0.237849900, -0.829189600", \
+                        "0.0310476000, 0.0289285000, 0.0226919000, 0.0040641000, -0.053836000, -0.237849800, -0.828842400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0287368000, 0.0305589000, 0.0363073000, 0.0554486000, 0.1134856000, 0.2970398000, 0.8875170000", \
+                        "0.0287187000, 0.0305341000, 0.0363965000, 0.0554072000, 0.1133914000, 0.2966236000, 0.8869823000", \
+                        "0.0285719000, 0.0304274000, 0.0363002000, 0.0550271000, 0.1136625000, 0.2967996000, 0.8826101000", \
+                        "0.0283737000, 0.0300647000, 0.0358767000, 0.0549079000, 0.1131893000, 0.2967619000, 0.8830748000", \
+                        "0.0283272000, 0.0300946000, 0.0358856000, 0.0547419000, 0.1128290000, 0.2964197000, 0.8781726000", \
+                        "0.0288607000, 0.0305274000, 0.0359438000, 0.0544730000, 0.1126375000, 0.2959417000, 0.8821440000", \
+                        "0.0317283000, 0.0333317000, 0.0386184000, 0.0567437000, 0.1146472000, 0.2973207000, 0.8800286000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0275123000, 0.0258557000, 0.0205512000, 0.0048590000, -0.049819500, -0.233648700, -0.825195000", \
+                        "0.0272980000, 0.0256433000, 0.0203339000, 0.0048576000, -0.049970800, -0.233745800, -0.825316600", \
+                        "0.0272095000, 0.0254446000, 0.0202116000, 0.0047170000, -0.050084300, -0.233892400, -0.825445800", \
+                        "0.0271271000, 0.0252294000, 0.0199679000, 0.0042639000, -0.050564000, -0.234187200, -0.825666000", \
+                        "0.0272945000, 0.0255937000, 0.0201600000, 0.0041259000, -0.051013200, -0.234538600, -0.825980400", \
+                        "0.0276065000, 0.0258002000, 0.0201196000, 0.0039484000, -0.050730600, -0.234467600, -0.825598100", \
+                        "0.0346538000, 0.0325391000, 0.0263123000, 0.0076375000, -0.050474600, -0.234347900, -0.825299400");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0285316000, 0.0302937000, 0.0360872000, 0.0549935000, 0.1135903000, 0.2965637000, 0.8820369000", \
+                        "0.0284849000, 0.0303065000, 0.0361660000, 0.0551784000, 0.1131561000, 0.2964668000, 0.8866325000", \
+                        "0.0283377000, 0.0301509000, 0.0358885000, 0.0549302000, 0.1134166000, 0.2964336000, 0.8819552000", \
+                        "0.0281039000, 0.0299271000, 0.0356834000, 0.0546334000, 0.1130690000, 0.2962549000, 0.8819636000", \
+                        "0.0280097000, 0.0297767000, 0.0356227000, 0.0544653000, 0.1127741000, 0.2962287000, 0.8824468000", \
+                        "0.0287986000, 0.0304903000, 0.0363632000, 0.0546483000, 0.1125316000, 0.2958767000, 0.8777816000", \
+                        "0.0309454000, 0.0325917000, 0.0380269000, 0.0566377000, 0.1138781000, 0.2975539000, 0.8786315000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0295029000, 0.0277243000, 0.0223330000, 0.0065108000, -0.048418300, -0.232191300, -0.823779000", \
+                        "0.0293547000, 0.0277766000, 0.0223429000, 0.0064388000, -0.048441800, -0.232363700, -0.823941600", \
+                        "0.0291749000, 0.0274524000, 0.0220927000, 0.0063285000, -0.048581300, -0.232493700, -0.824035100", \
+                        "0.0289766000, 0.0272429000, 0.0218735000, 0.0060872000, -0.048835300, -0.232760800, -0.824198400", \
+                        "0.0291639000, 0.0273747000, 0.0220145000, 0.0059390000, -0.048941200, -0.232811500, -0.824181900", \
+                        "0.0293125000, 0.0274215000, 0.0217878000, 0.0064300000, -0.048985300, -0.233072900, -0.824342800", \
+                        "0.0376705000, 0.0355602000, 0.0294144000, 0.0105823000, -0.048334400, -0.232522200, -0.823691700");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0288300000, 0.0306251000, 0.0365994000, 0.0553208000, 0.1137850000, 0.2968700000, 0.8782827000", \
+                        "0.0287686000, 0.0305736000, 0.0363999000, 0.0553072000, 0.1137779000, 0.2966361000, 0.8817872000", \
+                        "0.0285933000, 0.0304391000, 0.0361491000, 0.0551900000, 0.1136417000, 0.2965250000, 0.8817338000", \
+                        "0.0283295000, 0.0301529000, 0.0359643000, 0.0548340000, 0.1132877000, 0.2963190000, 0.8858348000", \
+                        "0.0282773000, 0.0299772000, 0.0358158000, 0.0545154000, 0.1129950000, 0.2963205000, 0.8824244000", \
+                        "0.0292814000, 0.0309908000, 0.0366533000, 0.0544459000, 0.1128973000, 0.2959466000, 0.8779109000", \
+                        "0.0312342000, 0.0328978000, 0.0383677000, 0.0565755000, 0.1138979000, 0.2971594000, 0.8800971000");
+                }
+            }
+            max_capacitance : 0.5319310000;
+            max_transition : 1.5064790000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1154774000, 0.1190116000, 0.1280661000, 0.1482224000, 0.1906042000, 0.2941229000, 0.6026539000", \
+                        "0.1209914000, 0.1244771000, 0.1335243000, 0.1536304000, 0.1962033000, 0.2996587000, 0.6083357000", \
+                        "0.1344616000, 0.1379507000, 0.1470132000, 0.1671641000, 0.2094988000, 0.3130463000, 0.6213901000", \
+                        "0.1651074000, 0.1686253000, 0.1776673000, 0.1976197000, 0.2408588000, 0.3444690000, 0.6528954000", \
+                        "0.2379787000, 0.2415085000, 0.2505905000, 0.2707340000, 0.3128440000, 0.4172132000, 0.7253331000", \
+                        "0.3641999000, 0.3688039000, 0.3805657000, 0.4056281000, 0.4546638000, 0.5612088000, 0.8693620000", \
+                        "0.5606758000, 0.5666413000, 0.5815357000, 0.6151660000, 0.6764486000, 0.7954639000, 1.1058705000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1618261000, 0.1679806000, 0.1839951000, 0.2204500000, 0.3046404000, 0.5313414000, 1.2394471000", \
+                        "0.1655860000, 0.1716438000, 0.1874874000, 0.2239701000, 0.3084047000, 0.5355444000, 1.2425126000", \
+                        "0.1742364000, 0.1803098000, 0.1961552000, 0.2326986000, 0.3171080000, 0.5442905000, 1.2515931000", \
+                        "0.1953239000, 0.2014007000, 0.2172416000, 0.2538579000, 0.3383099000, 0.5654400000, 1.2733906000", \
+                        "0.2456526000, 0.2517511000, 0.2673804000, 0.3043313000, 0.3885100000, 0.6159130000, 1.3227206000", \
+                        "0.3247661000, 0.3316766000, 0.3493024000, 0.3879140000, 0.4743006000, 0.7021113000, 1.4099921000", \
+                        "0.4132198000, 0.4217016000, 0.4435591000, 0.4901514000, 0.5817319000, 0.8106626000, 1.5193804000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0223430000, 0.0246128000, 0.0302678000, 0.0447774000, 0.0839331000, 0.2067106000, 0.6206612000", \
+                        "0.0224414000, 0.0247306000, 0.0304966000, 0.0449268000, 0.0838303000, 0.2063415000, 0.6234084000", \
+                        "0.0225930000, 0.0248214000, 0.0302851000, 0.0448801000, 0.0839642000, 0.2067321000, 0.6235474000", \
+                        "0.0223715000, 0.0245504000, 0.0306676000, 0.0450458000, 0.0837382000, 0.2064086000, 0.6205630000", \
+                        "0.0242041000, 0.0262011000, 0.0317512000, 0.0458043000, 0.0847194000, 0.2067239000, 0.6210005000", \
+                        "0.0362849000, 0.0388967000, 0.0450792000, 0.0596848000, 0.0956427000, 0.2113232000, 0.6210835000", \
+                        "0.0560531000, 0.0590802000, 0.0682859000, 0.0852594000, 0.1221502000, 0.2295372000, 0.6230528000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0372432000, 0.0419585000, 0.0541341000, 0.0858497000, 0.1783996000, 0.4843728000, 1.5059395000", \
+                        "0.0378340000, 0.0421830000, 0.0540432000, 0.0859591000, 0.1780799000, 0.4852774000, 1.5064787000", \
+                        "0.0375571000, 0.0421381000, 0.0539184000, 0.0860002000, 0.1780113000, 0.4852298000, 1.5018781000", \
+                        "0.0373231000, 0.0416442000, 0.0539614000, 0.0857794000, 0.1780061000, 0.4852910000, 1.5028785000", \
+                        "0.0382461000, 0.0427789000, 0.0551857000, 0.0870226000, 0.1786032000, 0.4845545000, 1.5027720000", \
+                        "0.0470706000, 0.0512691000, 0.0630987000, 0.0935239000, 0.1837430000, 0.4871425000, 1.4978636000", \
+                        "0.0647691000, 0.0700653000, 0.0844120000, 0.1126638000, 0.1963986000, 0.4913058000, 1.4985062000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1351915000, 0.1389019000, 0.1485162000, 0.1697996000, 0.2135846000, 0.3186717000, 0.6274285000", \
+                        "0.1410176000, 0.1447536000, 0.1543887000, 0.1753174000, 0.2195334000, 0.3243526000, 0.6325538000", \
+                        "0.1543166000, 0.1580446000, 0.1676197000, 0.1888534000, 0.2329599000, 0.3377335000, 0.6465828000", \
+                        "0.1859124000, 0.1896633000, 0.1992416000, 0.2202925000, 0.2651539000, 0.3691993000, 0.6775268000", \
+                        "0.2616216000, 0.2653380000, 0.2748951000, 0.2959567000, 0.3389735000, 0.4453091000, 0.7542109000", \
+                        "0.4075644000, 0.4122339000, 0.4240982000, 0.4491530000, 0.4981723000, 0.6051046000, 0.9139862000", \
+                        "0.6422922000, 0.6484000000, 0.6643088000, 0.6979367000, 0.7596609000, 0.8782939000, 1.1893055000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1721604000, 0.1782389000, 0.1940718000, 0.2307657000, 0.3150447000, 0.5420279000, 1.2507295000", \
+                        "0.1760492000, 0.1821361000, 0.1981470000, 0.2346042000, 0.3187217000, 0.5458507000, 1.2531877000", \
+                        "0.1843256000, 0.1905312000, 0.2064318000, 0.2429241000, 0.3272191000, 0.5541517000, 1.2656965000", \
+                        "0.2037948000, 0.2097282000, 0.2255944000, 0.2622454000, 0.3464831000, 0.5737610000, 1.2839647000", \
+                        "0.2472558000, 0.2533271000, 0.2693571000, 0.3060782000, 0.3904609000, 0.6176755000, 1.3242690000", \
+                        "0.3184426000, 0.3252217000, 0.3427058000, 0.3820121000, 0.4688517000, 0.6974830000, 1.4083676000", \
+                        "0.3982398000, 0.4064995000, 0.4279381000, 0.4739034000, 0.5658620000, 0.7961810000, 1.5036268000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0251216000, 0.0271957000, 0.0331307000, 0.0475818000, 0.0870770000, 0.2085749000, 0.6220923000", \
+                        "0.0249486000, 0.0271277000, 0.0330501000, 0.0480071000, 0.0867113000, 0.2089156000, 0.6224971000", \
+                        "0.0249382000, 0.0272322000, 0.0333251000, 0.0475917000, 0.0869425000, 0.2087537000, 0.6214944000", \
+                        "0.0251704000, 0.0274912000, 0.0330891000, 0.0477161000, 0.0867175000, 0.2088642000, 0.6216837000", \
+                        "0.0256924000, 0.0280091000, 0.0338933000, 0.0481644000, 0.0875700000, 0.2085049000, 0.6214717000", \
+                        "0.0366500000, 0.0393035000, 0.0452899000, 0.0600462000, 0.0952301000, 0.2117258000, 0.6221924000", \
+                        "0.0569307000, 0.0601477000, 0.0679122000, 0.0857381000, 0.1221010000, 0.2292605000, 0.6229365000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0375159000, 0.0419584000, 0.0543740000, 0.0856344000, 0.1781312000, 0.4853711000, 1.5060595000", \
+                        "0.0372849000, 0.0419663000, 0.0541703000, 0.0858733000, 0.1781817000, 0.4851320000, 1.5036611000", \
+                        "0.0372287000, 0.0419800000, 0.0541149000, 0.0860041000, 0.1782209000, 0.4852842000, 1.5003345000", \
+                        "0.0372291000, 0.0420371000, 0.0541510000, 0.0859437000, 0.1781561000, 0.4848589000, 1.5018692000", \
+                        "0.0385373000, 0.0426319000, 0.0549865000, 0.0866198000, 0.1786371000, 0.4854170000, 1.5012249000", \
+                        "0.0447787000, 0.0493413000, 0.0616251000, 0.0928859000, 0.1833954000, 0.4866011000, 1.5001472000", \
+                        "0.0609331000, 0.0652768000, 0.0790307000, 0.1097115000, 0.1943653000, 0.4910508000, 1.4984546000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1467733000, 0.1506427000, 0.1604906000, 0.1822487000, 0.2269433000, 0.3324958000, 0.6418012000", \
+                        "0.1522968000, 0.1561561000, 0.1660702000, 0.1877981000, 0.2323981000, 0.3380900000, 0.6471736000", \
+                        "0.1659313000, 0.1697819000, 0.1796604000, 0.2014667000, 0.2463371000, 0.3517104000, 0.6610225000", \
+                        "0.1974322000, 0.2021729000, 0.2120709000, 0.2337972000, 0.2788411000, 0.3842824000, 0.6933276000", \
+                        "0.2745976000, 0.2784324000, 0.2882573000, 0.3098543000, 0.3547526000, 0.4606526000, 0.7696250000", \
+                        "0.4297298000, 0.4344347000, 0.4462285000, 0.4702170000, 0.5192097000, 0.6261978000, 0.9355638000", \
+                        "0.6830261000, 0.6891629000, 0.7048563000, 0.7381142000, 0.7995490000, 0.9176295000, 1.2279751000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1783762000, 0.1844398000, 0.2002593000, 0.2369980000, 0.3212374000, 0.5479327000, 1.2592144000", \
+                        "0.1824067000, 0.1884947000, 0.2045085000, 0.2409701000, 0.3250851000, 0.5515412000, 1.2591125000", \
+                        "0.1902349000, 0.1963027000, 0.2121906000, 0.2487674000, 0.3331153000, 0.5598687000, 1.2711220000", \
+                        "0.2066470000, 0.2127142000, 0.2284306000, 0.2652193000, 0.3494184000, 0.5759780000, 1.2874829000", \
+                        "0.2421498000, 0.2483131000, 0.2642153000, 0.3010931000, 0.3854069000, 0.6126727000, 1.3226501000", \
+                        "0.3014214000, 0.3081482000, 0.3256763000, 0.3648332000, 0.4516741000, 0.6800546000, 1.3868733000", \
+                        "0.3685673000, 0.3766190000, 0.3975054000, 0.4429028000, 0.5352314000, 0.7660645000, 1.4731832000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0265051000, 0.0286030000, 0.0345934000, 0.0490009000, 0.0882838000, 0.2095363000, 0.6204924000", \
+                        "0.0264966000, 0.0285103000, 0.0346652000, 0.0493510000, 0.0884379000, 0.2096043000, 0.6215546000", \
+                        "0.0263002000, 0.0286381000, 0.0347175000, 0.0491521000, 0.0882315000, 0.2097685000, 0.6219056000", \
+                        "0.0263728000, 0.0286422000, 0.0348037000, 0.0492261000, 0.0880355000, 0.2095390000, 0.6215962000", \
+                        "0.0262971000, 0.0286593000, 0.0347612000, 0.0496866000, 0.0882156000, 0.2097182000, 0.6225412000", \
+                        "0.0362948000, 0.0392622000, 0.0449315000, 0.0589184000, 0.0950662000, 0.2119952000, 0.6223052000", \
+                        "0.0567096000, 0.0593829000, 0.0678020000, 0.0847376000, 0.1198340000, 0.2275281000, 0.6230826000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0372637000, 0.0417578000, 0.0543704000, 0.0859265000, 0.1782664000, 0.4852940000, 1.4995483000", \
+                        "0.0372771000, 0.0419621000, 0.0541402000, 0.0858434000, 0.1783607000, 0.4842125000, 1.5060915000", \
+                        "0.0376651000, 0.0422270000, 0.0540110000, 0.0861249000, 0.1780867000, 0.4852967000, 1.5002769000", \
+                        "0.0372280000, 0.0418129000, 0.0543025000, 0.0859234000, 0.1780014000, 0.4851013000, 1.5014644000", \
+                        "0.0384114000, 0.0428638000, 0.0546844000, 0.0868713000, 0.1784206000, 0.4844460000, 1.5015821000", \
+                        "0.0433935000, 0.0479994000, 0.0610742000, 0.0922001000, 0.1827716000, 0.4866322000, 1.4998501000", \
+                        "0.0576642000, 0.0622372000, 0.0755365000, 0.1071419000, 0.1942394000, 0.4906868000, 1.4962470000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1573006000, 0.1612686000, 0.1714377000, 0.1935999000, 0.2389674000, 0.3454555000, 0.6547476000", \
+                        "0.1627098000, 0.1666576000, 0.1768172000, 0.1989982000, 0.2444384000, 0.3510948000, 0.6605469000", \
+                        "0.1758312000, 0.1797927000, 0.1899100000, 0.2118921000, 0.2575107000, 0.3641410000, 0.6735976000", \
+                        "0.2090542000, 0.2130220000, 0.2231443000, 0.2451683000, 0.2907863000, 0.3972706000, 0.7070287000", \
+                        "0.2856593000, 0.2895906000, 0.2996870000, 0.3218956000, 0.3668066000, 0.4734129000, 0.7832026000", \
+                        "0.4467613000, 0.4514132000, 0.4631630000, 0.4878943000, 0.5355014000, 0.6438808000, 0.9530251000", \
+                        "0.7133423000, 0.7194712000, 0.7350763000, 0.7681912000, 0.8291262000, 0.9467468000, 1.2571830000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.1842908000, 0.1903569000, 0.2062439000, 0.2427593000, 0.3271351000, 0.5537282000, 1.2602774000", \
+                        "0.1883339000, 0.1944191000, 0.2102990000, 0.2468808000, 0.3312116000, 0.5577258000, 1.2687689000", \
+                        "0.1960028000, 0.2021220000, 0.2178991000, 0.2545672000, 0.3389055000, 0.5654638000, 1.2765531000", \
+                        "0.2104510000, 0.2165937000, 0.2323287000, 0.2689965000, 0.3532473000, 0.5796046000, 1.2889553000", \
+                        "0.2390992000, 0.2451672000, 0.2611567000, 0.2979038000, 0.3822558000, 0.6092126000, 1.3184660000", \
+                        "0.2856425000, 0.2923259000, 0.3095852000, 0.3483366000, 0.4351952000, 0.6631895000, 1.3702808000", \
+                        "0.3390478000, 0.3467710000, 0.3666790000, 0.4106173000, 0.5028266000, 0.7336816000, 1.4408439000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0278960000, 0.0299277000, 0.0359874000, 0.0510382000, 0.0899998000, 0.2109438000, 0.6244767000", \
+                        "0.0278874000, 0.0303126000, 0.0361616000, 0.0512903000, 0.0902048000, 0.2109901000, 0.6222870000", \
+                        "0.0276530000, 0.0299756000, 0.0360118000, 0.0509640000, 0.0900843000, 0.2107631000, 0.6225013000", \
+                        "0.0276258000, 0.0299550000, 0.0359931000, 0.0514513000, 0.0899380000, 0.2105676000, 0.6231552000", \
+                        "0.0276784000, 0.0301239000, 0.0365527000, 0.0511559000, 0.0899401000, 0.2113352000, 0.6226322000", \
+                        "0.0367716000, 0.0392981000, 0.0450006000, 0.0596711000, 0.0945710000, 0.2123526000, 0.6235052000", \
+                        "0.0565349000, 0.0598234000, 0.0673152000, 0.0840537000, 0.1197179000, 0.2275397000, 0.6246642000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0373436000, 0.0418522000, 0.0542189000, 0.0860799000, 0.1780215000, 0.4852720000, 1.4993400000", \
+                        "0.0378065000, 0.0422919000, 0.0544099000, 0.0861325000, 0.1781413000, 0.4853052000, 1.4993682000", \
+                        "0.0377594000, 0.0416635000, 0.0539754000, 0.0861313000, 0.1780879000, 0.4853051000, 1.4999236000", \
+                        "0.0374317000, 0.0417922000, 0.0543889000, 0.0860905000, 0.1781392000, 0.4850739000, 1.5030414000", \
+                        "0.0381582000, 0.0426319000, 0.0547076000, 0.0866277000, 0.1785996000, 0.4850013000, 1.5023307000", \
+                        "0.0421045000, 0.0469784000, 0.0600019000, 0.0919297000, 0.1827630000, 0.4865288000, 1.4990891000", \
+                        "0.0530331000, 0.0583227000, 0.0721163000, 0.1046794000, 0.1927545000, 0.4898557000, 1.4964625000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__and4b_1 */
+
+/* removed sky130_fd_sc_hd__and4b_2 */
+
+/* removed sky130_fd_sc_hd__and4b_4 */
+
+/* removed sky130_fd_sc_hd__and4bb_1 */
+
+/* removed sky130_fd_sc_hd__and4bb_2 */
+
+/* removed sky130_fd_sc_hd__and4bb_4 */
+
+    cell ("sky130_fd_sc_hd__buf_1") {
+        leakage_power () {
+            value : 0.0011810000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0011810000;
+            when : "!A";
+        }
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0011810180;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0021030000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0020150000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0021910000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("0.0108054000, 0.0097834000, 0.0069418000, -0.000808000, -0.020725600, -0.071111700, -0.198366400", \
+                        "0.0105490000, 0.0095435000, 0.0066983000, -0.001032800, -0.020903300, -0.071322800, -0.198572800", \
+                        "0.0103270000, 0.0093022000, 0.0064758000, -0.001239900, -0.021126200, -0.071476300, -0.198740200", \
+                        "0.0102095000, 0.0091712000, 0.0063456000, -0.001333800, -0.021148000, -0.071505900, -0.198745900", \
+                        "0.0100561000, 0.0088657000, 0.0061667000, -0.001306700, -0.021101500, -0.071399700, -0.198641200", \
+                        "0.0109558000, 0.0097739000, 0.0068849000, -0.001026800, -0.020488100, -0.070778700, -0.197991500", \
+                        "0.0130202000, 0.0117825000, 0.0085657000, 0.0007253000, -0.018986900, -0.069230600, -0.196265500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("0.0055419000, 0.0068503000, 0.0100473000, 0.0178595000, 0.0375954000, 0.0871212000, 0.2131056000", \
+                        "0.0053503000, 0.0066616000, 0.0098534000, 0.0176911000, 0.0374077000, 0.0870674000, 0.2132897000", \
+                        "0.0050781000, 0.0063676000, 0.0095487000, 0.0174572000, 0.0372335000, 0.0874168000, 0.2141486000", \
+                        "0.0048520000, 0.0061368000, 0.0093023000, 0.0171699000, 0.0372440000, 0.0873148000, 0.2129033000", \
+                        "0.0049546000, 0.0062219000, 0.0091832000, 0.0171287000, 0.0369737000, 0.0872763000, 0.2114916000", \
+                        "0.0056157000, 0.0068724000, 0.0099311000, 0.0177538000, 0.0375448000, 0.0874654000, 0.2136360000", \
+                        "0.0076352000, 0.0087407000, 0.0118673000, 0.0196942000, 0.0394077000, 0.0893026000, 0.2147773000");
+                }
+            }
+            max_capacitance : 0.1300150000;
+            max_transition : 1.5061030000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0593383000, 0.0643396000, 0.0749824000, 0.0973634000, 0.1492992000, 0.2787939000, 0.6061452000", \
+                        "0.0642426000, 0.0692853000, 0.0799011000, 0.1024859000, 0.1544812000, 0.2841778000, 0.6104910000", \
+                        "0.0775104000, 0.0825737000, 0.0932367000, 0.1157306000, 0.1676768000, 0.2973737000, 0.6245092000", \
+                        "0.1077616000, 0.1129200000, 0.1237785000, 0.1465237000, 0.1985575000, 0.3280511000, 0.6562919000", \
+                        "0.1578797000, 0.1640413000, 0.1763553000, 0.2008799000, 0.2542707000, 0.3828144000, 0.7146603000", \
+                        "0.2342690000, 0.2423609000, 0.2577376000, 0.2850985000, 0.3398958000, 0.4700387000, 0.7969230000", \
+                        "0.3559006000, 0.3662604000, 0.3859527000, 0.4198348000, 0.4788887000, 0.6104675000, 0.9385767000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0490569000, 0.0558265000, 0.0717435000, 0.1104504000, 0.2075838000, 0.4514763000, 1.0684844000", \
+                        "0.0536074000, 0.0603471000, 0.0762774000, 0.1149897000, 0.2116108000, 0.4563259000, 1.0785807000", \
+                        "0.0642037000, 0.0708758000, 0.0866203000, 0.1255204000, 0.2235220000, 0.4693128000, 1.0892596000", \
+                        "0.0819207000, 0.0888360000, 0.1049964000, 0.1440040000, 0.2421219000, 0.4876812000, 1.1038942000", \
+                        "0.1041913000, 0.1115879000, 0.1278155000, 0.1672534000, 0.2646072000, 0.5103125000, 1.1274786000", \
+                        "0.1259206000, 0.1349041000, 0.1524062000, 0.1916016000, 0.2898473000, 0.5344468000, 1.1529084000", \
+                        "0.1292959000, 0.1413072000, 0.1638067000, 0.2061120000, 0.3024876000, 0.5484357000, 1.1667728000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0148871000, 0.0193113000, 0.0295451000, 0.0550503000, 0.1221799000, 0.2947354000, 0.7299648000", \
+                        "0.0149669000, 0.0193011000, 0.0296604000, 0.0550240000, 0.1216383000, 0.2941434000, 0.7294372000", \
+                        "0.0148895000, 0.0193335000, 0.0296440000, 0.0552030000, 0.1215139000, 0.2953373000, 0.7259581000", \
+                        "0.0160523000, 0.0203110000, 0.0303481000, 0.0553778000, 0.1213409000, 0.2931113000, 0.7299997000", \
+                        "0.0210846000, 0.0252021000, 0.0349793000, 0.0592462000, 0.1230172000, 0.2943069000, 0.7270705000", \
+                        "0.0302076000, 0.0347994000, 0.0445488000, 0.0668841000, 0.1277289000, 0.2943685000, 0.7330043000", \
+                        "0.0437670000, 0.0497366000, 0.0604570000, 0.0823759000, 0.1373515000, 0.2985862000, 0.7278568000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0199796000, 0.0284097000, 0.0500678000, 0.1054104000, 0.2462960000, 0.6007088000, 1.4976728000", \
+                        "0.0200424000, 0.0284104000, 0.0500598000, 0.1055191000, 0.2465992000, 0.6007418000, 1.4982898000", \
+                        "0.0201431000, 0.0285117000, 0.0500371000, 0.1053906000, 0.2469039000, 0.6047496000, 1.5061026000", \
+                        "0.0215548000, 0.0297102000, 0.0507718000, 0.1054864000, 0.2473741000, 0.6047852000, 1.4980543000", \
+                        "0.0249477000, 0.0322865000, 0.0523515000, 0.1064977000, 0.2460664000, 0.6010622000, 1.4926799000", \
+                        "0.0326877000, 0.0396409000, 0.0572465000, 0.1079538000, 0.2475174000, 0.5992588000, 1.5009650000", \
+                        "0.0467759000, 0.0543678000, 0.0709174000, 0.1149097000, 0.2482231000, 0.6031189000, 1.4938381000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_12") {
+        leakage_power () {
+            value : 0.0081264000;
+            when : "!A";
+        }
+        leakage_power () {
+            value : 0.0106673000;
+            when : "A";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0093968470;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0091870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0087510000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096230000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0845295000, 0.0820553000, 0.0701132000, 0.0134388000, -0.274977100, -1.642889500, -7.997506400", \
+                        "0.0835640000, 0.0811442000, 0.0692298000, 0.0126691000, -0.275680200, -1.643526800, -7.998352900", \
+                        "0.0827306000, 0.0802787000, 0.0681703000, 0.0113923000, -0.276833300, -1.644430300, -7.999068500", \
+                        "0.0829302000, 0.0801578000, 0.0674770000, 0.0093441000, -0.278229500, -1.645021500, -7.999331300", \
+                        "0.0882843000, 0.0850476000, 0.0711066000, 0.0084375000, -0.278058300, -1.643332200, -7.997528100", \
+                        "0.1053451000, 0.1017648000, 0.0863334000, 0.0220579000, -0.272025800, -1.636704600, -7.990387100", \
+                        "0.1425625000, 0.1384592000, 0.1211598000, 0.0513344000, -0.239387800, -1.605944500, -7.957940800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0620334000, 0.0654014000, 0.0806191000, 0.1479065000, 0.4431781000, 1.8013914000, 8.0753762000", \
+                        "0.0614370000, 0.0647659000, 0.0799535000, 0.1471886000, 0.4426693000, 1.8008019000, 8.0748341000", \
+                        "0.0605373000, 0.0637722000, 0.0787201000, 0.1451670000, 0.4413537000, 1.8015678000, 8.0855365000", \
+                        "0.0600135000, 0.0631292000, 0.0775817000, 0.1420690000, 0.4385360000, 1.7996347000, 8.1049749000", \
+                        "0.0635240000, 0.0665334000, 0.0803772000, 0.1440405000, 0.4380485000, 1.7947636000, 8.0981878000", \
+                        "0.0727430000, 0.0754100000, 0.0887289000, 0.1520489000, 0.4473673000, 1.7952139000, 8.0980638000", \
+                        "0.1104480000, 0.1124938000, 0.1239041000, 0.1845408000, 0.4746102000, 1.8290743000, 8.1093992000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 5.3987540000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0949892000, 0.0969633000, 0.1046134000, 0.1279145000, 0.1966168000, 0.4678506000, 1.7175281000", \
+                        "0.1025689000, 0.1045411000, 0.1122052000, 0.1354764000, 0.2042515000, 0.4756746000, 1.7231814000", \
+                        "0.1255348000, 0.1275642000, 0.1351161000, 0.1582046000, 0.2269068000, 0.4986806000, 1.7456755000", \
+                        "0.1927474000, 0.1947159000, 0.2023256000, 0.2255138000, 0.2945059000, 0.5656756000, 1.8136015000", \
+                        "0.3307603000, 0.3334811000, 0.3438259000, 0.3733021000, 0.4485304000, 0.7199566000, 1.9725541000", \
+                        "0.5829514000, 0.5866292000, 0.6008373000, 0.6424990000, 0.7366560000, 1.0135535000, 2.2596505000", \
+                        "1.0913156000, 1.0959489000, 1.1145755000, 1.1709795000, 1.3002396000, 1.6008217000, 2.8480049000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0650638000, 0.0674117000, 0.0768585000, 0.1114816000, 0.2514564000, 0.8908578000, 3.8409733000", \
+                        "0.0713476000, 0.0736797000, 0.0832443000, 0.1178472000, 0.2578924000, 0.8969483000, 3.8472141000", \
+                        "0.0898608000, 0.0921825000, 0.1015178000, 0.1358464000, 0.2761783000, 0.9189151000, 3.8970014000", \
+                        "0.1242959000, 0.1267950000, 0.1366756000, 0.1717450000, 0.3125261000, 0.9535477000, 3.9106594000", \
+                        "0.1646814000, 0.1679549000, 0.1801210000, 0.2176404000, 0.3586583000, 0.9978574000, 3.9592343000", \
+                        "0.1720261000, 0.1766313000, 0.1941311000, 0.2431584000, 0.3871028000, 1.0229786000, 3.9844092000", \
+                        "0.0189083000, 0.0248574000, 0.0487934000, 0.1204249000, 0.2900516000, 0.9268094000, 3.8755106000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0188065000, 0.0200502000, 0.0251049000, 0.0431682000, 0.1186776000, 0.4858429000, 2.2073519000", \
+                        "0.0187993000, 0.0200397000, 0.0250788000, 0.0432387000, 0.1187297000, 0.4849536000, 2.2126707000", \
+                        "0.0188645000, 0.0201678000, 0.0250437000, 0.0432999000, 0.1187651000, 0.4858813000, 2.2136801000", \
+                        "0.0201325000, 0.0213063000, 0.0260948000, 0.0441702000, 0.1188103000, 0.4859662000, 2.2089581000", \
+                        "0.0332266000, 0.0344399000, 0.0401701000, 0.0573838000, 0.1266752000, 0.4862756000, 2.2204474000", \
+                        "0.0562236000, 0.0576208000, 0.0649062000, 0.0869760000, 0.1514301000, 0.4916945000, 2.2118438000", \
+                        "0.0951367000, 0.0971284000, 0.1053148000, 0.1360943000, 0.2064867000, 0.5099419000, 2.2162143000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0189041000, 0.0209278000, 0.0299881000, 0.0706864000, 0.2656687000, 1.1809174000, 5.3821241000", \
+                        "0.0189170000, 0.0209368000, 0.0299694000, 0.0706965000, 0.2656746000, 1.1809158000, 5.3803393000", \
+                        "0.0190231000, 0.0210741000, 0.0301710000, 0.0707973000, 0.2662922000, 1.1822107000, 5.3935314000", \
+                        "0.0222837000, 0.0242461000, 0.0329869000, 0.0725724000, 0.2658119000, 1.1829101000, 5.3965397000", \
+                        "0.0320236000, 0.0342046000, 0.0422819000, 0.0783372000, 0.2669940000, 1.1765062000, 5.3974536000", \
+                        "0.0512623000, 0.0543692000, 0.0641832000, 0.0986279000, 0.2710747000, 1.1776644000, 5.3987543000", \
+                        "0.0857587000, 0.0893657000, 0.1039385000, 0.1483427000, 0.2969043000, 1.1828638000, 5.3802660000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_16") {
+        leakage_power () {
+            value : 0.0139726000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0113831000;
+            when : "!A";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0126778200;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0136390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0129700000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0143080000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.1246978000, 0.1220913000, 0.1104641000, 0.0538971000, -0.234671400, -1.602576300, -7.957318900", \
+                        "0.1234288000, 0.1208317000, 0.1090858000, 0.0527313000, -0.235890900, -1.603727600, -7.958118600", \
+                        "0.1221183000, 0.1195552000, 0.1076350000, 0.0510193000, -0.237189400, -1.604830300, -7.959301700", \
+                        "0.1212383000, 0.1185006000, 0.1058806000, 0.0488954000, -0.238548800, -1.605060900, -7.959295600", \
+                        "0.1239764000, 0.1209791000, 0.1070879000, 0.0475257000, -0.238189700, -1.603509800, -7.957640700", \
+                        "0.1459304000, 0.1424503000, 0.1272265000, 0.0623106000, -0.230399300, -1.592447100, -7.946090100", \
+                        "0.1995127000, 0.1953813000, 0.1777306000, 0.1065620000, -0.191103100, -1.558831100, -7.903618900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0855181000, 0.0889249000, 0.1043875000, 0.1718084000, 0.4658471000, 1.8193522000, 8.1144291000", \
+                        "0.0845629000, 0.0879728000, 0.1034413000, 0.1709328000, 0.4649818000, 1.8206075000, 8.1002763000", \
+                        "0.0832347000, 0.0865134000, 0.1015727000, 0.1685777000, 0.4632598000, 1.8152654000, 8.0982328000", \
+                        "0.0817534000, 0.0849143000, 0.0993675000, 0.1653603000, 0.4608835000, 1.8179774000, 8.1146199000", \
+                        "0.0859527000, 0.0889201000, 0.1029112000, 0.1669121000, 0.4611070000, 1.8167909000, 8.0971373000", \
+                        "0.0989076000, 0.1016914000, 0.1149179000, 0.1785657000, 0.4730205000, 1.8277568000, 8.1082682000", \
+                        "0.1509171000, 0.1532284000, 0.1643944000, 0.2246167000, 0.5135391000, 1.8656588000, 8.1511384000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 5.0073100000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0988300000, 0.1005500000, 0.1074841000, 0.1299030000, 0.1986581000, 0.4693248000, 1.7130586000", \
+                        "0.1062454000, 0.1079605000, 0.1148681000, 0.1373817000, 0.2061646000, 0.4769360000, 1.7191242000", \
+                        "0.1293577000, 0.1310702000, 0.1379407000, 0.1604263000, 0.2291486000, 0.5008881000, 1.7450741000", \
+                        "0.1961166000, 0.1978042000, 0.2046897000, 0.2266695000, 0.2958042000, 0.5663478000, 1.8105072000", \
+                        "0.3343349000, 0.3366060000, 0.3456134000, 0.3732534000, 0.4479846000, 0.7205929000, 1.9640718000", \
+                        "0.5895854000, 0.5927187000, 0.6045447000, 0.6425749000, 0.7348270000, 1.0127071000, 2.2523436000", \
+                        "1.1099183000, 1.1135470000, 1.1288707000, 1.1788674000, 1.3022902000, 1.6021292000, 2.8411489000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0699465000, 0.0720265000, 0.0806863000, 0.1123300000, 0.2371367000, 0.8045733000, 3.4296477000", \
+                        "0.0761547000, 0.0782439000, 0.0868256000, 0.1185513000, 0.2433967000, 0.8112872000, 3.4485761000", \
+                        "0.0941300000, 0.0961656000, 0.1046878000, 0.1361711000, 0.2615364000, 0.8345354000, 3.4633343000", \
+                        "0.1264587000, 0.1286038000, 0.1373975000, 0.1696380000, 0.2955609000, 0.8647546000, 3.4934911000", \
+                        "0.1638667000, 0.1664669000, 0.1767662000, 0.2109544000, 0.3371712000, 0.9042485000, 3.5293068000", \
+                        "0.1643597000, 0.1679857000, 0.1822371000, 0.2262780000, 0.3553059000, 0.9229272000, 3.5579750000", \
+                        "-0.006149700, -0.001543900, 0.0176675000, 0.0804246000, 0.2339132000, 0.7999581000, 3.4244187000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0215181000, 0.0225003000, 0.0273300000, 0.0470790000, 0.1348187000, 0.5550561000, 2.5366818000", \
+                        "0.0214673000, 0.0225938000, 0.0273514000, 0.0471413000, 0.1350093000, 0.5551501000, 2.5337594000", \
+                        "0.0214186000, 0.0225075000, 0.0274148000, 0.0472801000, 0.1346374000, 0.5555522000, 2.5332130000", \
+                        "0.0227291000, 0.0238694000, 0.0285113000, 0.0481077000, 0.1351350000, 0.5548976000, 2.5356408000", \
+                        "0.0361482000, 0.0374594000, 0.0420970000, 0.0605439000, 0.1418320000, 0.5563526000, 2.5355942000", \
+                        "0.0600952000, 0.0615765000, 0.0678731000, 0.0893322000, 0.1646243000, 0.5619279000, 2.5323117000", \
+                        "0.1011759000, 0.1028323000, 0.1101437000, 0.1361253000, 0.2153841000, 0.5790518000, 2.5394404000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0230588000, 0.0248546000, 0.0332228000, 0.0712671000, 0.2512469000, 1.0954657000, 5.0065481000", \
+                        "0.0230843000, 0.0249191000, 0.0332189000, 0.0712122000, 0.2514922000, 1.0968062000, 4.9953122000", \
+                        "0.0231802000, 0.0249681000, 0.0333393000, 0.0712893000, 0.2516783000, 1.0954793000, 4.9848872000", \
+                        "0.0260728000, 0.0278695000, 0.0359157000, 0.0735272000, 0.2517448000, 1.0954602000, 5.0073101000", \
+                        "0.0356272000, 0.0372748000, 0.0444349000, 0.0786669000, 0.2530062000, 1.0931542000, 4.9964970000", \
+                        "0.0557360000, 0.0578185000, 0.0667516000, 0.0981551000, 0.2580237000, 1.0953476000, 4.9966862000", \
+                        "0.0922075000, 0.0948970000, 0.1066020000, 0.1470978000, 0.2859251000, 1.0980127000, 5.0024260000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_2") {
+        leakage_power () {
+            value : 0.0022662000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0056021000;
+            when : "!A";
+        }
+        area : 5.0048000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0039341160;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0017270000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016470000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018070000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
+                    values("0.0140552000, 0.0126442000, 0.0087911000, -0.003411300, -0.042009300, -0.156917700, -0.493912600", \
+                        "0.0139263000, 0.0125071000, 0.0086699000, -0.003513500, -0.042160300, -0.157048900, -0.493994300", \
+                        "0.0137287000, 0.0123189000, 0.0084174000, -0.003752100, -0.042330400, -0.157214000, -0.494144400", \
+                        "0.0135482000, 0.0121169000, 0.0081683000, -0.004023800, -0.042588200, -0.157377600, -0.494321700", \
+                        "0.0135475000, 0.0119986000, 0.0079343000, -0.004322900, -0.042768800, -0.157394400, -0.494357600", \
+                        "0.0152041000, 0.0135653000, 0.0089886000, -0.004284200, -0.042783600, -0.157390300, -0.494036900", \
+                        "0.0175151000, 0.0157242000, 0.0108343000, -0.002649900, -0.041709900, -0.156306300, -0.492936900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
+                    values("0.0116392000, 0.0133460000, 0.0181670000, 0.0317444000, 0.0708086000, 0.1847454000, 0.5207413000", \
+                        "0.0115450000, 0.0132567000, 0.0180731000, 0.0317090000, 0.0707365000, 0.1848036000, 0.5181148000", \
+                        "0.0113765000, 0.0130574000, 0.0178759000, 0.0315052000, 0.0706164000, 0.1845360000, 0.5185803000", \
+                        "0.0112172000, 0.0128696000, 0.0176085000, 0.0310245000, 0.0702931000, 0.1844760000, 0.5164646000", \
+                        "0.0111513000, 0.0127277000, 0.0175003000, 0.0308402000, 0.0697736000, 0.1841383000, 0.5173316000", \
+                        "0.0119311000, 0.0135030000, 0.0180230000, 0.0312097000, 0.0699882000, 0.1832520000, 0.5201987000", \
+                        "0.0132045000, 0.0146753000, 0.0190707000, 0.0324496000, 0.0710814000, 0.1853545000, 0.5186740000");
+                }
+            }
+            max_capacitance : 0.3158670000;
+            max_transition : 1.5103890000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0893825000, 0.0940128000, 0.1043020000, 0.1253087000, 0.1706670000, 0.2867790000, 0.6215225000", \
+                        "0.0947109000, 0.0992768000, 0.1095723000, 0.1305028000, 0.1759432000, 0.2920352000, 0.6262424000", \
+                        "0.1073098000, 0.1122147000, 0.1224900000, 0.1435736000, 0.1888902000, 0.3050100000, 0.6394017000", \
+                        "0.1390839000, 0.1436487000, 0.1538906000, 0.1749740000, 0.2204538000, 0.3366603000, 0.6718233000", \
+                        "0.2069192000, 0.2119847000, 0.2231280000, 0.2451737000, 0.2912927000, 0.4071439000, 0.7431974000", \
+                        "0.3151437000, 0.3217471000, 0.3360783000, 0.3632810000, 0.4144178000, 0.5330332000, 0.8664172000", \
+                        "0.4806499000, 0.4891128000, 0.5079365000, 0.5444191000, 0.6065489000, 0.7308373000, 1.0635163000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0668910000, 0.0718924000, 0.0840012000, 0.1134072000, 0.1935354000, 0.4261705000, 1.1063915000", \
+                        "0.0716767000, 0.0766803000, 0.0887568000, 0.1182065000, 0.1984368000, 0.4311861000, 1.1152972000", \
+                        "0.0829645000, 0.0879570000, 0.0999870000, 0.1294499000, 0.2100037000, 0.4433682000, 1.1281723000", \
+                        "0.1086829000, 0.1137214000, 0.1257475000, 0.1551004000, 0.2355409000, 0.4675719000, 1.1647491000", \
+                        "0.1485626000, 0.1544699000, 0.1680141000, 0.1985122000, 0.2787439000, 0.5129941000, 1.1919699000", \
+                        "0.1975048000, 0.2056084000, 0.2226795000, 0.2560802000, 0.3372415000, 0.5701722000, 1.2502678000", \
+                        "0.2460262000, 0.2566468000, 0.2796226000, 0.3221350000, 0.4059333000, 0.6372368000, 1.3161603000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0178310000, 0.0207207000, 0.0278897000, 0.0455429000, 0.0939806000, 0.2427863000, 0.6972716000", \
+                        "0.0175943000, 0.0207175000, 0.0279071000, 0.0458368000, 0.0940654000, 0.2429276000, 0.6955793000", \
+                        "0.0178137000, 0.0205648000, 0.0279116000, 0.0457148000, 0.0938515000, 0.2421597000, 0.6948925000", \
+                        "0.0177391000, 0.0207459000, 0.0279198000, 0.0456478000, 0.0938481000, 0.2423421000, 0.6983182000", \
+                        "0.0218519000, 0.0246050000, 0.0314674000, 0.0480329000, 0.0950875000, 0.2430947000, 0.6911738000", \
+                        "0.0325958000, 0.0363413000, 0.0443101000, 0.0605935000, 0.1048121000, 0.2452953000, 0.6901063000", \
+                        "0.0498742000, 0.0548127000, 0.0644672000, 0.0838614000, 0.1254033000, 0.2553391000, 0.6907858000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
+                    values("0.0173427000, 0.0217460000, 0.0342817000, 0.0713277000, 0.1844091000, 0.5209731000, 1.5096145000", \
+                        "0.0172691000, 0.0217777000, 0.0342816000, 0.0713081000, 0.1846570000, 0.5218083000, 1.5055296000", \
+                        "0.0173301000, 0.0217210000, 0.0341901000, 0.0713260000, 0.1844059000, 0.5209821000, 1.5073524000", \
+                        "0.0181680000, 0.0224998000, 0.0347377000, 0.0715538000, 0.1849883000, 0.5212006000, 1.5081902000", \
+                        "0.0238874000, 0.0279114000, 0.0392879000, 0.0740700000, 0.1850683000, 0.5226318000, 1.5055001000", \
+                        "0.0337211000, 0.0383696000, 0.0494030000, 0.0810920000, 0.1869322000, 0.5191824000, 1.5103887000", \
+                        "0.0482771000, 0.0551313000, 0.0683274000, 0.0976699000, 0.1936813000, 0.5238303000, 1.4991499000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_4") {
+        leakage_power () {
+            value : 0.0041507000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0054587000;
+            when : "!A";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0048047400;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022760000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025240000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
+                    values("0.0250387000, 0.0233860000, 0.0180599000, 0.0018219000, -0.056422600, -0.250180600, -0.877232400", \
+                        "0.0248583000, 0.0231565000, 0.0179592000, 0.0015346000, -0.056581000, -0.250323600, -0.877487500", \
+                        "0.0245577000, 0.0228640000, 0.0176583000, 0.0013406000, -0.056846800, -0.250677000, -0.877688000", \
+                        "0.0244145000, 0.0227070000, 0.0173772000, 0.0008926000, -0.057188000, -0.250845800, -0.877855800", \
+                        "0.0246491000, 0.0229037000, 0.0176337000, 0.0007448000, -0.057488900, -0.251136400, -0.877964600", \
+                        "0.0275949000, 0.0256361000, 0.0191608000, 0.0006189000, -0.057703700, -0.250607900, -0.877240100", \
+                        "0.0316715000, 0.0295242000, 0.0237961000, 0.0044928000, -0.055795900, -0.249579000, -0.876104200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
+                    values("0.0222015000, 0.0241544000, 0.0303931000, 0.0496628000, 0.1100583000, 0.3028661000, 0.9189129000", \
+                        "0.0220592000, 0.0239981000, 0.0302094000, 0.0494518000, 0.1100018000, 0.3028923000, 0.9244028000", \
+                        "0.0218224000, 0.0237731000, 0.0299566000, 0.0493260000, 0.1096749000, 0.3025119000, 0.9233273000", \
+                        "0.0217116000, 0.0234869000, 0.0297142000, 0.0487619000, 0.1092315000, 0.3008271000, 0.9243422000", \
+                        "0.0215443000, 0.0233946000, 0.0294358000, 0.0484112000, 0.1084514000, 0.3008764000, 0.9181804000", \
+                        "0.0230364000, 0.0248108000, 0.0305407000, 0.0489520000, 0.1083488000, 0.3004039000, 0.9226661000", \
+                        "0.0249862000, 0.0266607000, 0.0324804000, 0.0509590000, 0.1104343000, 0.3028834000, 0.9232268000");
+                }
+            }
+            max_capacitance : 0.5612280000;
+            max_transition : 1.5123900000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.1033063000, 0.1066731000, 0.1151227000, 0.1343826000, 0.1753918000, 0.2799389000, 0.6006557000", \
+                        "0.1084570000, 0.1117917000, 0.1203476000, 0.1395818000, 0.1805146000, 0.2850405000, 0.6055256000", \
+                        "0.1211782000, 0.1244793000, 0.1334734000, 0.1526797000, 0.1937010000, 0.2982015000, 0.6186466000", \
+                        "0.1526276000, 0.1559945000, 0.1646440000, 0.1836851000, 0.2248794000, 0.3292693000, 0.6504507000", \
+                        "0.2244431000, 0.2279846000, 0.2368191000, 0.2561077000, 0.2976850000, 0.4024615000, 0.7229223000", \
+                        "0.3438407000, 0.3483518000, 0.3599956000, 0.3847228000, 0.4322217000, 0.5388950000, 0.8605761000", \
+                        "0.5304358000, 0.5363137000, 0.5516937000, 0.5844639000, 0.6445210000, 0.7617060000, 1.0810598000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0716590000, 0.0752438000, 0.0849344000, 0.1099593000, 0.1808649000, 0.4047891000, 1.1215164000", \
+                        "0.0763630000, 0.0799324000, 0.0896053000, 0.1146095000, 0.1853259000, 0.4086105000, 1.1279410000", \
+                        "0.0875039000, 0.0910776000, 0.1007494000, 0.1258084000, 0.1968163000, 0.4196898000, 1.1396052000", \
+                        "0.1130382000, 0.1164395000, 0.1261740000, 0.1512323000, 0.2221358000, 0.4449059000, 1.1665179000", \
+                        "0.1533121000, 0.1576147000, 0.1683870000, 0.1946475000, 0.2658182000, 0.4885611000, 1.2060772000", \
+                        "0.2017864000, 0.2074067000, 0.2210664000, 0.2507004000, 0.3234487000, 0.5466355000, 1.2618463000", \
+                        "0.2433957000, 0.2508014000, 0.2694802000, 0.3080674000, 0.3864523000, 0.6084016000, 1.3232415000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0199814000, 0.0220302000, 0.0275210000, 0.0415439000, 0.0806252000, 0.2066597000, 0.6386869000", \
+                        "0.0199761000, 0.0221205000, 0.0274545000, 0.0413562000, 0.0807757000, 0.2065725000, 0.6364259000", \
+                        "0.0201105000, 0.0220996000, 0.0275774000, 0.0418800000, 0.0804895000, 0.2068652000, 0.6375794000", \
+                        "0.0200461000, 0.0220183000, 0.0276151000, 0.0417760000, 0.0806683000, 0.2071212000, 0.6333999000", \
+                        "0.0228421000, 0.0247955000, 0.0302302000, 0.0433868000, 0.0818280000, 0.2071985000, 0.6406692000", \
+                        "0.0343573000, 0.0370809000, 0.0431339000, 0.0569227000, 0.0926200000, 0.2119176000, 0.6396464000", \
+                        "0.0530288000, 0.0561621000, 0.0646432000, 0.0811796000, 0.1164011000, 0.2261130000, 0.6370017000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
+                    values("0.0180426000, 0.0210630000, 0.0302983000, 0.0591957000, 0.1559694000, 0.4765683000, 1.5057069000", \
+                        "0.0181188000, 0.0211893000, 0.0303639000, 0.0592243000, 0.1561384000, 0.4762130000, 1.5111720000", \
+                        "0.0181283000, 0.0211047000, 0.0303460000, 0.0592145000, 0.1562200000, 0.4762723000, 1.5057129000", \
+                        "0.0187293000, 0.0218962000, 0.0308559000, 0.0594536000, 0.1560576000, 0.4761896000, 1.5123900000", \
+                        "0.0245098000, 0.0271357000, 0.0356889000, 0.0626464000, 0.1566824000, 0.4751168000, 1.5006379000", \
+                        "0.0343299000, 0.0375858000, 0.0459286000, 0.0712919000, 0.1600246000, 0.4747910000, 1.5061080000", \
+                        "0.0491897000, 0.0536030000, 0.0650059000, 0.0893642000, 0.1692217000, 0.4772340000, 1.4982489000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_6") {
+        leakage_power () {
+            value : 0.0059433000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0061571000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0060501820;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0046200000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043800000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0048590000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047830, 0.0058125680, 0.0198183300, 0.0675718800, 0.2303907000, 0.7855322000");
+                    values("0.0410958000, 0.0394076000, 0.0335474000, 0.0137790000, -0.060156400, -0.322879300, -1.222024400", \
+                        "0.0407792000, 0.0390401000, 0.0332687000, 0.0135127000, -0.060484900, -0.323186100, -1.222363500", \
+                        "0.0402705000, 0.0386535000, 0.0327937000, 0.0129622000, -0.060995900, -0.323566900, -1.222733500", \
+                        "0.0400754000, 0.0385200000, 0.0324699000, 0.0124273000, -0.061502900, -0.323884600, -1.222882600", \
+                        "0.0399797000, 0.0380668000, 0.0318388000, 0.0115198000, -0.062351000, -0.324389800, -1.223039000", \
+                        "0.0439877000, 0.0419187000, 0.0351732000, 0.0141735000, -0.061384900, -0.322873800, -1.221288300", \
+                        "0.0509448000, 0.0485590000, 0.0411514000, 0.0181800000, -0.058425300, -0.320768500, -1.218104300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047830, 0.0058125680, 0.0198183300, 0.0675718800, 0.2303907000, 0.7855322000");
+                    values("0.0309284000, 0.0331016000, 0.0404483000, 0.0644458000, 0.1421501000, 0.4040732000, 1.2876311000", \
+                        "0.0307060000, 0.0328894000, 0.0402369000, 0.0641599000, 0.1420706000, 0.4038353000, 1.2873082000", \
+                        "0.0303114000, 0.0324686000, 0.0397652000, 0.0635606000, 0.1414501000, 0.4013511000, 1.2862776000", \
+                        "0.0298117000, 0.0318938000, 0.0390987000, 0.0624620000, 0.1405548000, 0.4012079000, 1.2861280000", \
+                        "0.0302840000, 0.0322450000, 0.0389291000, 0.0621197000, 0.1394295000, 0.3998940000, 1.2859619000", \
+                        "0.0321492000, 0.0340933000, 0.0409488000, 0.0637567000, 0.1394336000, 0.4010440000, 1.2929148000", \
+                        "0.0355326000, 0.0373323000, 0.0438561000, 0.0663298000, 0.1429672000, 0.4034557000, 1.2896164000");
+                }
+            }
+            max_capacitance : 0.7855320000;
+            max_transition : 1.5036720000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000");
+                    values("0.0866196000, 0.0889450000, 0.0954202000, 0.1110403000, 0.1470002000, 0.2440858000, 0.5612475000", \
+                        "0.0920289000, 0.0943130000, 0.1007752000, 0.1165350000, 0.1524297000, 0.2495327000, 0.5667647000", \
+                        "0.1052675000, 0.1075627000, 0.1139968000, 0.1295865000, 0.1655161000, 0.2625742000, 0.5797202000", \
+                        "0.1371043000, 0.1394536000, 0.1459464000, 0.1615588000, 0.1975687000, 0.2948051000, 0.6131079000", \
+                        "0.2058970000, 0.2084441000, 0.2155304000, 0.2321438000, 0.2691912000, 0.3665685000, 0.6822245000", \
+                        "0.3174095000, 0.3207223000, 0.3297536000, 0.3511192000, 0.3937737000, 0.4946175000, 0.8121505000", \
+                        "0.4964400000, 0.5007537000, 0.5124683000, 0.5405323000, 0.5949274000, 0.7043303000, 1.0186356000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000");
+                    values("0.0607531000, 0.0633961000, 0.0712457000, 0.0931469000, 0.1584737000, 0.3750356000, 1.1094687000", \
+                        "0.0653147000, 0.0679577000, 0.0757964000, 0.0976782000, 0.1629046000, 0.3794187000, 1.1161272000", \
+                        "0.0764469000, 0.0790886000, 0.0868781000, 0.1086514000, 0.1741652000, 0.3911227000, 1.1252476000", \
+                        "0.0992592000, 0.1019290000, 0.1098215000, 0.1318524000, 0.1970181000, 0.4140646000, 1.1494384000", \
+                        "0.1310743000, 0.1341438000, 0.1428467000, 0.1657268000, 0.2315333000, 0.4479207000, 1.1835268000", \
+                        "0.1647555000, 0.1687765000, 0.1798396000, 0.2055263000, 0.2723498000, 0.4886884000, 1.2229964000", \
+                        "0.1813885000, 0.1867587000, 0.2016069000, 0.2345243000, 0.3061421000, 0.5213365000, 1.2557148000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000");
+                    values("0.0168567000, 0.0183581000, 0.0225054000, 0.0345517000, 0.0693052000, 0.1892649000, 0.6185099000", \
+                        "0.0168007000, 0.0182716000, 0.0226377000, 0.0344061000, 0.0693940000, 0.1891767000, 0.6183432000", \
+                        "0.0168569000, 0.0182181000, 0.0226735000, 0.0342659000, 0.0694258000, 0.1894607000, 0.6194768000", \
+                        "0.0169351000, 0.0184136000, 0.0226505000, 0.0344229000, 0.0693196000, 0.1894837000, 0.6139492000", \
+                        "0.0211247000, 0.0226393000, 0.0264643000, 0.0374248000, 0.0709852000, 0.1898038000, 0.6161411000", \
+                        "0.0315710000, 0.0334424000, 0.0382497000, 0.0500632000, 0.0814117000, 0.1945696000, 0.6160023000", \
+                        "0.0484980000, 0.0503664000, 0.0570122000, 0.0715602000, 0.1031100000, 0.2064109000, 0.6181992000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000");
+                    values("0.0167216000, 0.0190790000, 0.0266142000, 0.0520083000, 0.1410429000, 0.4512884000, 1.4982426000", \
+                        "0.0167189000, 0.0190796000, 0.0266139000, 0.0520775000, 0.1411037000, 0.4510127000, 1.5033604000", \
+                        "0.0166962000, 0.0190120000, 0.0266381000, 0.0520079000, 0.1408869000, 0.4492311000, 1.5001498000", \
+                        "0.0179411000, 0.0201847000, 0.0276287000, 0.0524867000, 0.1411491000, 0.4513689000, 1.4988819000", \
+                        "0.0223945000, 0.0247663000, 0.0316450000, 0.0551652000, 0.1419787000, 0.4501069000, 1.4984118000", \
+                        "0.0315071000, 0.0337916000, 0.0410130000, 0.0626233000, 0.1445939000, 0.4492556000, 1.5036725000", \
+                        "0.0461165000, 0.0489115000, 0.0575499000, 0.0793419000, 0.1525300000, 0.4508870000, 1.4987658000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__buf_8") {
+        leakage_power () {
+            value : 0.0076474000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0071930000;
+            when : "!A";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0074201990;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0070070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0066760000;
+            max_transition : 5.0000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0073370000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0594648000, 0.0569737000, 0.0451290000, -0.012488100, -0.304828900, -1.673602300, -8.028393200", \
+                        "0.0589364000, 0.0562515000, 0.0444163000, -0.013240900, -0.305520300, -1.674224500, -8.028995100", \
+                        "0.0581356000, 0.0555284000, 0.0434447000, -0.014452600, -0.306389600, -1.675074000, -8.029740200", \
+                        "0.0584278000, 0.0556579000, 0.0430897000, -0.015692200, -0.307194800, -1.675310300, -8.030067200", \
+                        "0.0627816000, 0.0596428000, 0.0459259000, -0.016393900, -0.306569300, -1.674148000, -8.028590900", \
+                        "0.0743088000, 0.0706798000, 0.0558629000, -0.008329800, -0.301726400, -1.667720100, -8.022229700", \
+                        "0.1021201000, 0.0982151000, 0.0810371000, 0.0136848000, -0.278867000, -1.645589300, -7.999159600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
+                    values("0.0427107000, 0.0460135000, 0.0610513000, 0.1266503000, 0.4200511000, 1.7760417000, 8.0648437000", \
+                        "0.0421951000, 0.0455223000, 0.0605754000, 0.1261224000, 0.4191578000, 1.7668657000, 8.0626328000", \
+                        "0.0414089000, 0.0446402000, 0.0591473000, 0.1245859000, 0.4166932000, 1.7659490000, 8.0627551000", \
+                        "0.0408571000, 0.0440200000, 0.0584826000, 0.1222705000, 0.4152294000, 1.7661451000, 8.0523737000", \
+                        "0.0430943000, 0.0460533000, 0.0599271000, 0.1230448000, 0.4155668000, 1.7649929000, 8.0577062000", \
+                        "0.0501280000, 0.0528782000, 0.0663153000, 0.1296669000, 0.4206021000, 1.7696591000, 8.0617707000", \
+                        "0.0791212000, 0.0814390000, 0.0932837000, 0.1536334000, 0.4446522000, 1.7951581000, 8.0824963000");
+                }
+            }
+            max_capacitance : 5.0000000000;
+            max_transition : 7.6522390000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0898758000, 0.0926007000, 0.1023709000, 0.1310611000, 0.2217777000, 0.6103413000, 2.4076046000", \
+                        "0.0972142000, 0.0999246000, 0.1096444000, 0.1382519000, 0.2290637000, 0.6170997000, 2.4183229000", \
+                        "0.1199870000, 0.1226775000, 0.1323263000, 0.1607603000, 0.2517571000, 0.6393114000, 2.4340354000", \
+                        "0.1861122000, 0.1888561000, 0.1986590000, 0.2274883000, 0.3185937000, 0.7064965000, 2.5103484000", \
+                        "0.3178306000, 0.3216539000, 0.3352763000, 0.3713545000, 0.4680375000, 0.8571459000, 2.6518570000", \
+                        "0.5573670000, 0.5625915000, 0.5813042000, 0.6318730000, 0.7458290000, 1.1346160000, 2.9290783000", \
+                        "1.0403164000, 1.0467943000, 1.0714550000, 1.1408591000, 1.2921527000, 1.6904512000, 3.4862067000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0612234000, 0.0643212000, 0.0764559000, 0.1219139000, 0.3173798000, 1.2234274000, 5.3912519000", \
+                        "0.0676434000, 0.0707376000, 0.0829084000, 0.1283858000, 0.3240240000, 1.2232362000, 5.4256497000", \
+                        "0.0859690000, 0.0890238000, 0.1009935000, 0.1463633000, 0.3417019000, 1.2410657000, 5.4493232000", \
+                        "0.1199811000, 0.1233260000, 0.1360820000, 0.1824243000, 0.3783367000, 1.2818745000, 5.4515108000", \
+                        "0.1592457000, 0.1637711000, 0.1797083000, 0.2278973000, 0.4239317000, 1.3241658000, 5.4973266000", \
+                        "0.1652575000, 0.1717478000, 0.1941631000, 0.2547364000, 0.4509668000, 1.3530214000, 5.5215943000", \
+                        "0.0161652000, 0.0246055000, 0.0567909000, 0.1439944000, 0.3571018000, 1.2588504000, 5.4248739000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0176236000, 0.0191398000, 0.0256832000, 0.0505653000, 0.1598651000, 0.6960770000, 3.1832885000", \
+                        "0.0174651000, 0.0192454000, 0.0258406000, 0.0505902000, 0.1599342000, 0.6935716000, 3.1895941000", \
+                        "0.0176052000, 0.0191666000, 0.0257988000, 0.0505520000, 0.1597837000, 0.6934592000, 3.1853375000", \
+                        "0.0194796000, 0.0210842000, 0.0273309000, 0.0515301000, 0.1601077000, 0.6928711000, 3.1936236000", \
+                        "0.0322104000, 0.0339113000, 0.0413675000, 0.0636939000, 0.1657876000, 0.6944166000, 3.1828833000", \
+                        "0.0541379000, 0.0565577000, 0.0668154000, 0.0937494000, 0.1853528000, 0.6973335000, 3.1897851000", \
+                        "0.0914298000, 0.0943024000, 0.1068330000, 0.1424247000, 0.2337197000, 0.7069229000, 3.2030785000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
+                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
+                    values("0.0172973000, 0.0200772000, 0.0325186000, 0.0909194000, 0.3695902000, 1.6673350000, 7.6423667000", \
+                        "0.0172754000, 0.0200440000, 0.0325830000, 0.0909815000, 0.3701521000, 1.6571479000, 7.6522388000", \
+                        "0.0174418000, 0.0201777000, 0.0327355000, 0.0910592000, 0.3697503000, 1.6607066000, 7.6499953000", \
+                        "0.0212271000, 0.0239302000, 0.0357275000, 0.0922210000, 0.3689166000, 1.6622439000, 7.6486346000", \
+                        "0.0315809000, 0.0342105000, 0.0448285000, 0.0964010000, 0.3705766000, 1.6651750000, 7.6519324000", \
+                        "0.0500362000, 0.0540572000, 0.0683488000, 0.1137048000, 0.3724115000, 1.6607993000, 7.6407058000", \
+                        "0.0834987000, 0.0889313000, 0.1085243000, 0.1634299000, 0.3866372000, 1.6719754000, 7.6396258000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__bufbuf_16 */
+
+/* removed sky130_fd_sc_hd__bufbuf_8 */
+
+/* removed sky130_fd_sc_hd__bufinv_16 */
+
+/* removed sky130_fd_sc_hd__bufinv_8 */
+
+/* removed sky130_fd_sc_hd__clkbuf_1 */
+
+/* removed sky130_fd_sc_hd__clkbuf_16 */
+
+/* removed sky130_fd_sc_hd__clkbuf_2 */
+
+/* removed sky130_fd_sc_hd__clkbuf_4 */
+
+/* removed sky130_fd_sc_hd__clkbuf_8 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
+
+/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_1 */
+
+/* removed sky130_fd_sc_hd__clkinv_16 */
+
+/* removed sky130_fd_sc_hd__clkinv_2 */
+
+/* removed sky130_fd_sc_hd__clkinv_4 */
+
+/* removed sky130_fd_sc_hd__clkinv_8 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_2 */
+
+/* removed sky130_fd_sc_hd__clkinvlp_4 */
+
+    cell ("sky130_fd_sc_hd__conb_1") {
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__conb";
+        cell_leakage_power : 0.0032400370;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("HI") {
+            direction : "output";
+            function : "1";
+            max_capacitance : 1.9038000000;
+            max_transition : 1.0000000000;
+            power_down_function : "!VPWR";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+        pin ("LO") {
+            direction : "output";
+            function : "0";
+            max_capacitance : 2.0468000000;
+            max_transition : 1.0000000000;
+            power_down_function : "VGND";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+        }
+    }
+
+/* removed sky130_fd_sc_hd__decap_12 */
+
+/* removed sky130_fd_sc_hd__decap_3 */
+
+/* removed sky130_fd_sc_hd__decap_4 */
+
+/* removed sky130_fd_sc_hd__decap_6 */
+
+/* removed sky130_fd_sc_hd__decap_8 */
+
+/* removed sky130_fd_sc_hd__dfbbn_1 */
+
+    cell ("sky130_fd_sc_hd__dfbbn_2") {
+        leakage_power () {
+            value : 0.0117983000;
+            when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0137929000;
+            when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116202000;
+            when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0143473000;
+            when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116884000;
+            when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0114876000;
+            when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0116142000;
+            when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0137305000;
+            when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0117410000;
+            when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0143260000;
+            when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0147321000;
+            when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0134107000;
+            when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0124126000;
+            when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0119341000;
+            when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0147607000;
+            when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0141465000;
+            when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0119992000;
+            when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0129356000;
+            when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0123327000;
+            when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0121019000;
+            when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
+        }
+        area : 35.033600000;
+        cell_footprint : "sky130_fd_sc_hd__dfbbn";
+        cell_leakage_power : 0.0128456200;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clear_preset_var1 : "H";
+            clear_preset_var2 : "L";
+            clocked_on : "!CLK_N";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK_N") {
+            capacitance : 0.0017970000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017280000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0338824000, 0.0337452000, 0.0334290000, 0.0334754000, 0.0335827000, 0.0338300000, 0.0343999000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0161190000, 0.0160333000, 0.0158358000, 0.0158482000, 0.0158771000, 0.0159436000, 0.0160970000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018660000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2884238000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK_N";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1994444000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0015930000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015380000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0055914000, 0.0055096000, 0.0053212000, 0.0053975000, 0.0055737000, 0.0059798000, 0.0069158000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("1.6588513e-05, -5.5872937e-05, -0.000222800, -0.000179400, -7.9130524e-05, 0.0001520000, 0.0006848000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016480000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1460430000, 0.3590475000, 0.6512025000", \
+                        "0.0148255000, 0.2253887000, 0.5077780000", \
+                        "-0.138169300, 0.0723939000, 0.3499004000");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0837871000, 0.1991354000, 0.2849915000", \
+                        "-0.129217500, -0.012648400, 0.0732077000", \
+                        "-0.367661500, -0.252313200, -0.164015600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.126173800, -0.339178400, -0.625229800", \
+                        "0.0062643000, -0.203078100, -0.481805300", \
+                        "0.1629212000, -0.046421200, -0.322707000");
+                }
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0471660000, -0.066961600, -0.149155600", \
+                        "0.2394186000, 0.1301738000, 0.0504212000", \
+                        "0.4607728000, 0.3600729000, 0.2864238000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.013582700, -0.007945000, 0.0038542000, 0.0173618000, 0.0056755000, -0.091616700, -0.418048700", \
+                        "-0.013520600, -0.007899200, 0.0038800000, 0.0173497000, 0.0056181000, -0.091695900, -0.418134300", \
+                        "-0.013364900, -0.007747300, 0.0040084000, 0.0174511000, 0.0056757000, -0.091682400, -0.418128000", \
+                        "-0.013396400, -0.007796100, 0.0039343000, 0.0173054000, 0.0055037000, -0.091949800, -0.418361800", \
+                        "-0.013459700, -0.007869500, 0.0038093000, 0.0171715000, 0.0052897000, -0.092112400, -0.418594600", \
+                        "-0.013580100, -0.007986700, 0.0037205000, 0.0170762000, 0.0052348000, -0.092219300, -0.418698700", \
+                        "-0.013838000, -0.008196800, 0.0036139000, 0.0171538000, 0.0055752000, -0.091695100, -0.418122800");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.015157100, -0.011889400, -0.003284300, 0.0171469000, 0.0632073000, 0.1801531000, 0.5123678000", \
+                        "-0.015091400, -0.011834400, -0.003229300, 0.0171669000, 0.0633156000, 0.1800816000, 0.5143452000", \
+                        "-0.014938700, -0.011691700, -0.003124500, 0.0172421000, 0.0632012000, 0.1798299000, 0.5117702000", \
+                        "-0.014975600, -0.011747100, -0.003218000, 0.0170543000, 0.0630194000, 0.1805871000, 0.5112811000", \
+                        "-0.015037300, -0.011829200, -0.003355100, 0.0168557000, 0.0629699000, 0.1803904000, 0.5133650000", \
+                        "-0.015165900, -0.011959200, -0.003479000, 0.0167173000, 0.0625888000, 0.1790431000, 0.5130447000", \
+                        "-0.015341300, -0.011971800, -0.003290800, 0.0166937000, 0.0632292000, 0.1793322000, 0.5112425000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.002733600, 0.0035533000, 0.0168500000, 0.0327309000, 0.0242815000, -0.070676400, -0.396364300", \
+                        "-0.002689200, 0.0035894000, 0.0168347000, 0.0327097000, 0.0241419000, -0.070794000, -0.396312200", \
+                        "-0.002593600, 0.0036745000, 0.0168923000, 0.0327279000, 0.0241175000, -0.070840400, -0.396453300", \
+                        "-0.002623000, 0.0036443000, 0.0168624000, 0.0326993000, 0.0241267000, -0.070887500, -0.396613500", \
+                        "-0.002689900, 0.0035573000, 0.0167598000, 0.0325112000, 0.0238976000, -0.071129200, -0.396860200", \
+                        "-0.002834900, 0.0033971000, 0.0165593000, 0.0322492000, 0.0235593000, -0.071584900, -0.397295900", \
+                        "-0.003063800, 0.0033043000, 0.0167832000, 0.0330063000, 0.0237330000, -0.071541900, -0.397248700");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("0.0056062000, 0.0108587000, 0.0217829000, 0.0338720000, 0.0215949000, -0.075581600, -0.402235300", \
+                        "0.0056264000, 0.0108826000, 0.0217990000, 0.0338825000, 0.0215742000, -0.075603100, -0.402244100", \
+                        "0.0056639000, 0.0109032000, 0.0218122000, 0.0338656000, 0.0215658000, -0.075649200, -0.402287600", \
+                        "0.0056481000, 0.0108581000, 0.0217071000, 0.0336587000, 0.0211804000, -0.076116200, -0.402722700", \
+                        "0.0056312000, 0.0108023000, 0.0215591000, 0.0333552000, 0.0207464000, -0.076706600, -0.403433500", \
+                        "0.0056385000, 0.0108127000, 0.0215393000, 0.0333563000, 0.0204697000, -0.077246500, -0.404004300", \
+                        "0.0057374000, 0.0110437000, 0.0220861000, 0.0343416000, 0.0211170000, -0.077332700, -0.404203200");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
+                    values("-0.000512900, 0.0031516000, 0.0127026000, 0.0349354000, 0.0829933000, 0.2008713000, 0.5334206000", \
+                        "-0.000515500, 0.0031364000, 0.0126336000, 0.0347823000, 0.0830971000, 0.2010458000, 0.5350268000", \
+                        "-0.000515000, 0.0031144000, 0.0125490000, 0.0346452000, 0.0828610000, 0.2007271000, 0.5329991000", \
+                        "-0.000530000, 0.0030857000, 0.0125237000, 0.0345623000, 0.0825600000, 0.2011088000, 0.5343497000", \
+                        "-0.000559200, 0.0030381000, 0.0123850000, 0.0343584000, 0.0823033000, 0.2004075000, 0.5314888000", \
+                        "-0.000614200, 0.0029516000, 0.0122477000, 0.0340774000, 0.0817771000, 0.1998085000, 0.5317224000", \
+                        "-0.000677900, 0.0029207000, 0.0123101000, 0.0344652000, 0.0825377000, 0.2006496000, 0.5349586000");
+                }
+            }
+            max_capacitance : 0.3131200000;
+            max_transition : 1.4976940000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.5715146000, 0.5764608000, 0.5872999000, 0.6094978000, 0.6562273000, 0.7728852000, 1.1070838000", \
+                        "0.5763654000, 0.5813140000, 0.5921482000, 0.6143386000, 0.6610686000, 0.7777333000, 1.1119631000", \
+                        "0.5888512000, 0.5937825000, 0.6047230000, 0.6268766000, 0.6736517000, 0.7902700000, 1.1248132000", \
+                        "0.6198478000, 0.6247883000, 0.6357509000, 0.6578812000, 0.7045739000, 0.8213871000, 1.1548854000", \
+                        "0.6903620000, 0.6953002000, 0.7062560000, 0.7282338000, 0.7750668000, 0.8917673000, 1.2255494000", \
+                        "0.8164339000, 0.8213774000, 0.8323279000, 0.8544688000, 0.9011354000, 1.0179231000, 1.3516137000", \
+                        "1.0122578000, 1.0172030000, 1.0280993000, 1.0502539000, 1.0970421000, 1.2136561000, 1.5477983000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.4959562000, 0.5023387000, 0.5161479000, 0.5469950000, 0.6271237000, 0.8580184000, 1.5340924000", \
+                        "0.5006354000, 0.5070349000, 0.5209054000, 0.5518923000, 0.6322072000, 0.8632844000, 1.5393135000", \
+                        "0.5131978000, 0.5195176000, 0.5335894000, 0.5643231000, 0.6445486000, 0.8759715000, 1.5501265000", \
+                        "0.5441849000, 0.5505837000, 0.5644421000, 0.5954131000, 0.6757394000, 0.9068185000, 1.5835114000", \
+                        "0.6145719000, 0.6209193000, 0.6348982000, 0.6657329000, 0.7461110000, 0.9769409000, 1.6530290000", \
+                        "0.7392129000, 0.7456168000, 0.7594805000, 0.7904654000, 0.8707812000, 1.1018603000, 1.7758524000", \
+                        "0.9295227000, 0.9358828000, 0.9497857000, 0.9805695000, 1.0610020000, 1.2916317000, 1.9667528000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0201573000, 0.0232459000, 0.0306186000, 0.0486452000, 0.0965352000, 0.2431940000, 0.6940848000", \
+                        "0.0201437000, 0.0232326000, 0.0306586000, 0.0486549000, 0.0965757000, 0.2431721000, 0.6876032000", \
+                        "0.0201667000, 0.0232569000, 0.0306308000, 0.0486124000, 0.0960247000, 0.2429552000, 0.6900953000", \
+                        "0.0202455000, 0.0233484000, 0.0308481000, 0.0484582000, 0.0961830000, 0.2426410000, 0.6931582000", \
+                        "0.0202019000, 0.0233392000, 0.0305482000, 0.0483223000, 0.0964494000, 0.2421681000, 0.6853469000", \
+                        "0.0201581000, 0.0232498000, 0.0308296000, 0.0482484000, 0.0962860000, 0.2430605000, 0.6859591000", \
+                        "0.0201717000, 0.0232519000, 0.0309418000, 0.0486065000, 0.0960826000, 0.2419765000, 0.6944766000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0251050000, 0.0294903000, 0.0409939000, 0.0752368000, 0.1855240000, 0.5167228000, 1.4945660000", \
+                        "0.0251651000, 0.0295626000, 0.0409769000, 0.0753114000, 0.1853144000, 0.5173152000, 1.4937516000", \
+                        "0.0251410000, 0.0297028000, 0.0410298000, 0.0752777000, 0.1852855000, 0.5176567000, 1.4939925000", \
+                        "0.0252007000, 0.0296153000, 0.0409639000, 0.0753174000, 0.1853070000, 0.5171768000, 1.4944919000", \
+                        "0.0251212000, 0.0297909000, 0.0410251000, 0.0752155000, 0.1853753000, 0.5164013000, 1.4976937000", \
+                        "0.0251700000, 0.0295755000, 0.0409753000, 0.0753103000, 0.1853055000, 0.5171507000, 1.4917667000", \
+                        "0.0252085000, 0.0295810000, 0.0411068000, 0.0752978000, 0.1851638000, 0.5174594000, 1.4949680000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.3569500000, 0.3619844000, 0.3731203000, 0.3956128000, 0.4426570000, 0.5597244000, 0.8931262000", \
+                        "0.3622413000, 0.3672809000, 0.3783640000, 0.4008872000, 0.4480329000, 0.5649282000, 0.8983347000", \
+                        "0.3747519000, 0.3797861000, 0.3908745000, 0.4133839000, 0.4605446000, 0.5774463000, 0.9108437000", \
+                        "0.4064584000, 0.4114798000, 0.4225946000, 0.4451139000, 0.4922558000, 0.6092485000, 0.9423490000", \
+                        "0.4776291000, 0.4826729000, 0.4937882000, 0.5163199000, 0.5633441000, 0.6804374000, 1.0136628000", \
+                        "0.6092404000, 0.6143447000, 0.6254986000, 0.6480298000, 0.6950774000, 0.8121235000, 1.1454626000", \
+                        "0.8190955000, 0.8241580000, 0.8353403000, 0.8578829000, 0.9050162000, 1.0220408000, 1.3553527000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0210729000, 0.0239388000, 0.0316691000, 0.0491964000, 0.0973032000, 0.2428239000, 0.6870486000", \
+                        "0.0208970000, 0.0240413000, 0.0314562000, 0.0494659000, 0.0968653000, 0.2427730000, 0.6874631000", \
+                        "0.0208662000, 0.0240445000, 0.0314135000, 0.0495144000, 0.0968391000, 0.2428051000, 0.6881803000", \
+                        "0.0208755000, 0.0240514000, 0.0314949000, 0.0494066000, 0.0971266000, 0.2428580000, 0.6877711000", \
+                        "0.0210764000, 0.0243349000, 0.0315942000, 0.0492395000, 0.0973115000, 0.2426421000, 0.6885970000", \
+                        "0.0211746000, 0.0241588000, 0.0316939000, 0.0492636000, 0.0970446000, 0.2428679000, 0.6881231000", \
+                        "0.0209860000, 0.0241299000, 0.0321432000, 0.0493834000, 0.0966777000, 0.2428122000, 0.6875218000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.2564176000, 0.2614302000, 0.2725387000, 0.2950076000, 0.3421301000, 0.4591907000, 0.7924238000", \
+                        "0.2612437000, 0.2662476000, 0.2773562000, 0.2998325000, 0.3470260000, 0.4640048000, 0.7973817000", \
+                        "0.2711862000, 0.2762307000, 0.2873224000, 0.3098577000, 0.3568919000, 0.4740291000, 0.8071379000", \
+                        "0.2926458000, 0.2976569000, 0.3087689000, 0.3312862000, 0.3784337000, 0.4953704000, 0.8288411000", \
+                        "0.3408331000, 0.3458815000, 0.3569794000, 0.3795236000, 0.4265699000, 0.5437021000, 0.8769099000", \
+                        "0.4302336000, 0.4353806000, 0.4467414000, 0.4693780000, 0.5167812000, 0.6339890000, 0.9672280000", \
+                        "0.5529300000, 0.5583712000, 0.5702434000, 0.5937570000, 0.6416908000, 0.7590423000, 1.0925317000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.4224749000, 0.4293239000, 0.4441836000, 0.4758974000, 0.5563016000, 0.7871183000, 1.4626006000", \
+                        "0.4277420000, 0.4345400000, 0.4494435000, 0.4810595000, 0.5615504000, 0.7921701000, 1.4684824000", \
+                        "0.4408006000, 0.4476916000, 0.4624884000, 0.4941161000, 0.5745947000, 0.8057763000, 1.4800906000", \
+                        "0.4725484000, 0.4794249000, 0.4942122000, 0.5259663000, 0.6062797000, 0.8372941000, 1.5144710000", \
+                        "0.5485211000, 0.5554448000, 0.5701400000, 0.6019671000, 0.6822549000, 0.9132370000, 1.5878433000", \
+                        "0.7268801000, 0.7337704000, 0.7485358000, 0.7801466000, 0.8606407000, 1.0917617000, 1.7661357000", \
+                        "1.1070097000, 1.1143877000, 1.1301959000, 1.1625885000, 1.2432194000, 1.4740369000, 2.1501847000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0208376000, 0.0239501000, 0.0314154000, 0.0493645000, 0.0969845000, 0.2427779000, 0.6871465000", \
+                        "0.0208271000, 0.0239649000, 0.0313758000, 0.0494088000, 0.0968049000, 0.2427891000, 0.6869477000", \
+                        "0.0209953000, 0.0242384000, 0.0314810000, 0.0492280000, 0.0972327000, 0.2427051000, 0.6877030000", \
+                        "0.0210263000, 0.0242703000, 0.0314585000, 0.0493937000, 0.0969478000, 0.2427619000, 0.6885201000", \
+                        "0.0210291000, 0.0242992000, 0.0314454000, 0.0492789000, 0.0972438000, 0.2424513000, 0.6875051000", \
+                        "0.0216658000, 0.0248560000, 0.0320964000, 0.0501723000, 0.0970783000, 0.2425572000, 0.6878934000", \
+                        "0.0238759000, 0.0271400000, 0.0346973000, 0.0518432000, 0.0986450000, 0.2434849000, 0.6856774000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
+                    values("0.0280258000, 0.0323414000, 0.0436556000, 0.0766772000, 0.1857171000, 0.5163333000, 1.4912522000", \
+                        "0.0278694000, 0.0321259000, 0.0438937000, 0.0767928000, 0.1855847000, 0.5176554000, 1.4942477000", \
+                        "0.0278744000, 0.0324466000, 0.0435953000, 0.0767825000, 0.1854573000, 0.5177235000, 1.4913802000", \
+                        "0.0278548000, 0.0325195000, 0.0438040000, 0.0767411000, 0.1855839000, 0.5174336000, 1.4899287000", \
+                        "0.0279749000, 0.0324133000, 0.0435580000, 0.0768631000, 0.1858638000, 0.5168027000, 1.4892525000", \
+                        "0.0278495000, 0.0324141000, 0.0438861000, 0.0767792000, 0.1859082000, 0.5173258000, 1.4936221000", \
+                        "0.0305126000, 0.0349375000, 0.0463838000, 0.0784154000, 0.1860613000, 0.5173134000, 1.4933341000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.013476100, -0.007808700, 0.0038433000, 0.0171758000, 0.0065654000, -0.081863800, -0.379520200", \
+                        "-0.013413300, -0.007758400, 0.0038717000, 0.0171706000, 0.0064893000, -0.081951100, -0.379598300", \
+                        "-0.013259300, -0.007611400, 0.0040074000, 0.0172783000, 0.0065403000, -0.081860900, -0.379524200", \
+                        "-0.013296300, -0.007675400, 0.0038984000, 0.0170793000, 0.0062984000, -0.082157100, -0.379820700", \
+                        "-0.013354200, -0.007735700, 0.0038050000, 0.0169635000, 0.0061589000, -0.082334900, -0.380016000", \
+                        "-0.013480200, -0.007877700, 0.0036513000, 0.0167671000, 0.0059716000, -0.082507300, -0.380098300", \
+                        "-0.013736600, -0.008091600, 0.0035349000, 0.0167024000, 0.0060748000, -0.081939800, -0.379541200");
+                }
+                related_pin : "CLK_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.014966100, -0.011481700, -0.002692200, 0.0173191000, 0.0612639000, 0.1706042000, 0.4775002000", \
+                        "-0.014900900, -0.011420700, -0.002646600, 0.0173734000, 0.0614351000, 0.1705743000, 0.4750387000", \
+                        "-0.014748900, -0.011282700, -0.002544800, 0.0174225000, 0.0614160000, 0.1704346000, 0.4750288000", \
+                        "-0.014780600, -0.011332100, -0.002622100, 0.0172729000, 0.0611449000, 0.1702684000, 0.4746105000", \
+                        "-0.014842800, -0.011400100, -0.002726200, 0.0171496000, 0.0609693000, 0.1704520000, 0.4735776000", \
+                        "-0.014966300, -0.011527300, -0.002845600, 0.0170281000, 0.0609239000, 0.1698528000, 0.4761877000", \
+                        "-0.015228400, -0.011747200, -0.002996600, 0.0170494000, 0.0612463000, 0.1704112000, 0.4744893000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("-0.004178200, -0.000159300, 0.0097846000, 0.0326144000, 0.0804297000, 0.1912296000, 0.4951224000", \
+                        "-0.004137000, -0.000126900, 0.0097786000, 0.0326240000, 0.0803886000, 0.1915080000, 0.4956842000", \
+                        "-0.004037400, -3.84500e-05, 0.0098461000, 0.0326603000, 0.0803854000, 0.1911351000, 0.4951339000", \
+                        "-0.004068300, -7.90000e-05, 0.0098098000, 0.0326044000, 0.0803131000, 0.1911612000, 0.4957210000", \
+                        "-0.004134400, -0.000155400, 0.0096869000, 0.0324272000, 0.0800889000, 0.1908029000, 0.4947644000", \
+                        "-0.004269800, -0.000299700, 0.0095395000, 0.0321836000, 0.0797697000, 0.1904695000, 0.4949565000", \
+                        "-0.004411000, -0.000143100, 0.0103750000, 0.0330539000, 0.0796050000, 0.1902904000, 0.4951534000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("0.0012235000, 0.0073562000, 0.0200119000, 0.0348383000, 0.0259699000, -0.060895200, -0.357806900", \
+                        "0.0012190000, 0.0073384000, 0.0199611000, 0.0347551000, 0.0258022000, -0.061127600, -0.358110200", \
+                        "0.0012171000, 0.0073185000, 0.0198962000, 0.0345811000, 0.0255906000, -0.061374500, -0.358364500", \
+                        "0.0012026000, 0.0072897000, 0.0198529000, 0.0344933000, 0.0255109000, -0.061532200, -0.358517000", \
+                        "0.0011749000, 0.0072404000, 0.0197402000, 0.0342966000, 0.0251183000, -0.061896100, -0.358855500", \
+                        "0.0011241000, 0.0071628000, 0.0196107000, 0.0340021000, 0.0247226000, -0.062439900, -0.359336500", \
+                        "0.0011122000, 0.0072628000, 0.0198846000, 0.0344209000, 0.0250764000, -0.062106000, -0.358990800");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
+                    values("0.0041299000, 0.0070904000, 0.0146812000, 0.0337384000, 0.0778454000, 0.1861175000, 0.4889928000", \
+                        "0.0041507000, 0.0071087000, 0.0146959000, 0.0337497000, 0.0778442000, 0.1858724000, 0.4892711000", \
+                        "0.0041933000, 0.0071467000, 0.0147064000, 0.0337361000, 0.0777015000, 0.1861670000, 0.4895061000", \
+                        "0.0041774000, 0.0070978000, 0.0146046000, 0.0335392000, 0.0774036000, 0.1857269000, 0.4886537000", \
+                        "0.0041681000, 0.0070683000, 0.0144972000, 0.0332385000, 0.0768523000, 0.1850578000, 0.4883267000", \
+                        "0.0041890000, 0.0071046000, 0.0145781000, 0.0332356000, 0.0764008000, 0.1844872000, 0.4881155000", \
+                        "0.0043723000, 0.0075617000, 0.0156551000, 0.0343006000, 0.0765542000, 0.1846870000, 0.4876137000");
+                }
+            }
+            max_capacitance : 0.2884010000;
+            max_transition : 1.5033670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.3763170000, 0.3843919000, 0.4022006000, 0.4373844000, 0.5041373000, 0.6392230000, 0.9662938000", \
+                        "0.3808234000, 0.3888878000, 0.4067260000, 0.4419132000, 0.5086438000, 0.6437326000, 0.9705128000", \
+                        "0.3939042000, 0.4019684000, 0.4197971000, 0.4549827000, 0.5217258000, 0.6568194000, 0.9838784000", \
+                        "0.4246916000, 0.4327795000, 0.4505756000, 0.4857624000, 0.5525091000, 0.6875935000, 1.0146693000", \
+                        "0.4944100000, 0.5024735000, 0.5203009000, 0.5554834000, 0.6222327000, 0.7573190000, 1.0843944000", \
+                        "0.6187532000, 0.6267971000, 0.6446536000, 0.6798690000, 0.7465731000, 0.8816615000, 1.2084892000", \
+                        "0.8099303000, 0.8180147000, 0.8358883000, 0.8710416000, 0.9377908000, 1.0729271000, 1.3998034000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.4754696000, 0.4830780000, 0.5008433000, 0.5392443000, 0.6287660000, 0.8655988000, 1.5396003000", \
+                        "0.4805815000, 0.4882857000, 0.5060173000, 0.5444646000, 0.6339805000, 0.8713137000, 1.5456157000", \
+                        "0.4931007000, 0.5008496000, 0.5185862000, 0.5570550000, 0.6465295000, 0.8841574000, 1.5580285000", \
+                        "0.5235747000, 0.5313353000, 0.5490779000, 0.5875020000, 0.6769806000, 0.9139453000, 1.5898461000", \
+                        "0.5945758000, 0.6022753000, 0.6199652000, 0.6584014000, 0.7478982000, 0.9848462000, 1.6619591000", \
+                        "0.7205400000, 0.7282464000, 0.7460075000, 0.7844685000, 0.8739461000, 1.1111632000, 1.7870448000", \
+                        "0.9166170000, 0.9243228000, 0.9420562000, 0.9805304000, 1.0700153000, 1.3070661000, 1.9858468000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0370523000, 0.0419324000, 0.0533276000, 0.0772435000, 0.1307089000, 0.2660635000, 0.6779193000", \
+                        "0.0370687000, 0.0419386000, 0.0534289000, 0.0767435000, 0.1306876000, 0.2660154000, 0.6764859000", \
+                        "0.0370633000, 0.0419384000, 0.0533073000, 0.0768195000, 0.1306748000, 0.2659988000, 0.6776751000", \
+                        "0.0370477000, 0.0419122000, 0.0532975000, 0.0768258000, 0.1306663000, 0.2660959000, 0.6747758000", \
+                        "0.0370646000, 0.0419414000, 0.0533103000, 0.0768253000, 0.1306870000, 0.2661036000, 0.6780513000", \
+                        "0.0369792000, 0.0419855000, 0.0533307000, 0.0768537000, 0.1306940000, 0.2661192000, 0.6766238000", \
+                        "0.0370948000, 0.0420519000, 0.0532604000, 0.0777762000, 0.1305829000, 0.2660807000, 0.6781769000");
+                }
+                related_pin : "CLK_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0305772000, 0.0364714000, 0.0513931000, 0.0896778000, 0.2007032000, 0.5296048000, 1.5033670000", \
+                        "0.0305755000, 0.0364470000, 0.0513472000, 0.0896054000, 0.2001613000, 0.5278695000, 1.4977162000", \
+                        "0.0305543000, 0.0364010000, 0.0512663000, 0.0896626000, 0.2001110000, 0.5286631000, 1.4981242000", \
+                        "0.0305773000, 0.0363822000, 0.0513131000, 0.0896417000, 0.2005884000, 0.5287522000, 1.4961706000", \
+                        "0.0305969000, 0.0363960000, 0.0512587000, 0.0896154000, 0.2005280000, 0.5286129000, 1.4948237000", \
+                        "0.0306586000, 0.0364783000, 0.0513742000, 0.0897105000, 0.2004444000, 0.5291740000, 1.4993334000", \
+                        "0.0305771000, 0.0364532000, 0.0512186000, 0.0895857000, 0.2001291000, 0.5276284000, 1.4972554000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.2579718000, 0.2662404000, 0.2849825000, 0.3252107000, 0.4183699000, 0.6589023000, 1.3327327000", \
+                        "0.2631244000, 0.2713303000, 0.2900478000, 0.3302696000, 0.4235161000, 0.6641178000, 1.3385035000", \
+                        "0.2759796000, 0.2842035000, 0.3029214000, 0.3432003000, 0.4364481000, 0.6767924000, 1.3501018000", \
+                        "0.3070084000, 0.3151611000, 0.3338586000, 0.3741493000, 0.4673684000, 0.7078765000, 1.3825232000", \
+                        "0.3782418000, 0.3864337000, 0.4051553000, 0.4453937000, 0.5386382000, 0.7790814000, 1.4524060000", \
+                        "0.5102199000, 0.5184727000, 0.5371878000, 0.5774532000, 0.6708721000, 0.9113459000, 1.5859747000", \
+                        "0.7187809000, 0.7272160000, 0.7465111000, 0.7874764000, 0.8811937000, 1.1216489000, 1.7961473000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0326389000, 0.0389085000, 0.0545597000, 0.0940370000, 0.2072846000, 0.5333507000, 1.4960871000", \
+                        "0.0326196000, 0.0390445000, 0.0545900000, 0.0938518000, 0.2073848000, 0.5314798000, 1.4942110000", \
+                        "0.0325565000, 0.0390432000, 0.0545643000, 0.0938117000, 0.2074500000, 0.5318563000, 1.4957408000", \
+                        "0.0327080000, 0.0388376000, 0.0544156000, 0.0940721000, 0.2075588000, 0.5316399000, 1.4920928000", \
+                        "0.0326710000, 0.0390309000, 0.0546622000, 0.0939512000, 0.2076845000, 0.5319357000, 1.4956139000", \
+                        "0.0332866000, 0.0391823000, 0.0545846000, 0.0941880000, 0.2073648000, 0.5316025000, 1.4936953000", \
+                        "0.0348623000, 0.0413633000, 0.0567728000, 0.0958143000, 0.2078697000, 0.5309524000, 1.4938975000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.2840244000, 0.2940421000, 0.3156840000, 0.3568763000, 0.4336555000, 0.5791062000, 0.9118324000", \
+                        "0.2892669000, 0.2993277000, 0.3209460000, 0.3621923000, 0.4388827000, 0.5843513000, 0.9173692000", \
+                        "0.3024941000, 0.3125306000, 0.3340317000, 0.3752088000, 0.4519465000, 0.5973877000, 0.9304499000", \
+                        "0.3343806000, 0.3443502000, 0.3658794000, 0.4070605000, 0.4837159000, 0.6291659000, 0.9621020000", \
+                        "0.4102363000, 0.4202280000, 0.4417694000, 0.4829302000, 0.5596233000, 0.7051197000, 1.0381752000", \
+                        "0.5894699000, 0.5993039000, 0.6205453000, 0.6613042000, 0.7377268000, 0.8832052000, 1.2163378000", \
+                        "0.9502040000, 0.9613732000, 0.9857726000, 1.0330520000, 1.1179807000, 1.2701173000, 1.6047741000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.1571745000, 0.1653154000, 0.1840620000, 0.2242950000, 0.3173657000, 0.5576715000, 1.2317614000", \
+                        "0.1619898000, 0.1701290000, 0.1888710000, 0.2291084000, 0.3221931000, 0.5625730000, 1.2359485000", \
+                        "0.1718952000, 0.1801483000, 0.1988399000, 0.2390926000, 0.3321684000, 0.5725935000, 1.2474715000", \
+                        "0.1934017000, 0.2015734000, 0.2202813000, 0.2604924000, 0.3536291000, 0.5940646000, 1.2676989000", \
+                        "0.2413692000, 0.2496410000, 0.2684963000, 0.3087541000, 0.4019135000, 0.6422802000, 1.3152959000", \
+                        "0.3228895000, 0.3323538000, 0.3536570000, 0.3973344000, 0.4929182000, 0.7328386000, 1.4074725000", \
+                        "0.4257004000, 0.4379832000, 0.4651272000, 0.5172880000, 0.6196997000, 0.8604086000, 1.5340766000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0519080000, 0.0569859000, 0.0680630000, 0.0931940000, 0.1481292000, 0.2807891000, 0.6830574000", \
+                        "0.0519784000, 0.0569527000, 0.0680881000, 0.0931880000, 0.1480642000, 0.2809579000, 0.6816239000", \
+                        "0.0518302000, 0.0568045000, 0.0680587000, 0.0929660000, 0.1480467000, 0.2808311000, 0.6819342000", \
+                        "0.0518008000, 0.0567819000, 0.0678475000, 0.0930791000, 0.1480207000, 0.2808920000, 0.6819548000", \
+                        "0.0518260000, 0.0568121000, 0.0680332000, 0.0930991000, 0.1481966000, 0.2805039000, 0.6826213000", \
+                        "0.0514759000, 0.0565259000, 0.0676343000, 0.0928684000, 0.1480915000, 0.2807626000, 0.6825895000", \
+                        "0.0692583000, 0.0740596000, 0.0853881000, 0.1121941000, 0.1650567000, 0.2907655000, 0.6834641000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
+                    values("0.0325712000, 0.0387431000, 0.0543530000, 0.0938335000, 0.2075386000, 0.5317147000, 1.4935027000", \
+                        "0.0325354000, 0.0387433000, 0.0543381000, 0.0938543000, 0.2074263000, 0.5317742000, 1.4930300000", \
+                        "0.0324105000, 0.0388797000, 0.0544411000, 0.0939312000, 0.2069821000, 0.5321171000, 1.4963973000", \
+                        "0.0325088000, 0.0388857000, 0.0544555000, 0.0939149000, 0.2076045000, 0.5314267000, 1.4957734000", \
+                        "0.0332692000, 0.0394543000, 0.0547731000, 0.0942030000, 0.2068993000, 0.5317229000, 1.4946612000", \
+                        "0.0399578000, 0.0466155000, 0.0620999000, 0.1008018000, 0.2100010000, 0.5311183000, 1.4964007000", \
+                        "0.0548367000, 0.0632438000, 0.0810785000, 0.1188394000, 0.2200218000, 0.5333459000, 1.4950472000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0016230000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0129392000, 0.0128486000, 0.0126395000, 0.0126902000, 0.0128071000, 0.0130768000, 0.0136983000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081727000, 0.0081196000, 0.0079971000, 0.0080244000, 0.0080871000, 0.0082320000, 0.0085661000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017090000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0728008000, 0.1966940000, 0.2886536000", \
+                        "-0.145086600, -0.019972700, 0.0719870000", \
+                        "-0.394516900, -0.269403000, -0.176222700");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0764629000, -0.044988900, -0.125962200", \
+                        "0.2748190000, 0.1545879000, 0.0723939000", \
+                        "0.5157044000, 0.3954733000, 0.3145000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2532714000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.104201200, -0.059653600, -0.076336600", \
+                        "-0.226873700, -0.167677700, -0.179477900", \
+                        "-0.332261100, -0.254754600, -0.253126900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "SET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1582500000, 0.1429994000, 0.2463522000", \
+                        "0.2967917000, 0.2534648000, 0.3104310000", \
+                        "0.4473450000, 0.3747214000, 0.3999492000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0034300000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033430000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0050604000, 0.0050512000, 0.0050299000, 0.0050437000, 0.0050756000, 0.0051492000, 0.0053189000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004934000, -0.004974100, -0.005066600, -0.005069800, -0.005077400, -0.005094600, -0.005134200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0035170000;
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.061476600, 0.0306784000, 0.1519349000", \
+                        "-0.247625700, -0.156691400, -0.086704400", \
+                        "-0.454331400, -0.363397100, -0.325148400");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0679180000, -0.021795600, -0.053940800", \
+                        "0.2528463000, 0.1643535000, 0.1322083000", \
+                        "0.4595521000, 0.3698385000, 0.3413555000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1582500000, 0.2967917000, 0.4473450000", \
+                        "0.1429994000, 0.2534648000, 0.3747214000", \
+                        "0.2463522000, 0.3104310000, 0.3999492000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3060000000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "RESET_B";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.104201200, -0.226873700, -0.332261100", \
+                        "-0.059653600, -0.167677700, -0.254754600", \
+                        "-0.076336600, -0.179477900, -0.253126900");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "non_seq_hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfbbp_1 */
+
+/* removed sky130_fd_sc_hd__dfrbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfrbp_2") {
+        leakage_power () {
+            value : 0.0109677000;
+            when : "RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0096383000;
+            when : "RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0091173000;
+            when : "!RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0103999000;
+            when : "RESET_B&CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0096019000;
+            when : "RESET_B&!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0101696000;
+            when : "!RESET_B&CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0097544000;
+            when : "RESET_B&!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0099155000;
+            when : "RESET_B&CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0092637000;
+            when : "!RESET_B&!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0098513000;
+            when : "RESET_B&CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0111421000;
+            when : "RESET_B&!CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0095879000;
+            when : "!RESET_B&!CLK&D&!Q&Q_N";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfrbp";
+        cell_leakage_power : 0.0099507900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0018000000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0247885000, 0.0246654000, 0.0243817000, 0.0244533000, 0.0246183000, 0.0249988000, 0.0258759000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0162728000, 0.0161928000, 0.0160083000, 0.0160284000, 0.0160747000, 0.0161816000, 0.0164281000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018740000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2104295000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1774742000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0019770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0019490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0061315000, 0.0060925000, 0.0060028000, 0.0060651000, 0.0062085000, 0.0065393000, 0.0073019000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000823300, -0.000892800, -0.001052900, -0.001002500, -0.000886200, -0.000618000, 3.2670112e-07");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0020060000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1069805000, 0.3212057000, 0.6280091000", \
+                        "-0.004705700, 0.2034160000, 0.5041159000", \
+                        "-0.090561800, 0.1138978000, 0.4097148000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0581523000, 0.1722799000, 0.2483705000", \
+                        "-0.014471400, 0.0862285000, 0.1488913000", \
+                        "-0.047837200, 0.0492005000, 0.1057598000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.038283200, -0.237860000, -0.492173200", \
+                        "0.0734030000, -0.124953100, -0.389031900", \
+                        "0.1519349000, -0.041538400, -0.305617200");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.135321000, -0.188218100", \
+                        "0.0343405000, -0.061476600, -0.113153000", \
+                        "0.0603822000, -0.032993500, -0.083449200");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("-0.004912400, 0.0001440000, 0.0102231000, 0.0210937000, 0.0107906000, -0.068122400, -0.326630000", \
+                        "-0.004874700, 0.0001710000, 0.0102666000, 0.0211051000, 0.0107580000, -0.068165000, -0.326719400", \
+                        "-0.004790100, 0.0002433000, 0.0102981000, 0.0211196000, 0.0106240000, -0.068316100, -0.326823900", \
+                        "-0.004819500, 0.0001947000, 0.0101782000, 0.0208654000, 0.0103752000, -0.068761000, -0.327318400", \
+                        "-0.004852100, 0.0001593000, 0.0100916000, 0.0207695000, 0.0101465000, -0.068911800, -0.327504600", \
+                        "-0.004900000, 0.0001127000, 0.0100754000, 0.0207763000, 0.0101425000, -0.068922700, -0.327413500", \
+                        "-0.004951500, 0.0001602000, 0.0103488000, 0.0214027000, 0.0112047000, -0.068214800, -0.326677100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("-0.006513000, -0.003726800, 0.0033187000, 0.0199595000, 0.0581184000, 0.1541227000, 0.4182336000", \
+                        "-0.006476500, -0.003698100, 0.0033259000, 0.0199514000, 0.0580520000, 0.1540508000, 0.4181601000", \
+                        "-0.006392000, -0.003623400, 0.0033860000, 0.0199742000, 0.0581636000, 0.1540056000, 0.4183692000", \
+                        "-0.006421100, -0.003685300, 0.0032588000, 0.0197410000, 0.0576814000, 0.1535272000, 0.4187129000", \
+                        "-0.006456600, -0.003733900, 0.0031611000, 0.0195473000, 0.0575311000, 0.1532510000, 0.4179635000", \
+                        "-0.006510900, -0.003793800, 0.0030828000, 0.0194766000, 0.0572673000, 0.1531942000, 0.4168823000", \
+                        "-0.006543600, -0.003678700, 0.0035008000, 0.0201231000, 0.0579020000, 0.1539889000, 0.4178439000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
+                    values("0.0004682000, 0.0049110000, 0.0136244000, 0.0223287000, 0.0097856000, -0.070699700, -0.329807100", \
+                        "0.0004522000, 0.0048853000, 0.0135647000, 0.0222265000, 0.0097026000, -0.070855900, -0.330008100", \
+                        "0.0004269000, 0.0048461000, 0.0134969000, 0.0221358000, 0.0095944000, -0.070985600, -0.330150900", \
+                        "0.0004211000, 0.0048381000, 0.0134947000, 0.0221081000, 0.0095339000, -0.071010300, -0.330170100", \
+                        "0.0004020000, 0.0048039000, 0.0134352000, 0.0219894000, 0.0093041000, -0.071236800, -0.330402700", \
+                        "0.0003772000, 0.0047745000, 0.0133786000, 0.0218022000, 0.0089823000, -0.071629700, -0.330708000", \
+                        "0.0003637000, 0.0048237000, 0.0134849000, 0.0218965000, 0.0090074000, -0.071622400, -0.330682900");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.2536110000;
+            max_transition : 1.5036290000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.3750218000, 0.3827018000, 0.3993438000, 0.4316703000, 0.4920138000, 0.6119065000, 0.8916552000", \
+                        "0.3797575000, 0.3874059000, 0.4041366000, 0.4364091000, 0.4967755000, 0.6166837000, 0.8963069000", \
+                        "0.3908320000, 0.3984300000, 0.4151392000, 0.4474233000, 0.5077836000, 0.6276644000, 0.9075385000", \
+                        "0.4165462000, 0.4241646000, 0.4408818000, 0.4731570000, 0.5335239000, 0.6534142000, 0.9332538000", \
+                        "0.4643122000, 0.4719879000, 0.4886934000, 0.5209966000, 0.5813354000, 0.7012169000, 0.9808055000", \
+                        "0.5319638000, 0.5396055000, 0.5562855000, 0.5885686000, 0.6489364000, 0.7687978000, 1.0485707000", \
+                        "0.6153743000, 0.6230517000, 0.6396912000, 0.6719856000, 0.7324141000, 0.8522693000, 1.1318625000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.3287315000, 0.3371092000, 0.3560996000, 0.3968400000, 0.4913176000, 0.7308129000, 1.3944776000", \
+                        "0.3332426000, 0.3415758000, 0.3605100000, 0.4013722000, 0.4957664000, 0.7351584000, 1.3940117000", \
+                        "0.3444811000, 0.3528801000, 0.3717980000, 0.4126517000, 0.5070220000, 0.7464020000, 1.4055788000", \
+                        "0.3702577000, 0.3786589000, 0.3975984000, 0.4384692000, 0.5328541000, 0.7722568000, 1.4332089000", \
+                        "0.4195694000, 0.4280006000, 0.4469650000, 0.4877060000, 0.5821690000, 0.8215027000, 1.4826185000", \
+                        "0.4908987000, 0.4993095000, 0.5182434000, 0.5589220000, 0.6534511000, 0.8928175000, 1.5523803000", \
+                        "0.5827753000, 0.5911211000, 0.6100752000, 0.6509521000, 0.7452993000, 0.9847912000, 1.6419607000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0349067000, 0.0396163000, 0.0498968000, 0.0717465000, 0.1209608000, 0.2389145000, 0.5878253000", \
+                        "0.0348774000, 0.0395309000, 0.0498955000, 0.0724141000, 0.1208292000, 0.2387473000, 0.5876803000", \
+                        "0.0348814000, 0.0396452000, 0.0501158000, 0.0717535000, 0.1204692000, 0.2383791000, 0.5877521000", \
+                        "0.0347745000, 0.0395305000, 0.0503982000, 0.0715852000, 0.1209752000, 0.2382899000, 0.5874061000", \
+                        "0.0349255000, 0.0397046000, 0.0500908000, 0.0717554000, 0.1205795000, 0.2383644000, 0.5872517000", \
+                        "0.0347657000, 0.0395644000, 0.0500745000, 0.0716968000, 0.1208852000, 0.2383286000, 0.5875072000", \
+                        "0.0349168000, 0.0396863000, 0.0499002000, 0.0719512000, 0.1208132000, 0.2390555000, 0.5845296000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0336280000, 0.0402270000, 0.0565966000, 0.0989872000, 0.2163850000, 0.5448580000, 1.4996966000", \
+                        "0.0336512000, 0.0402007000, 0.0565606000, 0.0989392000, 0.2163598000, 0.5471942000, 1.5006069000", \
+                        "0.0335591000, 0.0401426000, 0.0565528000, 0.0989504000, 0.2163704000, 0.5447219000, 1.5029711000", \
+                        "0.0336409000, 0.0402629000, 0.0566089000, 0.0989416000, 0.2163857000, 0.5458125000, 1.5012891000", \
+                        "0.0337031000, 0.0403272000, 0.0567000000, 0.0989678000, 0.2163700000, 0.5466726000, 1.5036286000", \
+                        "0.0336093000, 0.0402292000, 0.0565156000, 0.0988475000, 0.2163701000, 0.5471031000, 1.5010717000", \
+                        "0.0337356000, 0.0402818000, 0.0566719000, 0.0989935000, 0.2164457000, 0.5446222000, 1.4978706000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.2151135000, 0.2232139000, 0.2411547000, 0.2761873000, 0.3412790000, 0.4541424000, 0.7282459000", \
+                        "0.2201978000, 0.2283082000, 0.2462495000, 0.2813103000, 0.3464035000, 0.4592895000, 0.7333479000", \
+                        "0.2329246000, 0.2410103000, 0.2590009000, 0.2940912000, 0.3591503000, 0.4720448000, 0.7462038000", \
+                        "0.2644511000, 0.2725311000, 0.2904945000, 0.3255510000, 0.3906705000, 0.5035496000, 0.7776840000", \
+                        "0.3398284000, 0.3479166000, 0.3658502000, 0.4007461000, 0.4658238000, 0.5787353000, 0.8529041000", \
+                        "0.5123360000, 0.5209939000, 0.5396999000, 0.5753176000, 0.6408609000, 0.7537808000, 1.0278233000", \
+                        "0.8115572000, 0.8229812000, 0.8479382000, 0.8953939000, 0.9757523000, 1.0920397000, 1.3662377000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
+                    values("0.0377890000, 0.0429614000, 0.0550681000, 0.0788634000, 0.1236998000, 0.2272537000, 0.5787851000", \
+                        "0.0378412000, 0.0429827000, 0.0551929000, 0.0789968000, 0.1236318000, 0.2269841000, 0.5800272000", \
+                        "0.0381257000, 0.0430006000, 0.0545491000, 0.0792846000, 0.1238377000, 0.2269082000, 0.5794498000", \
+                        "0.0380877000, 0.0430498000, 0.0544541000, 0.0791572000, 0.1239754000, 0.2271450000, 0.5778361000", \
+                        "0.0377795000, 0.0429557000, 0.0550662000, 0.0789376000, 0.1235909000, 0.2275439000, 0.5797030000", \
+                        "0.0427478000, 0.0478576000, 0.0586850000, 0.0819653000, 0.1249775000, 0.2276119000, 0.5798194000", \
+                        "0.0650289000, 0.0715783000, 0.0854173000, 0.1133138000, 0.1482841000, 0.2336720000, 0.5810534000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.005152800, -0.000290700, 0.0095772000, 0.0199881000, 0.0067346000, -0.084139200, -0.381886600", \
+                        "-0.005118200, -0.000265400, 0.0095889000, 0.0199834000, 0.0066582000, -0.084256000, -0.382003200", \
+                        "-0.005032400, -0.000186400, 0.0096475000, 0.0200075000, 0.0066549000, -0.084293500, -0.382039200", \
+                        "-0.005059100, -0.000244100, 0.0095163000, 0.0197743000, 0.0063068000, -0.084692200, -0.382387900", \
+                        "-0.005094100, -0.000302800, 0.0094096000, 0.0195768000, 0.0060840000, -0.084919100, -0.382730800", \
+                        "-0.005149600, -0.000363300, 0.0093391000, 0.0194731000, 0.0059543000, -0.085077800, -0.382884100", \
+                        "-0.005214600, -0.000325400, 0.0096054000, 0.0201568000, 0.0068664000, -0.084399000, -0.382109000");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.006596300, -0.003825300, 0.0034699000, 0.0211197000, 0.0622547000, 0.1695894000, 0.4705227000", \
+                        "-0.006558400, -0.003785700, 0.0035057000, 0.0211409000, 0.0622927000, 0.1689042000, 0.4709691000", \
+                        "-0.006474400, -0.003719500, 0.0035517000, 0.0211406000, 0.0622241000, 0.1687196000, 0.4732607000", \
+                        "-0.006502200, -0.003777600, 0.0034253000, 0.0208766000, 0.0618394000, 0.1691694000, 0.4722253000", \
+                        "-0.006533100, -0.003825300, 0.0033568000, 0.0207864000, 0.0617034000, 0.1688639000, 0.4726649000", \
+                        "-0.006581200, -0.003860600, 0.0033297000, 0.0207907000, 0.0617426000, 0.1690692000, 0.4694948000", \
+                        "-0.006586700, -0.003685200, 0.0037887000, 0.0214200000, 0.0622710000, 0.1685795000, 0.4731011000");
+                }
+            }
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "RESET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
+                    values("-0.001183600, 0.0010088000, 0.0069931000, 0.0223172000, 0.0611865000, 0.1664123000, 0.4677455000", \
+                        "-0.001199500, 0.0009796000, 0.0069506000, 0.0222403000, 0.0609714000, 0.1662322000, 0.4695551000", \
+                        "-0.001222300, 0.0009447000, 0.0068906000, 0.0221413000, 0.0608202000, 0.1662221000, 0.4669570000", \
+                        "-0.001229800, 0.0009421000, 0.0068795000, 0.0221204000, 0.0607473000, 0.1659663000, 0.4669906000", \
+                        "-0.001250200, 0.0009015000, 0.0067963000, 0.0219779000, 0.0605564000, 0.1659139000, 0.4690256000", \
+                        "-0.001289100, 0.0008409000, 0.0066898000, 0.0217922000, 0.0602952000, 0.1662621000, 0.4670330000", \
+                        "-0.001311700, 0.0008586000, 0.0066696000, 0.0219068000, 0.0606736000, 0.1659398000, 0.4690497000");
+                }
+            }
+            max_capacitance : 0.2874860000;
+            max_transition : 1.4978490000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.4444617000, 0.4495632000, 0.4608365000, 0.4833460000, 0.5292952000, 0.6377331000, 0.9376793000", \
+                        "0.4488485000, 0.4539771000, 0.4651830000, 0.4878793000, 0.5338599000, 0.6422071000, 0.9417331000", \
+                        "0.4601230000, 0.4652232000, 0.4764465000, 0.4991223000, 0.5451271000, 0.6534892000, 0.9528112000", \
+                        "0.4859582000, 0.4910385000, 0.5022719000, 0.5249329000, 0.5709566000, 0.6793287000, 0.9784070000", \
+                        "0.5352741000, 0.5403815000, 0.5516985000, 0.5742239000, 0.6201437000, 0.7285808000, 1.0285528000", \
+                        "0.6064396000, 0.6115426000, 0.6228331000, 0.6454580000, 0.6913936000, 0.7998152000, 1.0997454000", \
+                        "0.6984217000, 0.7034331000, 0.7147363000, 0.7373466000, 0.7832981000, 0.8917804000, 1.1909173000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.5091128000, 0.5150327000, 0.5290557000, 0.5608269000, 0.6431697000, 0.8766453000, 1.5522718000", \
+                        "0.5139127000, 0.5200007000, 0.5338728000, 0.5657935000, 0.6480682000, 0.8816763000, 1.5558392000", \
+                        "0.5248753000, 0.5309547000, 0.5450994000, 0.5769181000, 0.6591900000, 0.8932169000, 1.5676589000", \
+                        "0.5505368000, 0.5566826000, 0.5707882000, 0.6025039000, 0.6847399000, 0.9181192000, 1.5938323000", \
+                        "0.5984396000, 0.6045294000, 0.6186711000, 0.6504708000, 0.7327161000, 0.9665221000, 1.6406222000", \
+                        "0.6660443000, 0.6722374000, 0.6862178000, 0.7181171000, 0.8004733000, 1.0338498000, 1.7086877000", \
+                        "0.7494573000, 0.7556372000, 0.7695858000, 0.8013248000, 0.8834701000, 1.1173266000, 1.7924551000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0238601000, 0.0270532000, 0.0343562000, 0.0520093000, 0.0957604000, 0.2253816000, 0.6233958000", \
+                        "0.0238018000, 0.0275474000, 0.0346027000, 0.0518428000, 0.0957069000, 0.2256872000, 0.6194541000", \
+                        "0.0238221000, 0.0275916000, 0.0345926000, 0.0518765000, 0.0957375000, 0.2256754000, 0.6197134000", \
+                        "0.0238267000, 0.0276128000, 0.0345822000, 0.0518848000, 0.0957654000, 0.2255237000, 0.6225588000", \
+                        "0.0238146000, 0.0269382000, 0.0342992000, 0.0518862000, 0.0959524000, 0.2261832000, 0.6248491000", \
+                        "0.0239054000, 0.0270759000, 0.0343446000, 0.0517673000, 0.0957054000, 0.2256249000, 0.6251185000", \
+                        "0.0238029000, 0.0269242000, 0.0342466000, 0.0520645000, 0.0958811000, 0.2257965000, 0.6185886000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0265913000, 0.0315751000, 0.0434840000, 0.0793870000, 0.1921284000, 0.5250628000, 1.4920953000", \
+                        "0.0267268000, 0.0310952000, 0.0433873000, 0.0793394000, 0.1923410000, 0.5242928000, 1.4932569000", \
+                        "0.0266143000, 0.0310800000, 0.0434692000, 0.0794102000, 0.1918319000, 0.5254466000, 1.4978487000", \
+                        "0.0267036000, 0.0312000000, 0.0434627000, 0.0795286000, 0.1917921000, 0.5253613000, 1.4940023000", \
+                        "0.0266177000, 0.0311351000, 0.0434178000, 0.0793558000, 0.1921098000, 0.5251247000, 1.4974310000", \
+                        "0.0266827000, 0.0310882000, 0.0432751000, 0.0792681000, 0.1919655000, 0.5252783000, 1.4863309000", \
+                        "0.0266717000, 0.0314192000, 0.0434597000, 0.0794617000, 0.1920046000, 0.5245480000, 1.4976218000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.3591467000, 0.3653671000, 0.3793474000, 0.4111615000, 0.4932298000, 0.7263921000, 1.4025161000", \
+                        "0.3641279000, 0.3703992000, 0.3844144000, 0.4160639000, 0.4981908000, 0.7316658000, 1.4095377000", \
+                        "0.3769269000, 0.3832428000, 0.3972297000, 0.4288333000, 0.5107956000, 0.7448138000, 1.4188557000", \
+                        "0.4083950000, 0.4147346000, 0.4286925000, 0.4603372000, 0.5423095000, 0.7760879000, 1.4510124000", \
+                        "0.4836705000, 0.4898944000, 0.5038788000, 0.5356986000, 0.6177703000, 0.8511475000, 1.5289715000", \
+                        "0.6590759000, 0.6652836000, 0.6792784000, 0.7110895000, 0.7932759000, 1.0270262000, 1.7038765000", \
+                        "0.9979385000, 1.0045696000, 1.0191461000, 1.0512449000, 1.1336030000, 1.3667927000, 2.0443258000");
+                }
+                related_pin : "RESET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
+                    values("0.0272248000, 0.0318839000, 0.0435165000, 0.0793941000, 0.1915594000, 0.5247791000, 1.4946353000", \
+                        "0.0273734000, 0.0316127000, 0.0435538000, 0.0793460000, 0.1915622000, 0.5241099000, 1.4945482000", \
+                        "0.0272340000, 0.0315257000, 0.0436186000, 0.0793063000, 0.1917807000, 0.5243422000, 1.4886162000", \
+                        "0.0273313000, 0.0318344000, 0.0435523000, 0.0793500000, 0.1916519000, 0.5243906000, 1.4908457000", \
+                        "0.0272493000, 0.0319063000, 0.0435329000, 0.0794082000, 0.1915806000, 0.5255293000, 1.4930526000", \
+                        "0.0273581000, 0.0319904000, 0.0435985000, 0.0794389000, 0.1915477000, 0.5254915000, 1.4956003000", \
+                        "0.0303613000, 0.0341291000, 0.0455938000, 0.0803116000, 0.1919709000, 0.5246381000, 1.4951477000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0035500000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0034910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0047550000, 0.0047710000, 0.0048079000, 0.0048177000, 0.0048403000, 0.0048926000, 0.0050132000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.005487700, -0.005474000, -0.005442300, -0.005456800, -0.005490200, -0.005567400, -0.005745200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0036090000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.225050800, -0.080405600, 0.2752259000", \
+                        "-0.374578800, -0.239699200, 0.0829733000", \
+                        "-0.500718100, -0.371942000, -0.076125000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3010723000, 0.4359681000, 0.7028952000", \
+                        "0.4359518000, 0.5684063000, 0.8292298000", \
+                        "0.5547669000, 0.6835593000, 0.9370586000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2697491000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfrtn_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_1 */
+
+/* removed sky130_fd_sc_hd__dfrtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfrtp_4") {
+        leakage_power () {
+            value : 0.0134502000;
+            when : "RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0121215000;
+            when : "RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0115999000;
+            when : "!RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0078330000;
+            when : "RESET_B&CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0070350000;
+            when : "RESET_B&!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0126521000;
+            when : "!RESET_B&CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0122373000;
+            when : "RESET_B&!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0123980000;
+            when : "RESET_B&CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0117469000;
+            when : "!RESET_B&!CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0072844000;
+            when : "RESET_B&CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0085764000;
+            when : "RESET_B&!CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0120709000;
+            when : "!RESET_B&!CLK&D&!Q";
+        }
+        area : 28.777600000;
+        cell_footprint : "sky130_fd_sc_hd__dfrtp";
+        cell_leakage_power : 0.0107504600;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clear : "!RESET_B";
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017940000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0247727000, 0.0246491000, 0.0243641000, 0.0244335000, 0.0245934000, 0.0249623000, 0.0258124000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163760000, 0.0162584000, 0.0159874000, 0.0160035000, 0.0160404000, 0.0161257000, 0.0163223000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018700000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2104295000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1972474000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0019770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0019490000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0061312000, 0.0060923000, 0.0060027000, 0.0060655000, 0.0062100000, 0.0065434000, 0.0073120000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000826300, -0.000895100, -0.001053500, -0.001002700, -0.000885600, -0.000615600, 6.746164e-06");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0020060000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1069805000, 0.3212057000, 0.6280091000", \
+                        "-0.004705700, 0.2034160000, 0.5028952000", \
+                        "-0.090561800, 0.1126771000, 0.4084941000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0605937000, 0.1759421000, 0.2532533000", \
+                        "-0.013250700, 0.0898906000, 0.1537741000", \
+                        "-0.046616500, 0.0504212000, 0.1082012000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.035841800, -0.234197900, -0.484849000", \
+                        "0.0758444000, -0.122511700, -0.384149100", \
+                        "0.1543763000, -0.039097000, -0.300734400");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.135321000, -0.188218100", \
+                        "0.0343405000, -0.062697300, -0.113153000", \
+                        "0.0603822000, -0.032993500, -0.083449200");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0299695000, 0.0279332000, 0.0219585000, 0.0054091000, -0.050107800, -0.238744700, -0.853077600", \
+                        "0.0299944000, 0.0279360000, 0.0220453000, 0.0054443000, -0.050062500, -0.238642800, -0.853007100", \
+                        "0.0300718000, 0.0280837000, 0.0221125000, 0.0055147000, -0.049969800, -0.238632800, -0.852971800", \
+                        "0.0296403000, 0.0276000000, 0.0216699000, 0.0050146000, -0.050430700, -0.239058000, -0.853392800", \
+                        "0.0293773000, 0.0273888000, 0.0214311000, 0.0048182000, -0.050663500, -0.239325900, -0.853667900", \
+                        "0.0293486000, 0.0273638000, 0.0214234000, 0.0048024000, -0.050621400, -0.239305600, -0.853608600", \
+                        "0.0370078000, 0.0349519000, 0.0288566000, 0.0103630000, -0.048337400, -0.238551500, -0.852885200");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0347175000, 0.0365158000, 0.0424081000, 0.0616755000, 0.1218193000, 0.3131402000, 0.9192339000", \
+                        "0.0347044000, 0.0364778000, 0.0423421000, 0.0616478000, 0.1218964000, 0.3127662000, 0.9197844000", \
+                        "0.0348540000, 0.0366139000, 0.0425371000, 0.0618122000, 0.1220448000, 0.3116969000, 0.9213186000", \
+                        "0.0343887000, 0.0361597000, 0.0420608000, 0.0613458000, 0.1215771000, 0.3117331000, 0.9209227000", \
+                        "0.0339810000, 0.0357782000, 0.0416577000, 0.0609860000, 0.1211210000, 0.3114851000, 0.9245174000", \
+                        "0.0339275000, 0.0357173000, 0.0415838000, 0.0608545000, 0.1210585000, 0.3112393000, 0.9247014000", \
+                        "0.0364558000, 0.0382480000, 0.0440252000, 0.0627561000, 0.1219810000, 0.3120629000, 0.9195208000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
+                    values("0.0338738000, 0.0318746000, 0.0259394000, 0.0084556000, -0.046990300, -0.236451000, -0.850829300", \
+                        "0.0337973000, 0.0317783000, 0.0258342000, 0.0082823000, -0.047123000, -0.236609600, -0.851038100", \
+                        "0.0335307000, 0.0315160000, 0.0255985000, 0.0080700000, -0.047353400, -0.236766800, -0.851209200", \
+                        "0.0335631000, 0.0315661000, 0.0256394000, 0.0081175000, -0.047320100, -0.236794600, -0.851200100", \
+                        "0.0333516000, 0.0313601000, 0.0254519000, 0.0078388000, -0.047657200, -0.237097500, -0.851416500", \
+                        "0.0333880000, 0.0313433000, 0.0253175000, 0.0075635000, -0.048187700, -0.237681000, -0.851803800", \
+                        "0.0363885000, 0.0341078000, 0.0274030000, 0.0081871000, -0.048051600, -0.237911200, -0.852013100");
+                }
+                related_pin : "RESET_B";
+                rise_power ("scalar") {
+                    values("0.0000000000");
+                }
+            }
+            max_capacitance : 0.5511570000;
+            max_transition : 1.5066460000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.4278874000, 0.4333774000, 0.4478247000, 0.4798925000, 0.5433685000, 0.6765929000, 1.0213966000", \
+                        "0.4326854000, 0.4382105000, 0.4526138000, 0.4847573000, 0.5484528000, 0.6811902000, 1.0260175000", \
+                        "0.4436976000, 0.4491410000, 0.4635965000, 0.4956470000, 0.5594823000, 0.6923557000, 1.0370583000", \
+                        "0.4694380000, 0.4749215000, 0.4893426000, 0.5214400000, 0.5852945000, 0.7182639000, 1.0626089000", \
+                        "0.5172320000, 0.5226768000, 0.5371328000, 0.5691848000, 0.6330212000, 0.7658952000, 1.1105971000", \
+                        "0.5847856000, 0.5902963000, 0.6047497000, 0.6368280000, 0.7008215000, 0.8336002000, 1.1780426000", \
+                        "0.6682113000, 0.6737185000, 0.6881254000, 0.7202116000, 0.7840909000, 0.9170253000, 1.2613036000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.3572830000, 0.3631876000, 0.3786668000, 0.4143570000, 0.4970749000, 0.7233552000, 1.4371371000", \
+                        "0.3617534000, 0.3676758000, 0.3831430000, 0.4188302000, 0.5014407000, 0.7284192000, 1.4434673000", \
+                        "0.3729384000, 0.3788586000, 0.3944281000, 0.4301267000, 0.5128277000, 0.7393517000, 1.4570324000", \
+                        "0.3988477000, 0.4047517000, 0.4202051000, 0.4559296000, 0.5385452000, 0.7655248000, 1.4803054000", \
+                        "0.4482011000, 0.4541415000, 0.4696181000, 0.5053349000, 0.5880437000, 0.8144909000, 1.5291550000", \
+                        "0.5194781000, 0.5253744000, 0.5409241000, 0.5765455000, 0.6592902000, 0.8861345000, 1.5992812000", \
+                        "0.6114050000, 0.6173301000, 0.6328089000, 0.6685227000, 0.7512245000, 0.9777996000, 1.6934989000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0474066000, 0.0505642000, 0.0593778000, 0.0785944000, 0.1249593000, 0.2533997000, 0.6811097000", \
+                        "0.0473712000, 0.0505077000, 0.0591728000, 0.0788036000, 0.1247328000, 0.2530802000, 0.6820092000", \
+                        "0.0475022000, 0.0504711000, 0.0592665000, 0.0785787000, 0.1243856000, 0.2534271000, 0.6820495000", \
+                        "0.0473406000, 0.0505583000, 0.0588699000, 0.0784091000, 0.1246490000, 0.2531278000, 0.6811394000", \
+                        "0.0475053000, 0.0504704000, 0.0592665000, 0.0785743000, 0.1243768000, 0.2518425000, 0.6820486000", \
+                        "0.0474097000, 0.0506080000, 0.0591290000, 0.0795225000, 0.1255951000, 0.2530649000, 0.6814603000", \
+                        "0.0472337000, 0.0505736000, 0.0589243000, 0.0784877000, 0.1249136000, 0.2530928000, 0.6793409000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0386571000, 0.0426187000, 0.0541777000, 0.0841579000, 0.1750732000, 0.4824007000, 1.4984988000", \
+                        "0.0385766000, 0.0425911000, 0.0540197000, 0.0843471000, 0.1750427000, 0.4816626000, 1.5013254000", \
+                        "0.0386927000, 0.0428007000, 0.0540112000, 0.0844763000, 0.1749538000, 0.4823544000, 1.5066455000", \
+                        "0.0386188000, 0.0425989000, 0.0539225000, 0.0844749000, 0.1750902000, 0.4818559000, 1.5024750000", \
+                        "0.0385050000, 0.0426073000, 0.0540259000, 0.0843623000, 0.1750873000, 0.4822105000, 1.5017908000", \
+                        "0.0385929000, 0.0423346000, 0.0539535000, 0.0845894000, 0.1750607000, 0.4815070000, 1.5060172000", \
+                        "0.0386478000, 0.0425863000, 0.0540873000, 0.0842954000, 0.1750144000, 0.4808806000, 1.5000137000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.2726160000, 0.2784066000, 0.2938796000, 0.3284342000, 0.3968920000, 0.5207850000, 0.8564664000", \
+                        "0.2778151000, 0.2836729000, 0.2990899000, 0.3336493000, 0.4021628000, 0.5260182000, 0.8617533000", \
+                        "0.2909576000, 0.2967514000, 0.3122574000, 0.3467697000, 0.4152801000, 0.5391452000, 0.8749398000", \
+                        "0.3224239000, 0.3282123000, 0.3436771000, 0.3782305000, 0.4467129000, 0.5706233000, 0.9061194000", \
+                        "0.3973799000, 0.4032437000, 0.4186159000, 0.4531827000, 0.5216273000, 0.6455099000, 0.9812883000", \
+                        "0.5742110000, 0.5800556000, 0.5953559000, 0.6297496000, 0.6979708000, 0.8217648000, 1.1575997000", \
+                        "0.9124713000, 0.9197019000, 0.9385788000, 0.9806795000, 1.0600536000, 1.1860584000, 1.5207570000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
+                    values("0.0516987000, 0.0550003000, 0.0642348000, 0.0861671000, 0.1273802000, 0.2358921000, 0.6706659000", \
+                        "0.0519331000, 0.0553446000, 0.0648162000, 0.0867895000, 0.1271400000, 0.2362608000, 0.6703673000", \
+                        "0.0516173000, 0.0554186000, 0.0642397000, 0.0863431000, 0.1274503000, 0.2362114000, 0.6711176000", \
+                        "0.0517273000, 0.0550219000, 0.0642619000, 0.0861693000, 0.1272256000, 0.2363089000, 0.6703250000", \
+                        "0.0521422000, 0.0552000000, 0.0647128000, 0.0865526000, 0.1274985000, 0.2362744000, 0.6704258000", \
+                        "0.0526795000, 0.0559651000, 0.0653596000, 0.0872004000, 0.1275650000, 0.2362612000, 0.6702304000", \
+                        "0.0795400000, 0.0830614000, 0.0923383000, 0.1153623000, 0.1486292000, 0.2409714000, 0.6689861000");
+                }
+                related_pin : "RESET_B";
+                timing_sense : "positive_unate";
+                timing_type : "clear";
+            }
+        }
+        pin ("RESET_B") {
+            capacitance : 0.0035610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0034840000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0047825000, 0.0047938000, 0.0048198000, 0.0048295000, 0.0048520000, 0.0049039000, 0.0050236000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.005531400, -0.005506400, -0.005448700, -0.005463200, -0.005496700, -0.005573700, -0.005751000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0036380000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.217726600, -0.058432900, 0.3643372000", \
+                        "-0.368475300, -0.220168000, 0.1635397000", \
+                        "-0.494614600, -0.354852200, 0.0020000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3010723000, 0.4359681000, 0.7028952000", \
+                        "0.4359518000, 0.5684063000, 0.8292298000", \
+                        "0.5547669000, 0.6835593000, 0.9370586000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3213791000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "RESET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfsbp_1 */
+
+/* removed sky130_fd_sc_hd__dfsbp_2 */
+
+/* removed sky130_fd_sc_hd__dfstp_1 */
+
+/* removed sky130_fd_sc_hd__dfstp_2 */
+
+    cell ("sky130_fd_sc_hd__dfstp_4") {
+        leakage_power () {
+            value : 0.0137195000;
+            when : "CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0116592000;
+            when : "!CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0097567000;
+            when : "CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0101180000;
+            when : "CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0106245000;
+            when : "!CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0102729000;
+            when : "CLK&D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0130189000;
+            when : "!CLK&D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0117775000;
+            when : "CLK&!D&SET_B&!Q";
+        }
+        leakage_power () {
+            value : 0.0099070000;
+            when : "!CLK&!D&!SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0106342000;
+            when : "CLK&D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0099706000;
+            when : "!CLK&!D&SET_B&Q";
+        }
+        leakage_power () {
+            value : 0.0098873000;
+            when : "!CLK&D&!SET_B&Q";
+        }
+        area : 30.028800000;
+        cell_footprint : "sky130_fd_sc_hd__dfstp";
+        cell_leakage_power : 0.0109455200;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+            preset : "!SET_B";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017760000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016940000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0232686000, 0.0231860000, 0.0229957000, 0.0230470000, 0.0231652000, 0.0234380000, 0.0240668000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0172554000, 0.0171832000, 0.0170168000, 0.0170337000, 0.0170725000, 0.0171621000, 0.0173687000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018570000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1928533000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.3796002000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0023610000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022330000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0073635000, 0.0072883000, 0.0071149000, 0.0072660000, 0.0076142000, 0.0084169000, 0.0102673000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000121800, -0.000306100, -0.000731100, -0.000592000, -0.000271500, 0.0004675000, 0.0021711000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024880000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0642559000, 0.2418600000, 0.4510072000", \
+                        "-0.044988900, 0.1228496000, 0.3271139000", \
+                        "-0.124741500, 0.0357728000, 0.2339336000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0520488000, 0.1588522000, 0.2386048000", \
+                        "-0.014471400, 0.0825664000, 0.1513327000", \
+                        "-0.042954400, 0.0516419000, 0.1167461000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.006544900, -0.158514300, -0.322495400", \
+                        "0.1014792000, -0.050490200, -0.226678400", \
+                        "0.1726869000, 0.0243796000, -0.153029300");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.032179700, -0.130438200, -0.194321600", \
+                        "0.0282370000, -0.065138700, -0.127801400", \
+                        "0.0506165000, -0.041538400, -0.102980500");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("scalar") {
+                    values("0.0000000000");
+                }
+                related_pin : "SET_B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0771726000, 0.0791071000, 0.0852948000, 0.1057173000, 0.1706499000, 0.3821558000, 1.0761255000", \
+                        "0.0767719000, 0.0786339000, 0.0848185000, 0.1051668000, 0.1704811000, 0.3837635000, 1.0805878000", \
+                        "0.0763454000, 0.0781876000, 0.0845166000, 0.1049720000, 0.1701005000, 0.3831408000, 1.0793313000", \
+                        "0.0764802000, 0.0783781000, 0.0846643000, 0.1051368000, 0.1702346000, 0.3816382000, 1.0768124000", \
+                        "0.0768287000, 0.0786569000, 0.0849341000, 0.1052710000, 0.1704958000, 0.3819774000, 1.0698654000", \
+                        "0.0767680000, 0.0787412000, 0.0849465000, 0.1053341000, 0.1704507000, 0.3818011000, 1.0766770000", \
+                        "0.0781065000, 0.0799810000, 0.0859369000, 0.1059022000, 0.1718116000, 0.3822543000, 1.0767176000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0433419000, 0.0414575000, 0.0354933000, 0.0172290000, -0.044194500, -0.255907800, -0.956502100", \
+                        "0.0433064000, 0.0414535000, 0.0354470000, 0.0172435000, -0.044264500, -0.255912000, -0.956476800", \
+                        "0.0433349000, 0.0414240000, 0.0354909000, 0.0172677000, -0.044343000, -0.255923000, -0.956471900", \
+                        "0.0428454000, 0.0408816000, 0.0349298000, 0.0167896000, -0.044696700, -0.256338600, -0.956929200", \
+                        "0.0425830000, 0.0407013000, 0.0347944000, 0.0166174000, -0.044911900, -0.256617200, -0.957152800", \
+                        "0.0426975000, 0.0408423000, 0.0347541000, 0.0165389000, -0.044889200, -0.256485400, -0.957171000", \
+                        "0.0484822000, 0.0465386000, 0.0404538000, 0.0210941000, -0.043076500, -0.256025800, -0.956605100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
+                    values("0.0490495000, 0.0508989000, 0.0571053000, 0.0775204000, 0.1427179000, 0.3553499000, 1.0524642000", \
+                        "0.0489720000, 0.0507552000, 0.0570165000, 0.0774362000, 0.1427736000, 0.3535812000, 1.0476493000", \
+                        "0.0488576000, 0.0507099000, 0.0567990000, 0.0773255000, 0.1426942000, 0.3543849000, 1.0513180000", \
+                        "0.0485259000, 0.0503820000, 0.0564485000, 0.0770206000, 0.1418549000, 0.3534555000, 1.0520203000", \
+                        "0.0481845000, 0.0500706000, 0.0561003000, 0.0765821000, 0.1415671000, 0.3528701000, 1.0443870000", \
+                        "0.0479770000, 0.0497329000, 0.0559182000, 0.0764541000, 0.1415934000, 0.3544899000, 1.0461071000", \
+                        "0.0501290000, 0.0519469000, 0.0579307000, 0.0779220000, 0.1420391000, 0.3527414000, 1.0467670000");
+                }
+            }
+            max_capacitance : 0.6225680000;
+            max_transition : 1.5019950000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.4284925000, 0.4321941000, 0.4423047000, 0.4659346000, 0.5151804000, 0.6272079000, 0.9447566000", \
+                        "0.4331685000, 0.4368633000, 0.4470976000, 0.4707306000, 0.5202038000, 0.6319299000, 0.9497633000", \
+                        "0.4443255000, 0.4480489000, 0.4582560000, 0.4819148000, 0.5314576000, 0.6430140000, 0.9609673000", \
+                        "0.4699173000, 0.4736653000, 0.4838367000, 0.5076669000, 0.5568392000, 0.6686635000, 0.9860633000", \
+                        "0.5179819000, 0.5217022000, 0.5319227000, 0.5555842000, 0.6050702000, 0.7166566000, 1.0342954000", \
+                        "0.5865703000, 0.5903557000, 0.6005407000, 0.6242005000, 0.6734555000, 0.7853897000, 1.1027536000", \
+                        "0.6709817000, 0.6747072000, 0.6848607000, 0.7085558000, 0.7581344000, 0.8697149000, 1.1872144000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.5717622000, 0.5765045000, 0.5893120000, 0.6190649000, 0.6924932000, 0.9136427000, 1.6341102000", \
+                        "0.5762104000, 0.5809723000, 0.5936487000, 0.6235505000, 0.6973653000, 0.9176381000, 1.6383025000", \
+                        "0.5874511000, 0.5922746000, 0.6048618000, 0.6349319000, 0.7086817000, 0.9293988000, 1.6504240000", \
+                        "0.6123801000, 0.6172082000, 0.6297872000, 0.6598624000, 0.7334103000, 0.9536896000, 1.6746365000", \
+                        "0.6597666000, 0.6645022000, 0.6770449000, 0.7070663000, 0.7806367000, 1.0008995000, 1.7207104000", \
+                        "0.7290220000, 0.7337266000, 0.7466210000, 0.7763912000, 0.8503242000, 1.0712047000, 1.7929405000", \
+                        "0.8186149000, 0.8233222000, 0.8360287000, 0.8660978000, 0.9398573000, 1.1600250000, 1.8817088000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0360117000, 0.0380370000, 0.0446432000, 0.0593286000, 0.0987997000, 0.2173298000, 0.6311101000", \
+                        "0.0360349000, 0.0381436000, 0.0443646000, 0.0594668000, 0.0984293000, 0.2170178000, 0.6310075000", \
+                        "0.0360619000, 0.0383774000, 0.0443498000, 0.0601324000, 0.0981054000, 0.2168160000, 0.6312500000", \
+                        "0.0363050000, 0.0384261000, 0.0446557000, 0.0594409000, 0.0990135000, 0.2171381000, 0.6274269000", \
+                        "0.0357993000, 0.0381389000, 0.0443522000, 0.0601772000, 0.0991845000, 0.2174672000, 0.6321128000", \
+                        "0.0361063000, 0.0383930000, 0.0441314000, 0.0593441000, 0.0991100000, 0.2170476000, 0.6283670000", \
+                        "0.0360744000, 0.0383484000, 0.0445071000, 0.0601710000, 0.0987109000, 0.2174121000, 0.6270097000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0390928000, 0.0420609000, 0.0507045000, 0.0757399000, 0.1620567000, 0.4683606000, 1.4944875000", \
+                        "0.0389755000, 0.0419461000, 0.0507103000, 0.0757944000, 0.1619539000, 0.4680689000, 1.4927245000", \
+                        "0.0393475000, 0.0418872000, 0.0504232000, 0.0758617000, 0.1619926000, 0.4675559000, 1.4954976000", \
+                        "0.0393080000, 0.0420011000, 0.0504184000, 0.0758780000, 0.1617079000, 0.4678594000, 1.4947664000", \
+                        "0.0389229000, 0.0420632000, 0.0505382000, 0.0761564000, 0.1621217000, 0.4676407000, 1.4945839000", \
+                        "0.0391077000, 0.0421535000, 0.0508203000, 0.0759162000, 0.1619707000, 0.4688219000, 1.4941088000", \
+                        "0.0392064000, 0.0423718000, 0.0504592000, 0.0758573000, 0.1620008000, 0.4679588000, 1.4958811000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+            timing () {
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.3906759000, 0.3947574000, 0.4059705000, 0.4337536000, 0.5061108000, 0.7268277000, 1.4478989000", \
+                        "0.3951418000, 0.3989618000, 0.4101809000, 0.4381290000, 0.5106534000, 0.7309117000, 1.4517330000", \
+                        "0.4075777000, 0.4115141000, 0.4227912000, 0.4506797000, 0.5231020000, 0.7436625000, 1.4648177000", \
+                        "0.4401818000, 0.4444075000, 0.4556580000, 0.4835905000, 0.5559058000, 0.7770359000, 1.4968637000", \
+                        "0.5169347000, 0.5207990000, 0.5319921000, 0.5598734000, 0.6324171000, 0.8536604000, 1.5730965000", \
+                        "0.6793061000, 0.6833747000, 0.6945112000, 0.7224204000, 0.7948366000, 1.0161770000, 1.7356486000", \
+                        "0.9691504000, 0.9733365000, 0.9845822000, 1.0126103000, 1.0850896000, 1.3052686000, 2.0249802000");
+                }
+                related_pin : "SET_B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
+                    values("0.0318401000, 0.0349739000, 0.0437718000, 0.0700532000, 0.1587046000, 0.4690467000, 1.4937702000", \
+                        "0.0316945000, 0.0347259000, 0.0433704000, 0.0700053000, 0.1591753000, 0.4695433000, 1.4985602000", \
+                        "0.0316039000, 0.0346788000, 0.0435802000, 0.0700766000, 0.1592733000, 0.4703238000, 1.4987888000", \
+                        "0.0318493000, 0.0348725000, 0.0434566000, 0.0700030000, 0.1593679000, 0.4688346000, 1.4973740000", \
+                        "0.0316487000, 0.0348440000, 0.0436162000, 0.0702510000, 0.1590421000, 0.4695487000, 1.4927148000", \
+                        "0.0317936000, 0.0347784000, 0.0436296000, 0.0700047000, 0.1593451000, 0.4698462000, 1.4976833000", \
+                        "0.0322716000, 0.0355918000, 0.0441644000, 0.0701699000, 0.1592196000, 0.4669418000, 1.5019950000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "preset";
+            }
+        }
+        pin ("SET_B") {
+            capacitance : 0.0033590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0033000000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0051231000, 0.0051557000, 0.0052307000, 0.0052374000, 0.0052529000, 0.0052886000, 0.0053710000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0012562000, 0.0012139000, 0.0011164000, 0.0011072000, 0.0010859000, 0.0010369000, 0.0009240000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0034190000;
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.131056600, -0.082847000, -0.103192100", \
+                        "-0.268377600, -0.218947300, -0.238071600", \
+                        "-0.374985700, -0.325555300, -0.341017600");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "recovery_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1484844000, 0.1051576000, 0.1303854000", \
+                        "0.2833639000, 0.2375957000, 0.2603821000", \
+                        "0.3887513000, 0.3429831000, 0.3621074000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "removal_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2400893000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "SET_B";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxbp_1 */
+
+    cell ("sky130_fd_sc_hd__dfxbp_2") {
+        leakage_power () {
+            value : 0.0119064000;
+            when : "CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0108319000;
+            when : "!CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0134870000;
+            when : "CLK&!D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0131686000;
+            when : "!CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0120101000;
+            when : "!CLK&D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0108270000;
+            when : "CLK&!D&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0130602000;
+            when : "CLK&D&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0134159000;
+            when : "!CLK&!D&Q&!Q_N";
+        }
+        area : 26.275200000;
+        cell_footprint : "sky130_fd_sc_hd__dfxbp";
+        cell_leakage_power : 0.0123383900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017800000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016950000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0226628000, 0.0225479000, 0.0222832000, 0.0223632000, 0.0225475000, 0.0229725000, 0.0239523000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0178197000, 0.0176966000, 0.0174129000, 0.0174501000, 0.0175357000, 0.0177334000, 0.0181890000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018650000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2093310000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1851638000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0016770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0055389000, 0.0054574000, 0.0052694000, 0.0053319000, 0.0054760000, 0.0058082000, 0.0065740000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000455300, -0.000515100, -0.000652700, -0.000604900, -0.000494700, -0.000240400, 0.0003457000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016730000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1045391000, 0.3199850000, 0.6219056000", \
+                        "-0.008367800, 0.2009746000, 0.4992331000", \
+                        "-0.096665400, 0.1090150000, 0.4048320000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0544902000, 0.1710592000, 0.2544740000", \
+                        "-0.015692100, 0.0862285000, 0.1513327000", \
+                        "-0.045395800, 0.0516419000, 0.1118633000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.040724600, -0.242742800, -0.500718100", \
+                        "0.0685202000, -0.133498000, -0.403680400", \
+                        "0.1446107000, -0.054966100, -0.327589900");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.028517600, -0.130438200, -0.184556000", \
+                        "0.0355612000, -0.060255900, -0.114373700", \
+                        "0.0579408000, -0.035434900, -0.089552700");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
+                    values("-0.005746100, -0.000554700, 0.0101077000, 0.0217896000, 0.0092629000, -0.082375300, -0.385012600", \
+                        "-0.005684500, -0.000511000, 0.0101369000, 0.0218088000, 0.0093105000, -0.082316500, -0.384993000", \
+                        "-0.005549000, -0.000380900, 0.0102170000, 0.0218235000, 0.0092582000, -0.082443800, -0.385156400", \
+                        "-0.005590000, -0.000451400, 0.0100912000, 0.0216018000, 0.0088505000, -0.082874000, -0.385586500", \
+                        "-0.005643700, -0.000520200, 0.0099935000, 0.0214542000, 0.0086827000, -0.083102900, -0.385870200", \
+                        "-0.005740500, -0.000604900, 0.0099037000, 0.0213946000, 0.0086319000, -0.083117700, -0.385877900", \
+                        "-0.005914100, -0.000697700, 0.0100129000, 0.0218508000, 0.0094369000, -0.082497700, -0.385270600");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
+                    values("-0.007368300, -0.004603000, 0.0026009000, 0.0200155000, 0.0613637000, 0.1692873000, 0.4763728000", \
+                        "-0.007316400, -0.004562000, 0.0025962000, 0.0199795000, 0.0613405000, 0.1692190000, 0.4794763000", \
+                        "-0.007182300, -0.004442600, 0.0026952000, 0.0200202000, 0.0612611000, 0.1696448000, 0.4776952000", \
+                        "-0.007216800, -0.004504800, 0.0025657000, 0.0197987000, 0.0609143000, 0.1690306000, 0.4775162000", \
+                        "-0.007271500, -0.004578300, 0.0024493000, 0.0196147000, 0.0606326000, 0.1693911000, 0.4748742000", \
+                        "-0.007370500, -0.004678500, 0.0023446000, 0.0195079000, 0.0606021000, 0.1685264000, 0.4780004000", \
+                        "-0.007539900, -0.004748600, 0.0024999000, 0.0199316000, 0.0609999000, 0.1692889000, 0.4788320000");
+                }
+            }
+            max_capacitance : 0.2920630000;
+            max_transition : 1.5026260000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.2939337000, 0.2991456000, 0.3105704000, 0.3338237000, 0.3828770000, 0.5017026000, 0.8331890000", \
+                        "0.2986641000, 0.3038158000, 0.3152867000, 0.3383178000, 0.3875935000, 0.5064137000, 0.8379199000", \
+                        "0.3097049000, 0.3148803000, 0.3263292000, 0.3496073000, 0.3986365000, 0.5174657000, 0.8483946000", \
+                        "0.3354556000, 0.3405949000, 0.3520446000, 0.3752912000, 0.4243495000, 0.5431761000, 0.8750231000", \
+                        "0.3825875000, 0.3877902000, 0.3992241000, 0.4224771000, 0.4715326000, 0.5903603000, 0.9218285000", \
+                        "0.4494993000, 0.4546689000, 0.4660974000, 0.4893612000, 0.5384150000, 0.6572429000, 0.9885449000", \
+                        "0.5320565000, 0.5372565000, 0.5487030000, 0.5719559000, 0.6210189000, 0.7398491000, 1.0713375000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.2915415000, 0.2974732000, 0.3111724000, 0.3428633000, 0.4263008000, 0.6614584000, 1.3434092000", \
+                        "0.2963151000, 0.3021714000, 0.3158973000, 0.3476527000, 0.4310308000, 0.6660844000, 1.3439048000", \
+                        "0.3070964000, 0.3130338000, 0.3267790000, 0.3584773000, 0.4419116000, 0.6769550000, 1.3543232000", \
+                        "0.3331387000, 0.3390565000, 0.3527865000, 0.3845348000, 0.4678624000, 0.7029640000, 1.3811806000", \
+                        "0.3814105000, 0.3873324000, 0.4010140000, 0.4327500000, 0.5161817000, 0.7511866000, 1.4292345000", \
+                        "0.4523299000, 0.4582610000, 0.4719295000, 0.5036253000, 0.5871542000, 0.8222781000, 1.4993913000", \
+                        "0.5449576000, 0.5509430000, 0.5646837000, 0.5963235000, 0.6797641000, 0.9150148000, 1.5924395000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.0198373000, 0.0231327000, 0.0309251000, 0.0498398000, 0.0997312000, 0.2437546000, 0.6823145000", \
+                        "0.0196640000, 0.0230318000, 0.0308999000, 0.0501471000, 0.0995483000, 0.2437247000, 0.6822563000", \
+                        "0.0198109000, 0.0230543000, 0.0311045000, 0.0501161000, 0.0994619000, 0.2439108000, 0.6830778000", \
+                        "0.0196387000, 0.0230427000, 0.0309121000, 0.0502598000, 0.0996850000, 0.2441380000, 0.6856588000", \
+                        "0.0198104000, 0.0231680000, 0.0309211000, 0.0498269000, 0.0997233000, 0.2437237000, 0.6874989000", \
+                        "0.0197163000, 0.0231023000, 0.0310053000, 0.0501585000, 0.0994668000, 0.2441724000, 0.6866173000", \
+                        "0.0198658000, 0.0230767000, 0.0310097000, 0.0502092000, 0.0994081000, 0.2439696000, 0.6793464000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
+                    values("0.0228861000, 0.0274590000, 0.0402981000, 0.0773530000, 0.1918802000, 0.5256561000, 1.5026261000", \
+                        "0.0227768000, 0.0274077000, 0.0401665000, 0.0773535000, 0.1917161000, 0.5254573000, 1.4945482000", \
+                        "0.0229023000, 0.0274904000, 0.0402333000, 0.0773668000, 0.1916745000, 0.5253888000, 1.4970435000", \
+                        "0.0229005000, 0.0273991000, 0.0401264000, 0.0773527000, 0.1912976000, 0.5252174000, 1.4933316000", \
+                        "0.0228419000, 0.0274808000, 0.0401537000, 0.0773541000, 0.1916395000, 0.5240703000, 1.4943603000", \
+                        "0.0229576000, 0.0276408000, 0.0402595000, 0.0774330000, 0.1914362000, 0.5244811000, 1.5008912000", \
+                        "0.0230037000, 0.0275850000, 0.0402911000, 0.0775002000, 0.1916715000, 0.5243518000, 1.5016002000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
+                    values("-0.005990500, -0.001073900, 0.0091447000, 0.0200345000, 0.0052049000, -0.096170000, -0.431829400", \
+                        "-0.005934900, -0.001032300, 0.0091507000, 0.0200077000, 0.0051357000, -0.096286600, -0.431961400", \
+                        "-0.005803400, -0.000913600, 0.0092290000, 0.0200410000, 0.0051192000, -0.096315400, -0.432047400", \
+                        "-0.005832500, -0.000963100, 0.0091367000, 0.0198648000, 0.0048579000, -0.096618000, -0.432330600", \
+                        "-0.005890700, -0.001045800, 0.0090095000, 0.0196516000, 0.0045285000, -0.097008300, -0.432747400", \
+                        "-0.005988500, -0.001145400, 0.0089047000, 0.0195553000, 0.0044387000, -0.097105100, -0.432807400", \
+                        "-0.006169300, -0.001241900, 0.0089971000, 0.0199684000, 0.0048968000, -0.096703500, -0.432337100");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
+                    values("-0.007361000, -0.004489900, 0.0031840000, 0.0218063000, 0.0663540000, 0.1856135000, 0.5269221000", \
+                        "-0.007303200, -0.004441400, 0.0032150000, 0.0218290000, 0.0663637000, 0.1856424000, 0.5271029000", \
+                        "-0.007166700, -0.004313200, 0.0033147000, 0.0218378000, 0.0662840000, 0.1853440000, 0.5282354000", \
+                        "-0.007204100, -0.004380500, 0.0031588000, 0.0216322000, 0.0659281000, 0.1852988000, 0.5277705000", \
+                        "-0.007256300, -0.004450300, 0.0030777000, 0.0214638000, 0.0657698000, 0.1846813000, 0.5262124000", \
+                        "-0.007352400, -0.004544500, 0.0029827000, 0.0213871000, 0.0656759000, 0.1838250000, 0.5251569000", \
+                        "-0.007506200, -0.004581600, 0.0031514000, 0.0218117000, 0.0661818000, 0.1847365000, 0.5233616000");
+                }
+            }
+            max_capacitance : 0.3204440000;
+            max_transition : 1.5000040000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.3731912000, 0.3778953000, 0.3884605000, 0.4101524000, 0.4564214000, 0.5735504000, 0.9111091000", \
+                        "0.3779075000, 0.3826397000, 0.3931673000, 0.4148214000, 0.4611629000, 0.5782286000, 0.9153185000", \
+                        "0.3890191000, 0.3937645000, 0.4042767000, 0.4259400000, 0.4721960000, 0.5893718000, 0.9270197000", \
+                        "0.4143366000, 0.4190992000, 0.4296436000, 0.4512953000, 0.4975888000, 0.6145358000, 0.9511834000", \
+                        "0.4628384000, 0.4675429000, 0.4781117000, 0.4997537000, 0.5460413000, 0.6631465000, 1.0007911000", \
+                        "0.5338146000, 0.5385105000, 0.5489842000, 0.5705450000, 0.6168849000, 0.7339707000, 1.0713894000", \
+                        "0.6267308000, 0.6315005000, 0.6420655000, 0.6636441000, 0.7099950000, 0.8271099000, 1.1647078000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.3774744000, 0.3827781000, 0.3953425000, 0.4250546000, 0.5052750000, 0.7373323000, 1.4205001000", \
+                        "0.3821422000, 0.3874530000, 0.3999971000, 0.4298188000, 0.5100953000, 0.7423455000, 1.4252451000", \
+                        "0.3932538000, 0.3985847000, 0.4111124000, 0.4408532000, 0.5210570000, 0.7531054000, 1.4362779000", \
+                        "0.4189314000, 0.4242763000, 0.4366814000, 0.4665681000, 0.5467103000, 0.7787018000, 1.4618713000", \
+                        "0.4660918000, 0.4714143000, 0.4839641000, 0.5136814000, 0.5937965000, 0.8259054000, 1.5089726000", \
+                        "0.5329846000, 0.5382764000, 0.5507867000, 0.5806411000, 0.6607120000, 0.8930132000, 1.5757120000", \
+                        "0.6156549000, 0.6209541000, 0.6335176000, 0.6631512000, 0.7434952000, 0.9765194000, 1.6592211000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.0187029000, 0.0218779000, 0.0289293000, 0.0468728000, 0.0953051000, 0.2454093000, 0.7025816000", \
+                        "0.0186896000, 0.0216368000, 0.0288264000, 0.0470670000, 0.0956636000, 0.2444467000, 0.7022690000", \
+                        "0.0187617000, 0.0216733000, 0.0288741000, 0.0470651000, 0.0957222000, 0.2452724000, 0.7025432000", \
+                        "0.0187542000, 0.0216799000, 0.0288893000, 0.0470978000, 0.0954611000, 0.2446547000, 0.7032816000", \
+                        "0.0185553000, 0.0215163000, 0.0293217000, 0.0471148000, 0.0956309000, 0.2452111000, 0.6978825000", \
+                        "0.0185762000, 0.0215259000, 0.0290220000, 0.0470565000, 0.0959132000, 0.2451253000, 0.7007563000", \
+                        "0.0185898000, 0.0215943000, 0.0288889000, 0.0471331000, 0.0955531000, 0.2451730000, 0.6958025000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
+                    values("0.0198138000, 0.0241140000, 0.0360235000, 0.0717001000, 0.1830411000, 0.5148825000, 1.4977699000", \
+                        "0.0199296000, 0.0240958000, 0.0360666000, 0.0716995000, 0.1830006000, 0.5160203000, 1.4997453000", \
+                        "0.0196496000, 0.0239790000, 0.0359694000, 0.0717413000, 0.1830314000, 0.5149990000, 1.4967306000", \
+                        "0.0198208000, 0.0240416000, 0.0359606000, 0.0717954000, 0.1826920000, 0.5155061000, 1.4979881000", \
+                        "0.0198502000, 0.0241582000, 0.0360400000, 0.0716244000, 0.1830282000, 0.5155956000, 1.4983632000", \
+                        "0.0196348000, 0.0240388000, 0.0360382000, 0.0717838000, 0.1828325000, 0.5159727000, 1.5000038000", \
+                        "0.0197884000, 0.0241350000, 0.0360132000, 0.0718167000, 0.1828389000, 0.5155632000, 1.4953894000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dfxtp_1 */
+
+/* removed sky130_fd_sc_hd__dfxtp_2 */
+
+    cell ("sky130_fd_sc_hd__dfxtp_4") {
+        leakage_power () {
+            value : 0.0083293000;
+            when : "CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0080413000;
+            when : "!CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0100255000;
+            when : "!CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0088792000;
+            when : "CLK&!D&!Q";
+        }
+        leakage_power () {
+            value : 0.0079211000;
+            when : "CLK&D&Q";
+        }
+        leakage_power () {
+            value : 0.0082837000;
+            when : "!CLK&!D&Q";
+        }
+        leakage_power () {
+            value : 0.0099385000;
+            when : "CLK&D&!Q";
+        }
+        leakage_power () {
+            value : 0.0088461000;
+            when : "!CLK&!D&!Q";
+        }
+        area : 23.772800000;
+        cell_footprint : "sky130_fd_sc_hd__dfxtp";
+        cell_leakage_power : 0.0087830730;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        ff ("IQ","IQ_N") {
+            clocked_on : "CLK";
+            next_state : "D";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("CLK") {
+            capacitance : 0.0017750000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0017010000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0215156000, 0.0214006000, 0.0211353000, 0.0212090000, 0.0213791000, 0.0217709000, 0.0226744000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163159000, 0.0161908000, 0.0159023000, 0.0159198000, 0.0159602000, 0.0160533000, 0.0162681000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018480000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.2016414000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "CLK";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1895578000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("D") {
+            capacitance : 0.0015530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0049067000, 0.0048332000, 0.0046638000, 0.0047201000, 0.0048498000, 0.0051487000, 0.0058380000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("4.5862743e-06, -5.9611988e-05, -0.000207600, -0.000158700, -4.6108282e-05, 0.0002135000, 0.0008119000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015970000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1045391000, 0.3187643000, 0.6145814000", \
+                        "-0.005926400, 0.2021953000, 0.4943503000", \
+                        "-0.085679000, 0.1187806000, 0.4060527000");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0569316000, 0.1747214000, 0.2569154000", \
+                        "-0.014471400, 0.0886699000, 0.1562155000", \
+                        "-0.042954400, 0.0553040000, 0.1167461000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.038283200, -0.237860000, -0.486069600", \
+                        "0.0648581000, -0.134718700, -0.396356100", \
+                        "0.1336244000, -0.062290400, -0.327589900");
+                }
+                related_pin : "CLK";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.028517600, -0.131658800, -0.185776700", \
+                        "0.0343405000, -0.061476600, -0.115594400", \
+                        "0.0567201000, -0.037876300, -0.091994100");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
+                    values("0.0325915000, 0.0308688000, 0.0255683000, 0.0092707000, -0.046987400, -0.235887600, -0.845214800", \
+                        "0.0325283000, 0.0309160000, 0.0255453000, 0.0092825000, -0.046977600, -0.235860400, -0.845192200", \
+                        "0.0326638000, 0.0309763000, 0.0256880000, 0.0094334000, -0.046863500, -0.235767900, -0.845093000", \
+                        "0.0322145000, 0.0304730000, 0.0252135000, 0.0089116000, -0.047353200, -0.236251200, -0.845550100", \
+                        "0.0319152000, 0.0302867000, 0.0249496000, 0.0086724000, -0.047567300, -0.236471200, -0.845805000", \
+                        "0.0320546000, 0.0303536000, 0.0249884000, 0.0088424000, -0.047508100, -0.236396500, -0.845744200", \
+                        "0.0368505000, 0.0351573000, 0.0297109000, 0.0120326000, -0.046139000, -0.235628000, -0.845086200");
+                }
+                related_pin : "CLK";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
+                    values("0.0360772000, 0.0380032000, 0.0440492000, 0.0631591000, 0.1223195000, 0.3099228000, 0.9141283000", \
+                        "0.0360516000, 0.0380029000, 0.0440639000, 0.0631722000, 0.1223545000, 0.3099230000, 0.9194788000", \
+                        "0.0362177000, 0.0381220000, 0.0441914000, 0.0632922000, 0.1224452000, 0.3101700000, 0.9170330000", \
+                        "0.0357324000, 0.0376431000, 0.0437066000, 0.0628049000, 0.1219554000, 0.3095204000, 0.9134385000", \
+                        "0.0353261000, 0.0372813000, 0.0433476000, 0.0624429000, 0.1215846000, 0.3090473000, 0.9103406000", \
+                        "0.0352455000, 0.0371233000, 0.0432971000, 0.0624707000, 0.1215812000, 0.3087925000, 0.9140495000", \
+                        "0.0371598000, 0.0389855000, 0.0448687000, 0.0636276000, 0.1229831000, 0.3110095000, 0.9114014000");
+                }
+            }
+            max_capacitance : 0.5465800000;
+            max_transition : 1.5072410000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.3049624000, 0.3085415000, 0.3178988000, 0.3386857000, 0.3829389000, 0.4904024000, 0.8119742000", \
+                        "0.3096234000, 0.3132014000, 0.3225409000, 0.3434068000, 0.3876060000, 0.4950955000, 0.8173437000", \
+                        "0.3208047000, 0.3243626000, 0.3336722000, 0.3545471000, 0.3986181000, 0.5062199000, 0.8284514000", \
+                        "0.3464531000, 0.3500527000, 0.3594342000, 0.3802832000, 0.4244696000, 0.5319589000, 0.8549259000", \
+                        "0.3926958000, 0.3962367000, 0.4055965000, 0.4264785000, 0.4706843000, 0.5781396000, 0.9009751000", \
+                        "0.4571456000, 0.4606838000, 0.4700447000, 0.4909137000, 0.5352387000, 0.6426148000, 0.9648238000", \
+                        "0.5352493000, 0.5388660000, 0.5481988000, 0.5690108000, 0.6132437000, 0.7207601000, 1.0424230000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.3036754000, 0.3076816000, 0.3183963000, 0.3450651000, 0.4173999000, 0.6421093000, 1.3662941000", \
+                        "0.3082892000, 0.3123284000, 0.3230377000, 0.3496917000, 0.4220244000, 0.6467577000, 1.3692040000", \
+                        "0.3193385000, 0.3232853000, 0.3340500000, 0.3607293000, 0.4330705000, 0.6577638000, 1.3819251000", \
+                        "0.3449731000, 0.3489183000, 0.3596802000, 0.3863653000, 0.4587125000, 0.6833926000, 1.4076605000", \
+                        "0.3922779000, 0.3963088000, 0.4070815000, 0.4337645000, 0.5061230000, 0.7307539000, 1.4492644000", \
+                        "0.4599940000, 0.4640512000, 0.4748102000, 0.5014663000, 0.5737781000, 0.7984674000, 1.5172652000", \
+                        "0.5475814000, 0.5515320000, 0.5623177000, 0.5890354000, 0.6614193000, 0.8859746000, 1.6055151000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.0248724000, 0.0270659000, 0.0328093000, 0.0472901000, 0.0868302000, 0.2110268000, 0.6402268000", \
+                        "0.0249009000, 0.0271889000, 0.0327922000, 0.0474050000, 0.0870755000, 0.2106803000, 0.6343376000", \
+                        "0.0248607000, 0.0270856000, 0.0328353000, 0.0474125000, 0.0868028000, 0.2105795000, 0.6343514000", \
+                        "0.0251094000, 0.0271272000, 0.0330610000, 0.0477060000, 0.0870384000, 0.2107602000, 0.6364916000", \
+                        "0.0249102000, 0.0271312000, 0.0330175000, 0.0473735000, 0.0870776000, 0.2107678000, 0.6408650000", \
+                        "0.0249287000, 0.0271549000, 0.0328394000, 0.0476896000, 0.0862904000, 0.2106304000, 0.6355685000", \
+                        "0.0251143000, 0.0271376000, 0.0330894000, 0.0473594000, 0.0869250000, 0.2105509000, 0.6342062000");
+                }
+                related_pin : "CLK";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
+                    values("0.0253058000, 0.0285074000, 0.0372656000, 0.0650833000, 0.1600722000, 0.4768411000, 1.5051608000", \
+                        "0.0255086000, 0.0283707000, 0.0372961000, 0.0650920000, 0.1599277000, 0.4764398000, 1.5072412000", \
+                        "0.0252954000, 0.0284443000, 0.0372562000, 0.0650629000, 0.1600551000, 0.4769070000, 1.5031556000", \
+                        "0.0252985000, 0.0284381000, 0.0372488000, 0.0650498000, 0.1600406000, 0.4769751000, 1.5048108000", \
+                        "0.0255825000, 0.0284138000, 0.0373266000, 0.0650019000, 0.1599855000, 0.4772211000, 1.4988961000", \
+                        "0.0255681000, 0.0284190000, 0.0372491000, 0.0650777000, 0.1597754000, 0.4765168000, 1.5062674000", \
+                        "0.0254853000, 0.0285354000, 0.0374050000, 0.0651745000, 0.1598625000, 0.4772151000, 1.5028120000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__diode_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_1 */
+
+/* removed sky130_fd_sc_hd__dlclkp_2 */
+
+/* removed sky130_fd_sc_hd__dlclkp_4 */
+
+/* removed sky130_fd_sc_hd__dlrbn_1 */
+
+/* removed sky130_fd_sc_hd__dlrbn_2 */
+
+/* removed sky130_fd_sc_hd__dlrbp_1 */
+
+/* removed sky130_fd_sc_hd__dlrbp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_1 */
+
+/* removed sky130_fd_sc_hd__dlrtn_2 */
+
+/* removed sky130_fd_sc_hd__dlrtn_4 */
+
+/* removed sky130_fd_sc_hd__dlrtp_1 */
+
+/* removed sky130_fd_sc_hd__dlrtp_2 */
+
+/* removed sky130_fd_sc_hd__dlrtp_4 */
+
+    cell ("sky130_fd_sc_hd__dlxbn_1") {
+        leakage_power () {
+            value : 0.0145786000;
+            when : "D&!GATE_N&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0099651000;
+            when : "!D&GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0100455000;
+            when : "!D&!GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0144845000;
+            when : "D&GATE_N&Q&!Q_N";
+        }
+        leakage_power () {
+            value : 0.0114278000;
+            when : "D&GATE_N&!Q&Q_N";
+        }
+        leakage_power () {
+            value : 0.0136483000;
+            when : "!D&GATE_N&Q&!Q_N";
+        }
+        area : 18.768000000;
+        cell_footprint : "sky130_fd_sc_hd__dlxbn";
+        cell_leakage_power : 0.0123583100;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        latch ("IQ","IQ_N") {
+            data_in : "D";
+            enable : "!GATE_N";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("D") {
+            capacitance : 0.0017900000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016970000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078340000, 0.0077200000, 0.0074572000, 0.0075363000, 0.0077189000, 0.0081398000, 0.0091100000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0026870000, 0.0025841000, 0.0023468000, 0.0024067000, 0.0025447000, 0.0028631000, 0.0035968000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018820000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1130840000, 0.2735983000, 0.4436829000", \
+                        "0.0758607000, 0.2339336000, 0.4003561000", \
+                        "0.0974264000, 0.2481751000, 0.4121562000");
+                }
+                related_pin : "GATE_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1704570000, 0.2528463000, 0.2849915000", \
+                        "0.0526673000, 0.1362773000, 0.1684225000", \
+                        "-0.017319700, 0.0662904000, 0.0984355000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.099318400, -0.262274100, -0.434800100", \
+                        "-0.053550100, -0.215285200, -0.385369800", \
+                        "-0.051922500, -0.212436800, -0.382521500");
+                }
+                related_pin : "GATE_N";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.156691400, -0.241522100, -0.274888000", \
+                        "-0.040122400, -0.124953100, -0.158319000", \
+                        "0.0298646000, -0.056186800, -0.088332000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_rising";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("GATE_N") {
+            capacitance : 0.0017640000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0173096000, 0.0171801000, 0.0168816000, 0.0169314000, 0.0170459000, 0.0173102000, 0.0179195000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0102563000, 0.0101642000, 0.0099518000, 0.0099915000, 0.0100829000, 0.0102936000, 0.0107795000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018380000;
+            timing () {
+                fall_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1434204000, 0.8333333000, 2.5000000000");
+                }
+                related_output_pin : "Q";
+                related_pin : "GATE_N";
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
+                    values("-0.001036300, 0.0028675000, 0.0098890000, 0.0165975000, 0.0087438000, -0.042299300, -0.198393300", \
+                        "-0.000990500, 0.0029023000, 0.0098834000, 0.0165442000, 0.0086250000, -0.042449700, -0.198577200", \
+                        "-0.000867700, 0.0030117000, 0.0099846000, 0.0165977000, 0.0086509000, -0.042453300, -0.198593200", \
+                        "-0.000907800, 0.0029671000, 0.0099409000, 0.0165427000, 0.0085953000, -0.042533500, -0.198670600", \
+                        "-0.000998000, 0.0028843000, 0.0098578000, 0.0164799000, 0.0085559000, -0.042548300, -0.198705400", \
+                        "-0.001198200, 0.0026983000, 0.0096996000, 0.0163857000, 0.0084887000, -0.042578700, -0.198702500", \
+                        "-0.001628800, 0.0023460000, 0.0095126000, 0.0164295000, 0.0088196000, -0.042072300, -0.198096500");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
+                    values("-0.000256100, 0.0014485000, 0.0056747000, 0.0158483000, 0.0401926000, 0.1017364000, 0.2617810000", \
+                        "-0.000221500, 0.0014624000, 0.0056312000, 0.0157565000, 0.0401485000, 0.1019386000, 0.2629173000", \
+                        "-0.000108700, 0.0015613000, 0.0057118000, 0.0158075000, 0.0401933000, 0.1014819000, 0.2630088000", \
+                        "-0.000150200, 0.0015046000, 0.0056339000, 0.0156636000, 0.0400448000, 0.1017542000, 0.2614824000", \
+                        "-0.000220500, 0.0014326000, 0.0055633000, 0.0156018000, 0.0398081000, 0.1015944000, 0.2620369000", \
+                        "-0.000368200, 0.0013027000, 0.0054563000, 0.0155469000, 0.0398357000, 0.1012646000, 0.2613645000", \
+                        "-0.000654200, 0.0011300000, 0.0055129000, 0.0159150000, 0.0405509000, 0.1025241000, 0.2611137000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
+                    values("-0.005346700, -0.000837600, 0.0073951000, 0.0159573000, 0.0099264000, -0.039892100, -0.195340900", \
+                        "-0.005292300, -0.000797000, 0.0074129000, 0.0159017000, 0.0098208000, -0.040033900, -0.195529300", \
+                        "-0.005147300, -0.000657000, 0.0075284000, 0.0159947000, 0.0098934000, -0.039996900, -0.195497400", \
+                        "-0.005182200, -0.000715300, 0.0074410000, 0.0158422000, 0.0096911000, -0.040249400, -0.195710700", \
+                        "-0.005255200, -0.000808800, 0.0073037000, 0.0156480000, 0.0094042000, -0.040507500, -0.196048100", \
+                        "-0.005380700, -0.000919700, 0.0072107000, 0.0155918000, 0.0094263000, -0.040508800, -0.196019900", \
+                        "-0.005634000, -0.001100900, 0.0071781000, 0.0157605000, 0.0098357000, -0.039909100, -0.195289400");
+                }
+                related_pin : "GATE_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000");
+                    values("-0.006827300, -0.004080500, 0.0022346000, 0.0155542000, 0.0430996000, 0.1071512000, 0.2688445000", \
+                        "-0.006767200, -0.004024000, 0.0022760000, 0.0155865000, 0.0430574000, 0.1071190000, 0.2678444000", \
+                        "-0.006628900, -0.003901800, 0.0023687000, 0.0156327000, 0.0431045000, 0.1065961000, 0.2675259000", \
+                        "-0.006664000, -0.003947900, 0.0022889000, 0.0155022000, 0.0430059000, 0.1064721000, 0.2674589000", \
+                        "-0.006729800, -0.004027700, 0.0021865000, 0.0153680000, 0.0427553000, 0.1067590000, 0.2678384000", \
+                        "-0.006845600, -0.004120400, 0.0021409000, 0.0153980000, 0.0428709000, 0.1068401000, 0.2685178000", \
+                        "-0.007097400, -0.004298600, 0.0021206000, 0.0155731000, 0.0433012000, 0.1071508000, 0.2690790000");
+                }
+            }
+            max_capacitance : 0.1611430000;
+            max_transition : 1.5041590000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.2121299000, 0.2178201000, 0.2298804000, 0.2546786000, 0.3087234000, 0.4386143000, 0.7762855000", \
+                        "0.2170238000, 0.2227048000, 0.2347865000, 0.2595802000, 0.3136272000, 0.4435078000, 0.7813439000", \
+                        "0.2302148000, 0.2358900000, 0.2479804000, 0.2727700000, 0.3268149000, 0.4567019000, 0.7951620000", \
+                        "0.2614035000, 0.2671338000, 0.2791945000, 0.3039883000, 0.3580470000, 0.4879369000, 0.8264776000", \
+                        "0.3183588000, 0.3240853000, 0.3361661000, 0.3609468000, 0.4150128000, 0.5448955000, 0.8827167000", \
+                        "0.4072506000, 0.4129810000, 0.4250610000, 0.4498553000, 0.5039397000, 0.6338379000, 0.9717137000", \
+                        "0.5473628000, 0.5531031000, 0.5651777000, 0.5900067000, 0.6441215000, 0.7740388000, 1.1116525000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.3046440000, 0.3116510000, 0.3274408000, 0.3644608000, 0.4590998000, 0.7039878000, 1.3464588000", \
+                        "0.3091490000, 0.3163449000, 0.3320437000, 0.3690777000, 0.4637169000, 0.7087113000, 1.3542087000", \
+                        "0.3203715000, 0.3275507000, 0.3432284000, 0.3802349000, 0.4749091000, 0.7200210000, 1.3629553000", \
+                        "0.3413932000, 0.3484587000, 0.3642053000, 0.4012042000, 0.4958682000, 0.7406677000, 1.3829939000", \
+                        "0.3687806000, 0.3758433000, 0.3915814000, 0.4285972000, 0.5232738000, 0.7680996000, 1.4123058000", \
+                        "0.3993792000, 0.4065864000, 0.4222708000, 0.4592797000, 0.5539513000, 0.7989073000, 1.4415029000", \
+                        "0.4227205000, 0.4297782000, 0.4454695000, 0.4824689000, 0.5771514000, 0.8222910000, 1.4617931000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.0197952000, 0.0240791000, 0.0343544000, 0.0585616000, 0.1199408000, 0.2859699000, 0.7401371000", \
+                        "0.0196821000, 0.0240459000, 0.0343346000, 0.0585441000, 0.1199655000, 0.2857615000, 0.7362355000", \
+                        "0.0196744000, 0.0240513000, 0.0342917000, 0.0585437000, 0.1199858000, 0.2860108000, 0.7340559000", \
+                        "0.0197642000, 0.0243323000, 0.0343633000, 0.0585689000, 0.1201895000, 0.2865904000, 0.7396249000", \
+                        "0.0197608000, 0.0243821000, 0.0343079000, 0.0585778000, 0.1199610000, 0.2858792000, 0.7385619000", \
+                        "0.0198067000, 0.0243886000, 0.0341686000, 0.0586165000, 0.1198906000, 0.2855802000, 0.7388717000", \
+                        "0.0198530000, 0.0244217000, 0.0344441000, 0.0586247000, 0.1200589000, 0.2860247000, 0.7317622000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.0260344000, 0.0324453000, 0.0495949000, 0.0987823000, 0.2307317000, 0.5799972000, 1.5002608000", \
+                        "0.0259969000, 0.0325370000, 0.0495784000, 0.0988184000, 0.2309248000, 0.5790584000, 1.5041592000", \
+                        "0.0261365000, 0.0325599000, 0.0496116000, 0.0987828000, 0.2309534000, 0.5787119000, 1.5005471000", \
+                        "0.0258265000, 0.0323775000, 0.0494599000, 0.0987377000, 0.2309838000, 0.5804910000, 1.4987562000", \
+                        "0.0258085000, 0.0323690000, 0.0495311000, 0.0987602000, 0.2310338000, 0.5804427000, 1.5023127000", \
+                        "0.0259923000, 0.0325543000, 0.0495907000, 0.0988013000, 0.2308942000, 0.5797983000, 1.4996198000", \
+                        "0.0258947000, 0.0324338000, 0.0494970000, 0.0988058000, 0.2308668000, 0.5787521000, 1.4967549000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.2378622000, 0.2436512000, 0.2558948000, 0.2808294000, 0.3349515000, 0.4648634000, 0.8027816000", \
+                        "0.2427521000, 0.2485342000, 0.2607334000, 0.2857110000, 0.3398290000, 0.4697794000, 0.8076401000", \
+                        "0.2553341000, 0.2611240000, 0.2733099000, 0.2982740000, 0.3524080000, 0.4823310000, 0.8199064000", \
+                        "0.2863239000, 0.2921223000, 0.3043137000, 0.3292649000, 0.3834111000, 0.5133361000, 0.8509848000", \
+                        "0.3532083000, 0.3590210000, 0.3711636000, 0.3961367000, 0.4502718000, 0.5802236000, 0.9189006000", \
+                        "0.4658089000, 0.4716140000, 0.4838264000, 0.5088317000, 0.5629983000, 0.6929570000, 1.0306428000", \
+                        "0.6399313000, 0.6457670000, 0.6580412000, 0.6831332000, 0.7373931000, 0.8673597000, 1.2050209000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.3685564000, 0.3756005000, 0.3915004000, 0.4285594000, 0.5232380000, 0.7679627000, 1.4127804000", \
+                        "0.3737323000, 0.3808185000, 0.3966855000, 0.4337644000, 0.5284454000, 0.7736364000, 1.4147696000", \
+                        "0.3863315000, 0.3934418000, 0.4093150000, 0.4464062000, 0.5410878000, 0.7856852000, 1.4277630000", \
+                        "0.4172978000, 0.4243875000, 0.4402496000, 0.4773388000, 0.5720190000, 0.8167094000, 1.4597010000", \
+                        "0.4816899000, 0.4887982000, 0.5046054000, 0.5416933000, 0.6363881000, 0.8813369000, 1.5247399000", \
+                        "0.5856223000, 0.5927348000, 0.6085945000, 0.6456937000, 0.7403804000, 0.9851673000, 1.6300097000", \
+                        "0.7453759000, 0.7524618000, 0.7683317000, 0.8054674000, 0.9001332000, 1.1451798000, 1.7875242000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.0203140000, 0.0244896000, 0.0345116000, 0.0586840000, 0.1202712000, 0.2861728000, 0.7407130000", \
+                        "0.0201174000, 0.0244232000, 0.0344063000, 0.0588179000, 0.1202844000, 0.2857632000, 0.7375267000", \
+                        "0.0201167000, 0.0244136000, 0.0347620000, 0.0587617000, 0.1202657000, 0.2862937000, 0.7401652000", \
+                        "0.0201284000, 0.0244116000, 0.0344235000, 0.0588514000, 0.1203024000, 0.2860581000, 0.7363975000", \
+                        "0.0202802000, 0.0245966000, 0.0347612000, 0.0588998000, 0.1200031000, 0.2856223000, 0.7434009000", \
+                        "0.0204030000, 0.0245139000, 0.0345020000, 0.0588296000, 0.1204412000, 0.2860576000, 0.7391795000", \
+                        "0.0204979000, 0.0247004000, 0.0350302000, 0.0589950000, 0.1202800000, 0.2861398000, 0.7333866000");
+                }
+                related_pin : "GATE_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000");
+                    values("0.0258308000, 0.0323407000, 0.0496415000, 0.0987635000, 0.2306995000, 0.5801762000, 1.5013973000", \
+                        "0.0257440000, 0.0323707000, 0.0496518000, 0.0987825000, 0.2309191000, 0.5785483000, 1.5000825000", \
+                        "0.0257558000, 0.0323374000, 0.0496400000, 0.0988060000, 0.2310362000, 0.5787195000, 1.5013359000", \
+                        "0.0257563000, 0.0322763000, 0.0496168000, 0.0988155000, 0.2310615000, 0.5794060000, 1.4992940000", \
+                        "0.0257817000, 0.0323261000, 0.0496704000, 0.0988018000, 0.2310026000, 0.5802827000, 1.4953311000", \
+                        "0.0257199000, 0.0322911000, 0.0496184000, 0.0988132000, 0.2311795000, 0.5800465000, 1.5014163000", \
+                        "0.0257188000, 0.0322939000, 0.0496852000, 0.0988042000, 0.2309000000, 0.5781072000, 1.4998277000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+        }
+        pin ("Q_N") {
+            direction : "output";
+            function : "IQ_N";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000");
+                    values("0.0011357000, 0.0045331000, 0.0105938000, 0.0158558000, 0.0060231000, -0.048560100, -0.213242300", \
+                        "0.0011734000, 0.0045492000, 0.0105754000, 0.0157847000, 0.0058695000, -0.048770700, -0.213423000", \
+                        "0.0012883000, 0.0046605000, 0.0106471000, 0.0158040000, 0.0058900000, -0.048738500, -0.213432300", \
+                        "0.0012445000, 0.0045954000, 0.0105600000, 0.0156825000, 0.0056981000, -0.048970100, -0.213681000", \
+                        "0.0011722000, 0.0045197000, 0.0104751000, 0.0155889000, 0.0055941000, -0.049053300, -0.213780500", \
+                        "0.0010290000, 0.0044033000, 0.0104155000, 0.0155980000, 0.0057018000, -0.048914400, -0.213610600", \
+                        "0.0007287000, 0.0041934000, 0.0103956000, 0.0158613000, 0.0061362000, -0.048422700, -0.213036200");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000");
+                    values("-0.002534400, -0.000382700, 0.0048093000, 0.0165983000, 0.0432570000, 0.1085162000, 0.2780763000", \
+                        "-0.002487500, -0.000350500, 0.0048223000, 0.0165494000, 0.0431866000, 0.1089310000, 0.2781665000", \
+                        "-0.002363900, -0.000237700, 0.0049112000, 0.0166053000, 0.0433330000, 0.1086594000, 0.2779708000", \
+                        "-0.002406000, -0.000285900, 0.0048531000, 0.0165308000, 0.0432729000, 0.1089256000, 0.2765999000", \
+                        "-0.002494100, -0.000365700, 0.0047837000, 0.0164765000, 0.0431030000, 0.1088580000, 0.2766386000", \
+                        "-0.002694000, -0.000551600, 0.0046313000, 0.0163745000, 0.0430399000, 0.1087365000, 0.2767452000", \
+                        "-0.003122000, -0.000899200, 0.0044306000, 0.0164304000, 0.0432924000, 0.1087699000, 0.2782444000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000");
+                    values("-0.005447100, -0.001015400, 0.0071425000, 0.0155713000, 0.0089070000, -0.043583200, -0.207211500", \
+                        "-0.005395900, -0.000969000, 0.0071586000, 0.0155279000, 0.0088301000, -0.043660800, -0.207298100", \
+                        "-0.005255800, -0.000851300, 0.0072515000, 0.0155903000, 0.0088458000, -0.043692900, -0.207361400", \
+                        "-0.005284400, -0.000885500, 0.0072129000, 0.0155297000, 0.0087783000, -0.043770900, -0.207434800", \
+                        "-0.005351300, -0.000959400, 0.0071048000, 0.0154574000, 0.0085715000, -0.043982600, -0.207668800", \
+                        "-0.005474100, -0.001086500, 0.0070059000, 0.0153311000, 0.0084969000, -0.043993500, -0.207680100", \
+                        "-0.005728600, -0.001260300, 0.0069788000, 0.0155122000, 0.0088435000, -0.043429100, -0.207008000");
+                }
+                related_pin : "GATE_N";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000");
+                    values("-0.006851400, -0.004096700, 0.0023109000, 0.0159206000, 0.0446072000, 0.1109985000, 0.2813712000", \
+                        "-0.006790100, -0.004039600, 0.0023514000, 0.0159429000, 0.0446039000, 0.1112373000, 0.2803079000", \
+                        "-0.006652800, -0.003918700, 0.0024404000, 0.0159709000, 0.0444171000, 0.1115118000, 0.2809966000", \
+                        "-0.006687100, -0.003968200, 0.0023638000, 0.0158428000, 0.0442932000, 0.1109144000, 0.2800108000", \
+                        "-0.006755600, -0.004053500, 0.0022582000, 0.0157163000, 0.0440545000, 0.1108948000, 0.2805451000", \
+                        "-0.006885100, -0.004178100, 0.0021326000, 0.0155989000, 0.0439162000, 0.1103968000, 0.2806324000", \
+                        "-0.007146300, -0.004377000, 0.0020524000, 0.0156826000, 0.0443469000, 0.1106263000, 0.2793306000");
+                }
+            }
+            max_capacitance : 0.1684670000;
+            max_transition : 1.5036670000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.3556919000, 0.3608323000, 0.3717662000, 0.3946686000, 0.4468007000, 0.5802704000, 0.9332556000", \
+                        "0.3602628000, 0.3654313000, 0.3763681000, 0.3992105000, 0.4513284000, 0.5849588000, 0.9369573000", \
+                        "0.3713272000, 0.3764715000, 0.3874112000, 0.4102666000, 0.4623787000, 0.5959902000, 0.9483060000", \
+                        "0.3921882000, 0.3973011000, 0.4082316000, 0.4311113000, 0.4832579000, 0.6167475000, 0.9694791000", \
+                        "0.4197036000, 0.4248205000, 0.4357286000, 0.4586344000, 0.5107733000, 0.6443565000, 0.9969999000", \
+                        "0.4504607000, 0.4556078000, 0.4665373000, 0.4894076000, 0.5415688000, 0.6751786000, 1.0279122000", \
+                        "0.4735901000, 0.4787152000, 0.4896435000, 0.5125403000, 0.5646670000, 0.6981866000, 1.0505007000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.2656080000, 0.2718108000, 0.2862900000, 0.3220217000, 0.4149067000, 0.6590941000, 1.3028297000", \
+                        "0.2705118000, 0.2767126000, 0.2912240000, 0.3269259000, 0.4197348000, 0.6637337000, 1.3079693000", \
+                        "0.2837064000, 0.2899049000, 0.3044183000, 0.3401060000, 0.4328037000, 0.6778371000, 1.3239460000", \
+                        "0.3149339000, 0.3210652000, 0.3356564000, 0.3712515000, 0.4640858000, 0.7080529000, 1.3517297000", \
+                        "0.3719067000, 0.3780918000, 0.3925960000, 0.4282111000, 0.5210539000, 0.7645913000, 1.4091245000", \
+                        "0.4607994000, 0.4669666000, 0.4813733000, 0.5171207000, 0.6097232000, 0.8542625000, 1.4977146000", \
+                        "0.6009157000, 0.6070474000, 0.6216394000, 0.6572465000, 0.7500051000, 0.9943192000, 1.6385878000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.0163987000, 0.0206549000, 0.0302192000, 0.0537172000, 0.1180329000, 0.2940821000, 0.7697785000", \
+                        "0.0166825000, 0.0204682000, 0.0302118000, 0.0538349000, 0.1178924000, 0.2941203000, 0.7660678000", \
+                        "0.0166209000, 0.0205330000, 0.0301088000, 0.0538874000, 0.1176843000, 0.2939077000, 0.7663857000", \
+                        "0.0165087000, 0.0205866000, 0.0299280000, 0.0538385000, 0.1178597000, 0.2932798000, 0.7701372000", \
+                        "0.0164223000, 0.0206255000, 0.0300328000, 0.0538245000, 0.1178889000, 0.2941595000, 0.7648198000", \
+                        "0.0163847000, 0.0206976000, 0.0300830000, 0.0536685000, 0.1177869000, 0.2939630000, 0.7673704000", \
+                        "0.0165228000, 0.0205110000, 0.0300054000, 0.0537382000, 0.1178059000, 0.2936369000, 0.7585907000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.0200218000, 0.0266245000, 0.0444707000, 0.0934501000, 0.2256016000, 0.5759840000, 1.4998952000", \
+                        "0.0200217000, 0.0266345000, 0.0444960000, 0.0934995000, 0.2257570000, 0.5763250000, 1.5021052000", \
+                        "0.0200201000, 0.0266330000, 0.0444932000, 0.0934971000, 0.2252926000, 0.5742646000, 1.5007178000", \
+                        "0.0200434000, 0.0266912000, 0.0444213000, 0.0933835000, 0.2251118000, 0.5759274000, 1.5017151000", \
+                        "0.0200186000, 0.0265929000, 0.0444012000, 0.0934368000, 0.2253303000, 0.5771689000, 1.5014457000", \
+                        "0.0200358000, 0.0266208000, 0.0444332000, 0.0935403000, 0.2256020000, 0.5763010000, 1.4967054000", \
+                        "0.0200519000, 0.0266987000, 0.0444271000, 0.0934213000, 0.2257815000, 0.5740640000, 1.4991584000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.4198065000, 0.4249283000, 0.4358128000, 0.4587964000, 0.5109308000, 0.6443367000, 0.9970674000", \
+                        "0.4245719000, 0.4296540000, 0.4406513000, 0.4636312000, 0.5156293000, 0.6491318000, 1.0020762000", \
+                        "0.4373277000, 0.4425245000, 0.4532760000, 0.4761393000, 0.5284690000, 0.6618930000, 1.0140251000", \
+                        "0.4682861000, 0.4734268000, 0.4843623000, 0.5072678000, 0.5594225000, 0.6928180000, 1.0453567000", \
+                        "0.5327545000, 0.5378756000, 0.5488121000, 0.5716039000, 0.6237575000, 0.7574560000, 1.1101147000", \
+                        "0.6367014000, 0.6420620000, 0.6526001000, 0.6755023000, 0.7280109000, 0.8612925000, 1.2147169000", \
+                        "0.7964515000, 0.8016319000, 0.8123632000, 0.8353115000, 0.8875858000, 1.0211086000, 1.3729720000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.2916716000, 0.2978713000, 0.3123476000, 0.3480732000, 0.4406513000, 0.6850414000, 1.3316409000", \
+                        "0.2966153000, 0.3028166000, 0.3173077000, 0.3529755000, 0.4458230000, 0.6895871000, 1.3338144000", \
+                        "0.3093357000, 0.3155511000, 0.3300155000, 0.3656445000, 0.4587142000, 0.7029874000, 1.3451187000", \
+                        "0.3400278000, 0.3462431000, 0.3607663000, 0.3964568000, 0.4889573000, 0.7336372000, 1.3772355000", \
+                        "0.4068213000, 0.4130170000, 0.4275976000, 0.4633693000, 0.5557689000, 0.8009734000, 1.4433432000", \
+                        "0.5196037000, 0.5257952000, 0.5402601000, 0.5759814000, 0.6686954000, 0.9129431000, 1.5602292000", \
+                        "0.6935327000, 0.6997247000, 0.7142784000, 0.7500213000, 0.8425874000, 1.0868710000, 1.7312404000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.0164320000, 0.0204612000, 0.0297987000, 0.0536778000, 0.1177750000, 0.2934461000, 0.7689879000", \
+                        "0.0163942000, 0.0203983000, 0.0299316000, 0.0536567000, 0.1177102000, 0.2940984000, 0.7630681000", \
+                        "0.0163927000, 0.0203981000, 0.0299810000, 0.0537847000, 0.1175414000, 0.2939727000, 0.7652878000", \
+                        "0.0165807000, 0.0204102000, 0.0300637000, 0.0536779000, 0.1176045000, 0.2933587000, 0.7682339000", \
+                        "0.0164073000, 0.0205551000, 0.0299701000, 0.0536614000, 0.1178548000, 0.2932540000, 0.7620121000", \
+                        "0.0163937000, 0.0204847000, 0.0300948000, 0.0536489000, 0.1177740000, 0.2942453000, 0.7628496000", \
+                        "0.0164570000, 0.0205040000, 0.0300745000, 0.0536870000, 0.1174564000, 0.2940625000, 0.7681760000");
+                }
+                related_pin : "GATE_N";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000");
+                    values("0.0200947000, 0.0266709000, 0.0444850000, 0.0934679000, 0.2252890000, 0.5761264000, 1.4996926000", \
+                        "0.0200691000, 0.0266726000, 0.0445097000, 0.0934458000, 0.2258862000, 0.5751812000, 1.5017967000", \
+                        "0.0200925000, 0.0266439000, 0.0444972000, 0.0933627000, 0.2255096000, 0.5772405000, 1.5036666000", \
+                        "0.0200928000, 0.0266449000, 0.0445304000, 0.0934901000, 0.2262234000, 0.5737144000, 1.5026941000", \
+                        "0.0201619000, 0.0267400000, 0.0444965000, 0.0933151000, 0.2253080000, 0.5759785000, 1.5035632000", \
+                        "0.0201145000, 0.0267220000, 0.0443658000, 0.0935193000, 0.2258769000, 0.5750735000, 1.5024603000", \
+                        "0.0201844000, 0.0267432000, 0.0445804000, 0.0935006000, 0.2257458000, 0.5735316000, 1.4991066000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "falling_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dlxbn_2 */
+
+/* removed sky130_fd_sc_hd__dlxbp_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_1 */
+
+/* removed sky130_fd_sc_hd__dlxtn_2 */
+
+/* removed sky130_fd_sc_hd__dlxtn_4 */
+
+    cell ("sky130_fd_sc_hd__dlxtp_1") {
+        leakage_power () {
+            value : 0.0085396000;
+            when : "D&GATE&Q";
+        }
+        leakage_power () {
+            value : 0.0071637000;
+            when : "!D&!GATE&!Q";
+        }
+        leakage_power () {
+            value : 0.0072605000;
+            when : "!D&GATE&!Q";
+        }
+        leakage_power () {
+            value : 0.0084088000;
+            when : "D&!GATE&Q";
+        }
+        leakage_power () {
+            value : 0.0086226000;
+            when : "D&!GATE&!Q";
+        }
+        leakage_power () {
+            value : 0.0075741000;
+            when : "!D&!GATE&Q";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__dlxtp";
+        cell_leakage_power : 0.0079282300;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        latch ("IQ","IQ_N") {
+            data_in : "D";
+            enable : "GATE";
+        }
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("D") {
+            capacitance : 0.0017740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016980000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080639000, 0.0079551000, 0.0077045000, 0.0077816000, 0.0079595000, 0.0083695000, 0.0093146000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0024834000, 0.0023809000, 0.0021447000, 0.0022073000, 0.0023516000, 0.0026843000, 0.0034509000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018500000;
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.0374004000, 0.1966940000, 0.3655579000", \
+                        "-0.136541700, 0.0227520000, 0.1879538000", \
+                        "-0.312729800, -0.154656900, 0.0068828000");
+                }
+                related_pin : "GATE";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1948711000, 0.2797018000, 0.3118470000", \
+                        "0.1063783000, 0.1899883000, 0.2196921000", \
+                        "0.0473776000, 0.1273255000, 0.1558086000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "setup_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+            timing () {
+                fall_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.026076200, -0.186590500, -0.356675100", \
+                        "0.1478659000, -0.012648400, -0.179071000", \
+                        "0.3264954000, 0.1659811000, 0.0020000000");
+                }
+                related_pin : "GATE";
+                rise_constraint ("vio_3_3_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("-0.183546900, -0.269598300, -0.302964200", \
+                        "-0.085288400, -0.170119100, -0.202264300", \
+                        "0.0030091000, -0.084263000, -0.116408200");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "hold_falling";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("GATE") {
+            capacitance : 0.0017510000;
+            clock : "true";
+            direction : "input";
+            fall_capacitance : 0.0016800000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0172155000, 0.0171230000, 0.0169098000, 0.0169665000, 0.0170973000, 0.0173986000, 0.0180935000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0099572000, 0.0098677000, 0.0096615000, 0.0096962000, 0.0097764000, 0.0099612000, 0.0103871000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018220000;
+            timing () {
+                related_output_pin : "Q";
+                related_pin : "GATE";
+                rise_constraint ("constraint_3_0_1") {
+                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
+                    values("0.1774742000, 0.8333333000, 2.5000000000");
+                }
+                sim_opt : "runlvl=5 accurate=1";
+                timing_type : "min_pulse_width";
+                violation_delay_degrade_pct : 10.000000000;
+            }
+        }
+        pin ("Q") {
+            direction : "output";
+            function : "IQ";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000");
+                    values("0.0183104000, 0.0173204000, 0.0145355000, 0.0060315000, -0.017259200, -0.078515500, -0.238812100", \
+                        "0.0182935000, 0.0173058000, 0.0144998000, 0.0060216000, -0.017265000, -0.078526000, -0.238838700", \
+                        "0.0182911000, 0.0173135000, 0.0144894000, 0.0060097000, -0.017288700, -0.078539000, -0.238852200", \
+                        "0.0178333000, 0.0168519000, 0.0140728000, 0.0055663000, -0.017721000, -0.078980400, -0.239284500", \
+                        "0.0175656000, 0.0165844000, 0.0137815000, 0.0053022000, -0.018000300, -0.079251900, -0.239564200", \
+                        "0.0187960000, 0.0175690000, 0.0142670000, 0.0053636000, -0.017980100, -0.079242300, -0.239535900", \
+                        "0.0193985000, 0.0181606000, 0.0148619000, 0.0060149000, -0.017382100, -0.078719300, -0.239092900");
+                }
+                related_pin : "GATE";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000");
+                    values("0.0188626000, 0.0204371000, 0.0242971000, 0.0335155000, 0.0566476000, 0.1169270000, 0.2759341000", \
+                        "0.0188355000, 0.0204045000, 0.0242773000, 0.0335375000, 0.0566228000, 0.1170107000, 0.2757732000", \
+                        "0.0188117000, 0.0203877000, 0.0242426000, 0.0334482000, 0.0566068000, 0.1170340000, 0.2754547000", \
+                        "0.0182919000, 0.0198706000, 0.0237319000, 0.0329958000, 0.0560580000, 0.1170069000, 0.2763332000", \
+                        "0.0179709000, 0.0195765000, 0.0234320000, 0.0326462000, 0.0559423000, 0.1162104000, 0.2748467000", \
+                        "0.0183152000, 0.0196281000, 0.0233430000, 0.0326070000, 0.0556951000, 0.1159098000, 0.2748451000", \
+                        "0.0195202000, 0.0208510000, 0.0243186000, 0.0332985000, 0.0562276000, 0.1168596000, 0.2761521000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000");
+                    values("0.0185126000, 0.0175513000, 0.0147481000, 0.0062653000, -0.017050300, -0.078317200, -0.238641700", \
+                        "0.0184031000, 0.0174564000, 0.0146528000, 0.0061644000, -0.017146600, -0.078420200, -0.238732200", \
+                        "0.0185163000, 0.0175529000, 0.0147499000, 0.0062656000, -0.017050000, -0.078319200, -0.238637600", \
+                        "0.0183578000, 0.0174091000, 0.0146031000, 0.0061159000, -0.017197000, -0.078466900, -0.238748200", \
+                        "0.0182609000, 0.0173047000, 0.0145025000, 0.0060187000, -0.017291600, -0.078560500, -0.238880000", \
+                        "0.0189475000, 0.0177286000, 0.0144036000, 0.0057111000, -0.017474800, -0.078723400, -0.239033500", \
+                        "0.0192833000, 0.0180623000, 0.0147728000, 0.0059159000, -0.017482800, -0.078574700, -0.238879700");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000");
+                    values("0.0201166000, 0.0217292000, 0.0255888000, 0.0347368000, 0.0579109000, 0.1186210000, 0.2777453000", \
+                        "0.0200823000, 0.0217062000, 0.0255693000, 0.0347337000, 0.0578741000, 0.1183976000, 0.2777190000", \
+                        "0.0201994000, 0.0217671000, 0.0256454000, 0.0347965000, 0.0579243000, 0.1188119000, 0.2784466000", \
+                        "0.0198358000, 0.0213727000, 0.0252218000, 0.0344692000, 0.0576597000, 0.1178161000, 0.2770193000", \
+                        "0.0196763000, 0.0212096000, 0.0250608000, 0.0342970000, 0.0574717000, 0.1176491000, 0.2763497000", \
+                        "0.0202174000, 0.0215458000, 0.0250561000, 0.0343001000, 0.0573047000, 0.1176728000, 0.2764661000", \
+                        "0.0209445000, 0.0222777000, 0.0257795000, 0.0347846000, 0.0578467000, 0.1185777000, 0.2758290000");
+                }
+            }
+            max_capacitance : 0.1602270000;
+            max_transition : 1.4998690000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.1956779000, 0.2007484000, 0.2114909000, 0.2337785000, 0.2838228000, 0.4096937000, 0.7398072000", \
+                        "0.2006464000, 0.2057362000, 0.2164471000, 0.2387434000, 0.2888077000, 0.4149915000, 0.7453025000", \
+                        "0.2138190000, 0.2188893000, 0.2296347000, 0.2519184000, 0.3019593000, 0.4278740000, 0.7573207000", \
+                        "0.2450352000, 0.2501259000, 0.2608260000, 0.2831257000, 0.3332336000, 0.4593674000, 0.7895880000", \
+                        "0.3020378000, 0.3071162000, 0.3178570000, 0.3401388000, 0.3902163000, 0.5162122000, 0.8455597000", \
+                        "0.3909980000, 0.3960919000, 0.4068383000, 0.4291133000, 0.4792041000, 0.6051093000, 0.9343891000", \
+                        "0.5311448000, 0.5362405000, 0.5469755000, 0.5693151000, 0.6193808000, 0.7454488000, 1.0749442000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.2897848000, 0.2965617000, 0.3119340000, 0.3486242000, 0.4424752000, 0.6871566000, 1.3282956000", \
+                        "0.2944256000, 0.3011474000, 0.3165267000, 0.3532405000, 0.4470815000, 0.6918489000, 1.3309537000", \
+                        "0.3056690000, 0.3124450000, 0.3277803000, 0.3644517000, 0.4586222000, 0.7028413000, 1.3467548000", \
+                        "0.3263381000, 0.3328653000, 0.3483047000, 0.3849935000, 0.4791482000, 0.7233187000, 1.3641688000", \
+                        "0.3538375000, 0.3604514000, 0.3758217000, 0.4126217000, 0.5065501000, 0.7512085000, 1.3913161000", \
+                        "0.3848257000, 0.3915999000, 0.4069716000, 0.4436796000, 0.5375113000, 0.7823333000, 1.4229965000", \
+                        "0.4078935000, 0.4146049000, 0.4300053000, 0.4667164000, 0.5605648000, 0.8052757000, 1.4441415000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.0163669000, 0.0205163000, 0.0299403000, 0.0526661000, 0.1130568000, 0.2786029000, 0.7238921000", \
+                        "0.0164261000, 0.0204367000, 0.0298988000, 0.0527299000, 0.1132432000, 0.2800721000, 0.7150719000", \
+                        "0.0163518000, 0.0204845000, 0.0299487000, 0.0526991000, 0.1131724000, 0.2795148000, 0.7188002000", \
+                        "0.0164486000, 0.0204429000, 0.0298311000, 0.0526271000, 0.1129427000, 0.2796830000, 0.7225254000", \
+                        "0.0164574000, 0.0204658000, 0.0299609000, 0.0527480000, 0.1133477000, 0.2795651000, 0.7187517000", \
+                        "0.0164329000, 0.0205113000, 0.0298189000, 0.0524697000, 0.1129583000, 0.2785544000, 0.7172974000", \
+                        "0.0165086000, 0.0205802000, 0.0299436000, 0.0526931000, 0.1131890000, 0.2776306000, 0.7140631000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.0237742000, 0.0300597000, 0.0476671000, 0.0968298000, 0.2290845000, 0.5782642000, 1.4933628000", \
+                        "0.0236662000, 0.0300988000, 0.0476645000, 0.0967314000, 0.2294016000, 0.5767804000, 1.4963778000", \
+                        "0.0236807000, 0.0300969000, 0.0475886000, 0.0967016000, 0.2291797000, 0.5786722000, 1.4998689000", \
+                        "0.0235621000, 0.0302721000, 0.0476392000, 0.0966654000, 0.2291773000, 0.5773542000, 1.4981708000", \
+                        "0.0236265000, 0.0302641000, 0.0476282000, 0.0968710000, 0.2293573000, 0.5767912000, 1.4911320000", \
+                        "0.0236926000, 0.0300823000, 0.0475654000, 0.0967778000, 0.2285692000, 0.5776814000, 1.4974849000", \
+                        "0.0237280000, 0.0301534000, 0.0476384000, 0.0968764000, 0.2293897000, 0.5780705000, 1.4893545000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.2408861000, 0.2459875000, 0.2567938000, 0.2791576000, 0.3293162000, 0.4553846000, 0.7848088000", \
+                        "0.2454936000, 0.2505956000, 0.2614130000, 0.2837635000, 0.3338624000, 0.4599291000, 0.7907395000", \
+                        "0.2566185000, 0.2617138000, 0.2725135000, 0.2948354000, 0.3449692000, 0.4710901000, 0.8004751000", \
+                        "0.2808759000, 0.2859778000, 0.2967943000, 0.3191515000, 0.3692519000, 0.4953314000, 0.8261387000", \
+                        "0.3188427000, 0.3239643000, 0.3347380000, 0.3571037000, 0.4072429000, 0.5332983000, 0.8632153000", \
+                        "0.3702233000, 0.3753315000, 0.3861552000, 0.4085036000, 0.4586399000, 0.5845420000, 0.9140617000", \
+                        "0.4261492000, 0.4312617000, 0.4420564000, 0.4644319000, 0.5145670000, 0.6407230000, 0.9713635000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.2727443000, 0.2795717000, 0.2950170000, 0.3318136000, 0.4256409000, 0.6704344000, 1.3113387000", \
+                        "0.2773359000, 0.2841327000, 0.2995512000, 0.3364017000, 0.4302363000, 0.6749892000, 1.3143661000", \
+                        "0.2881232000, 0.2949556000, 0.3103703000, 0.3470869000, 0.4412568000, 0.6855770000, 1.3266492000", \
+                        "0.3119019000, 0.3187079000, 0.3341485000, 0.3710149000, 0.4648588000, 0.7090865000, 1.3530574000", \
+                        "0.3513700000, 0.3581870000, 0.3736341000, 0.4104507000, 0.5045135000, 0.7488073000, 1.3896402000", \
+                        "0.4060039000, 0.4128247000, 0.4282782000, 0.4650089000, 0.5588366000, 0.8036784000, 1.4433407000", \
+                        "0.4740605000, 0.4808421000, 0.4963239000, 0.5331252000, 0.6271225000, 0.8713793000, 1.5111470000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.0167519000, 0.0207019000, 0.0300609000, 0.0526324000, 0.1135996000, 0.2801282000, 0.7240286000", \
+                        "0.0167541000, 0.0207384000, 0.0301395000, 0.0527942000, 0.1133371000, 0.2787838000, 0.7157553000", \
+                        "0.0166965000, 0.0206831000, 0.0301291000, 0.0529146000, 0.1135331000, 0.2786270000, 0.7239515000", \
+                        "0.0166762000, 0.0207455000, 0.0301108000, 0.0528059000, 0.1133309000, 0.2787705000, 0.7224172000", \
+                        "0.0166746000, 0.0206166000, 0.0300592000, 0.0528609000, 0.1134833000, 0.2783640000, 0.7239425000", \
+                        "0.0166381000, 0.0206598000, 0.0301537000, 0.0527273000, 0.1131373000, 0.2790785000, 0.7172305000", \
+                        "0.0168751000, 0.0205232000, 0.0300435000, 0.0527510000, 0.1131482000, 0.2783725000, 0.7127663000");
+                }
+                related_pin : "GATE";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000");
+                    values("0.0234764000, 0.0300381000, 0.0477196000, 0.0970673000, 0.2293570000, 0.5781378000, 1.4920603000", \
+                        "0.0234431000, 0.0300737000, 0.0476937000, 0.0968738000, 0.2293269000, 0.5771967000, 1.4966632000", \
+                        "0.0234843000, 0.0301266000, 0.0477406000, 0.0967641000, 0.2290958000, 0.5779417000, 1.4918156000", \
+                        "0.0235058000, 0.0300676000, 0.0477858000, 0.0966788000, 0.2289943000, 0.5784267000, 1.4977383000", \
+                        "0.0235220000, 0.0300372000, 0.0477103000, 0.0969389000, 0.2289846000, 0.5770432000, 1.4975939000", \
+                        "0.0235514000, 0.0301143000, 0.0477436000, 0.0968273000, 0.2293491000, 0.5776321000, 1.4963948000", \
+                        "0.0235126000, 0.0300728000, 0.0477353000, 0.0969363000, 0.2293026000, 0.5775836000, 1.4951985000");
+                }
+                timing_sense : "non_unate";
+                timing_type : "rising_edge";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
+
+/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
+
+/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_1 */
+
+/* removed sky130_fd_sc_hd__ebufn_2 */
+
+/* removed sky130_fd_sc_hd__ebufn_4 */
+
+/* removed sky130_fd_sc_hd__ebufn_8 */
+
+/* removed sky130_fd_sc_hd__edfxbp_1 */
+
+/* removed sky130_fd_sc_hd__edfxtp_1 */
+
+/* removed sky130_fd_sc_hd__einvn_0 */
+
+/* removed sky130_fd_sc_hd__einvn_1 */
+
+/* removed sky130_fd_sc_hd__einvn_2 */
+
+/* removed sky130_fd_sc_hd__einvn_4 */
+
+/* removed sky130_fd_sc_hd__einvn_8 */
+
+/* removed sky130_fd_sc_hd__einvp_1 */
+
+/* removed sky130_fd_sc_hd__einvp_2 */
+
+/* removed sky130_fd_sc_hd__einvp_4 */
+
+/* removed sky130_fd_sc_hd__einvp_8 */
+
+/* removed sky130_fd_sc_hd__fa_1 */
+
+/* removed sky130_fd_sc_hd__fa_2 */
+
+/* removed sky130_fd_sc_hd__fa_4 */
+
+/* removed sky130_fd_sc_hd__fah_1 */
+
+/* removed sky130_fd_sc_hd__fahcin_1 */
+
+/* removed sky130_fd_sc_hd__fahcon_1 */
+
+/* removed sky130_fd_sc_hd__ha_1 */
+
+/* removed sky130_fd_sc_hd__ha_2 */
+
+/* removed sky130_fd_sc_hd__ha_4 */
+
+/* removed sky130_fd_sc_hd__inv_1 */
+
+/* removed sky130_fd_sc_hd__inv_12 */
+
+    cell ("sky130_fd_sc_hd__inv_16") {
+        leakage_power () {
+            value : 0.0106779000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0088949000;
+            when : "!A";
+        }
+        area : 20.019200000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0097863830;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0334420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0318840000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0349990000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
+                    values("-0.030674500, -0.032467200, -0.039748000, -0.071664200, -0.204577500, -0.725957200, -2.746443500", \
+                        "-0.034291900, -0.036135800, -0.043434800, -0.074455100, -0.205774200, -0.726450400, -2.746769000", \
+                        "-0.037187700, -0.039198400, -0.047016800, -0.078200400, -0.207941200, -0.727231500, -2.747056700", \
+                        "-0.038362800, -0.040592900, -0.049030100, -0.081728000, -0.211029500, -0.728448800, -2.747455000", \
+                        "-0.033762100, -0.036185600, -0.045457900, -0.079643200, -0.213383200, -0.730580500, -2.748166300", \
+                        "-0.022081300, -0.024792500, -0.035079300, -0.072970700, -0.210198800, -0.728775500, -2.748363600", \
+                        "0.0097426000, 0.0063139000, -0.005425700, -0.046842300, -0.193580100, -0.723880000, -2.746717800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
+                    values("0.0967107000, 0.0997483000, 0.1109936000, 0.1501386000, 0.2871024000, 0.8057040000, 2.7963814000", \
+                        "0.0944329000, 0.0971734000, 0.1076123000, 0.1461634000, 0.2850891000, 0.8039616000, 2.8025622000", \
+                        "0.0936338000, 0.0960910000, 0.1057220000, 0.1422643000, 0.2814786000, 0.8028242000, 2.8037671000", \
+                        "0.0941157000, 0.0965133000, 0.1071366000, 0.1409863000, 0.2776375000, 0.7989318000, 2.7958338000", \
+                        "0.1002031000, 0.1024628000, 0.1110491000, 0.1432086000, 0.2768670000, 0.7948048000, 2.7899073000", \
+                        "0.1095516000, 0.1114562000, 0.1191311000, 0.1511014000, 0.2812488000, 0.7933454000, 2.7910548000", \
+                        "0.1374594000, 0.1389749000, 0.1452969000, 0.1735626000, 0.2969403000, 0.8050621000, 2.7883007000");
+                }
+            }
+            max_capacitance : 1.6818330000;
+            max_transition : 1.5007520000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0152930000, 0.0158333000, 0.0177892000, 0.0242292000, 0.0463429000, 0.1299108000, 0.4538259000", \
+                        "0.0188253000, 0.0194521000, 0.0215532000, 0.0281749000, 0.0504815000, 0.1343457000, 0.4582893000", \
+                        "0.0229632000, 0.0239117000, 0.0272025000, 0.0368235000, 0.0608603000, 0.1450231000, 0.4687391000", \
+                        "0.0254070000, 0.0268786000, 0.0320302000, 0.0471170000, 0.0826403000, 0.1696619000, 0.4924210000", \
+                        "0.0210408000, 0.0233437000, 0.0313762000, 0.0549018000, 0.1106650000, 0.2270754000, 0.5504865000", \
+                        "-0.003563900, 1.840000e-05, 0.0123568000, 0.0487574000, 0.1365364000, 0.3142955000, 0.6832507000", \
+                        "-0.080721300, -0.075057500, -0.056605800, -0.000913900, 0.1341887000, 0.4148222000, 0.9557204000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0244637000, 0.0256173000, 0.0298987000, 0.0444630000, 0.0949800000, 0.2856741000, 1.0256670000", \
+                        "0.0293181000, 0.0304239000, 0.0344107000, 0.0487690000, 0.0998363000, 0.2905712000, 1.0239755000", \
+                        "0.0418382000, 0.0431627000, 0.0475056000, 0.0612770000, 0.1121823000, 0.3035129000, 1.0346417000", \
+                        "0.0616400000, 0.0637383000, 0.0709355000, 0.0910300000, 0.1431699000, 0.3341031000, 1.0729195000", \
+                        "0.0944502000, 0.0976167000, 0.1086020000, 0.1408403000, 0.2159773000, 0.4070581000, 1.1408607000", \
+                        "0.1531090000, 0.1576815000, 0.1737020000, 0.2227999000, 0.3401355000, 0.5801024000, 1.3090837000", \
+                        "0.2686322000, 0.2748245000, 0.2970122000, 0.3678749000, 0.5477521000, 0.9217622000, 1.7041840000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0065605000, 0.0070460000, 0.0090156000, 0.0170993000, 0.0492442000, 0.1747627000, 0.6592694000", \
+                        "0.0077545000, 0.0081687000, 0.0098562000, 0.0173326000, 0.0493403000, 0.1748288000, 0.6599624000", \
+                        "0.0122431000, 0.0128359000, 0.0151293000, 0.0225164000, 0.0503217000, 0.1746397000, 0.6601223000", \
+                        "0.0208532000, 0.0216998000, 0.0249784000, 0.0349794000, 0.0630429000, 0.1749580000, 0.6604945000", \
+                        "0.0364075000, 0.0379101000, 0.0430022000, 0.0577685000, 0.0953186000, 0.1974999000, 0.6590105000", \
+                        "0.0650616000, 0.0669402000, 0.0747888000, 0.0981152000, 0.1536593000, 0.2800197000, 0.6833182000", \
+                        "0.1193746000, 0.1228139000, 0.1347092000, 0.1710026000, 0.2540403000, 0.4320174000, 0.8453999000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
+                    values("0.0138044000, 0.0150460000, 0.0198161000, 0.0386202000, 0.1116631000, 0.3939241000, 1.4836817000", \
+                        "0.0140210000, 0.0152017000, 0.0199031000, 0.0385668000, 0.1113631000, 0.3935619000, 1.4872183000", \
+                        "0.0188524000, 0.0196174000, 0.0230981000, 0.0391955000, 0.1115320000, 0.3936547000, 1.4838160000", \
+                        "0.0307897000, 0.0320439000, 0.0370288000, 0.0515338000, 0.1128347000, 0.3925901000, 1.4850964000", \
+                        "0.0495808000, 0.0517571000, 0.0593213000, 0.0811927000, 0.1383305000, 0.3924309000, 1.4797776000", \
+                        "0.0802118000, 0.0836347000, 0.0956465000, 0.1303082000, 0.2111467000, 0.4273958000, 1.4814652000", \
+                        "0.1361709000, 0.1405824000, 0.1566964000, 0.2082857000, 0.3366694000, 0.5981944000, 1.5007523000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__inv_2 */
+
+/* removed sky130_fd_sc_hd__inv_4 */
+
+/* removed sky130_fd_sc_hd__inv_6 */
+
+    cell ("sky130_fd_sc_hd__inv_8") {
+        leakage_power () {
+            value : 0.0082791000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0038210000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__inv";
+        cell_leakage_power : 0.0060500540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0176530000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0168400000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0184670000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
+                    values("-0.015426100, -0.017031700, -0.023203100, -0.048025700, -0.141756500, -0.479709900, -1.687156600", \
+                        "-0.017287400, -0.019023500, -0.025157200, -0.049371900, -0.142235500, -0.479822600, -1.687276100", \
+                        "-0.018889200, -0.020716100, -0.027260400, -0.051365100, -0.143363300, -0.480162500, -1.687365700", \
+                        "-0.019349100, -0.021354700, -0.028201800, -0.053315000, -0.145097800, -0.480914900, -1.687397500", \
+                        "-0.016866600, -0.019047500, -0.026670600, -0.052967000, -0.146290000, -0.481858200, -1.687955100", \
+                        "-0.009756300, -0.012544400, -0.021248200, -0.049267200, -0.143573400, -0.482199100, -1.688358100", \
+                        "0.0074301000, 0.0042855000, -0.005131200, -0.036199500, -0.136855400, -0.478916000, -1.688094700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
+                    values("0.0500517000, 0.0526781000, 0.0616626000, 0.0907907000, 0.1858872000, 0.5229385000, 1.7159675000", \
+                        "0.0489046000, 0.0513336000, 0.0597713000, 0.0884790000, 0.1843756000, 0.5199276000, 1.7200452000", \
+                        "0.0488000000, 0.0510048000, 0.0586787000, 0.0865749000, 0.1839729000, 0.5171257000, 1.7152928000", \
+                        "0.0488373000, 0.0510046000, 0.0583381000, 0.0856850000, 0.1809917000, 0.5195810000, 1.7148138000", \
+                        "0.0509897000, 0.0529823000, 0.0600315000, 0.0859068000, 0.1795073000, 0.5171847000, 1.7103024000", \
+                        "0.0552576000, 0.0570631000, 0.0636992000, 0.0889754000, 0.1826954000, 0.5123181000, 1.7093391000", \
+                        "0.0728096000, 0.0741087000, 0.0795625000, 0.1022556000, 0.1917460000, 0.5219979000, 1.7044405000");
+                }
+            }
+            max_capacitance : 1.0354710000;
+            max_transition : 1.4996250000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0123297000, 0.0130319000, 0.0154135000, 0.0230701000, 0.0489012000, 0.1402316000, 0.4666703000", \
+                        "0.0159471000, 0.0168723000, 0.0196942000, 0.0275204000, 0.0536900000, 0.1451606000, 0.4703811000", \
+                        "0.0197728000, 0.0212245000, 0.0258394000, 0.0373346000, 0.0644274000, 0.1556234000, 0.4815072000", \
+                        "0.0224926000, 0.0248146000, 0.0318879000, 0.0500402000, 0.0889616000, 0.1814948000, 0.5052737000", \
+                        "0.0191159000, 0.0227360000, 0.0337779000, 0.0625044000, 0.1231077000, 0.2404573000, 0.5653590000", \
+                        "-0.002589400, 0.0030309000, 0.0201839000, 0.0651178000, 0.1601613000, 0.3434292000, 0.7014345000", \
+                        "-0.072666000, -0.063999000, -0.037823900, 0.0310945000, 0.1805251000, 0.4688840000, 0.9955849000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0202742000, 0.0219295000, 0.0275017000, 0.0455936000, 0.1049458000, 0.3147736000, 1.0550638000", \
+                        "0.0257929000, 0.0273028000, 0.0325167000, 0.0502622000, 0.1101536000, 0.3218706000, 1.0694470000", \
+                        "0.0382296000, 0.0403048000, 0.0461477000, 0.0631958000, 0.1234292000, 0.3310772000, 1.0747949000", \
+                        "0.0571632000, 0.0604406000, 0.0699357000, 0.0942658000, 0.1538116000, 0.3634873000, 1.1030601000", \
+                        "0.0880401000, 0.0931328000, 0.1086796000, 0.1474176000, 0.2291143000, 0.4343165000, 1.1747938000", \
+                        "0.1428338000, 0.1501958000, 0.1732611000, 0.2337166000, 0.3640337000, 0.6095962000, 1.3501105000", \
+                        "0.2501082000, 0.2603140000, 0.2927534000, 0.3813830000, 0.5841018000, 0.9721643000, 1.7479136000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0050158000, 0.0057564000, 0.0084430000, 0.0181318000, 0.0525400000, 0.1754386000, 0.6195076000", \
+                        "0.0070648000, 0.0076214000, 0.0095686000, 0.0181883000, 0.0527483000, 0.1765888000, 0.6151475000", \
+                        "0.0116018000, 0.0125604000, 0.0153961000, 0.0233952000, 0.0533055000, 0.1758861000, 0.6146265000", \
+                        "0.0200380000, 0.0216953000, 0.0260132000, 0.0374396000, 0.0647711000, 0.1753940000, 0.6144052000", \
+                        "0.0352642000, 0.0377053000, 0.0447282000, 0.0622156000, 0.1008997000, 0.1941964000, 0.6150727000", \
+                        "0.0632523000, 0.0669490000, 0.0782894000, 0.1059187000, 0.1652254000, 0.2829769000, 0.6345332000", \
+                        "0.1174102000, 0.1230575000, 0.1396005000, 0.1834808000, 0.2740662000, 0.4483394000, 0.8027686000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
+                    values("0.0119599000, 0.0138121000, 0.0203450000, 0.0438593000, 0.1268351000, 0.4257829000, 1.4839129000", \
+                        "0.0120751000, 0.0138756000, 0.0203696000, 0.0437900000, 0.1265724000, 0.4254328000, 1.4898222000", \
+                        "0.0180851000, 0.0192025000, 0.0235640000, 0.0438997000, 0.1280050000, 0.4225611000, 1.4858990000", \
+                        "0.0296468000, 0.0318590000, 0.0383461000, 0.0549473000, 0.1276238000, 0.4272980000, 1.4821471000", \
+                        "0.0485468000, 0.0519465000, 0.0622100000, 0.0877744000, 0.1483328000, 0.4255445000, 1.4844611000", \
+                        "0.0794384000, 0.0848726000, 0.1020007000, 0.1425726000, 0.2278606000, 0.4510004000, 1.4871820000", \
+                        "0.1345213000, 0.1419485000, 0.1656099000, 0.2296689000, 0.3676179000, 0.6189584000, 1.4996250000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
+
+/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
+
+/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
+
+/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
+
+/* removed sky130_fd_sc_hd__macro_sparecell */
+
+/* removed sky130_fd_sc_hd__maj3_1 */
+
+/* removed sky130_fd_sc_hd__maj3_2 */
+
+    cell ("sky130_fd_sc_hd__maj3_4") {
+        leakage_power () {
+            value : 0.0049017000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0040372000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0038141000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0048111000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0026805000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0048742000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0020872000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0029445000;
+            when : "A&B&!C";
+        }
+        area : 13.763200000;
+        cell_footprint : "sky130_fd_sc_hd__maj3";
+        cell_leakage_power : 0.0037688080;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043770000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078682000, 0.0078564000, 0.0078293000, 0.0078302000, 0.0078323000, 0.0078372000, 0.0078485000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007778200, -0.007774600, -0.007766400, -0.007768300, -0.007772800, -0.007783200, -0.007807100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045630000;
+        }
+        pin ("B") {
+            capacitance : 0.0041370000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0038700000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088941000, 0.0088957000, 0.0088993000, 0.0088970000, 0.0088918000, 0.0088798000, 0.0088521000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007131200, -0.007138400, -0.007155000, -0.007143100, -0.007115600, -0.007052100, -0.006905900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044040000;
+        }
+        pin ("C") {
+            capacitance : 0.0049570000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0047400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0098222000, 0.0098218000, 0.0098208000, 0.0098211000, 0.0098220000, 0.0098241000, 0.0098289000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008921100, -0.008918900, -0.008913900, -0.008908700, -0.008896700, -0.008869100, -0.008805400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0051750000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&B) | (A&C) | (B&C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0304469000, 0.0284829000, 0.0227540000, 0.0069444000, -0.046467000, -0.229125300, -0.823335300", \
+                        "0.0302719000, 0.0283612000, 0.0226726000, 0.0072532000, -0.046530300, -0.229161300, -0.823589200", \
+                        "0.0302063000, 0.0282752000, 0.0226083000, 0.0068244000, -0.046715500, -0.229332000, -0.823804800", \
+                        "0.0299386000, 0.0280666000, 0.0224096000, 0.0068572000, -0.046667800, -0.229594500, -0.823882500", \
+                        "0.0297770000, 0.0278585000, 0.0222413000, 0.0064297000, -0.047214500, -0.230008400, -0.824073100", \
+                        "0.0298446000, 0.0278951000, 0.0221386000, 0.0063301000, -0.047352700, -0.230278000, -0.824388000", \
+                        "0.0374279000, 0.0354252000, 0.0292183000, 0.0099410000, -0.047150200, -0.229862200, -0.824206700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0329215000, 0.0348999000, 0.0408701000, 0.0599630000, 0.1185089000, 0.3041198000, 0.8934265000", \
+                        "0.0327952000, 0.0346740000, 0.0406768000, 0.0595111000, 0.1182956000, 0.3034912000, 0.8965168000", \
+                        "0.0326280000, 0.0344828000, 0.0404778000, 0.0593070000, 0.1181582000, 0.3035967000, 0.8966103000", \
+                        "0.0323104000, 0.0342281000, 0.0401714000, 0.0591116000, 0.1179219000, 0.3031625000, 0.8965783000", \
+                        "0.0323909000, 0.0342232000, 0.0400662000, 0.0590094000, 0.1175481000, 0.3030531000, 0.8922681000", \
+                        "0.0334468000, 0.0352169000, 0.0409681000, 0.0587109000, 0.1171522000, 0.3015664000, 0.8918417000", \
+                        "0.0346780000, 0.0363717000, 0.0419606000, 0.0598241000, 0.1180454000, 0.3030990000, 0.8900040000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0242148000, 0.0221503000, 0.0167538000, 0.0011300000, -0.051538000, -0.233514100, -0.827717900", \
+                        "0.0238556000, 0.0218510000, 0.0164931000, 0.0009098000, -0.051803600, -0.233682200, -0.827894900", \
+                        "0.0236101000, 0.0216841000, 0.0162251000, 0.0005900000, -0.052164700, -0.234213900, -0.828407500", \
+                        "0.0228752000, 0.0210651000, 0.0154421000, 3.390000e-05, -0.052900100, -0.234878600, -0.828979400", \
+                        "0.0223231000, 0.0205126000, 0.0150232000, -0.000528200, -0.053485000, -0.235418600, -0.829514300", \
+                        "0.0228109000, 0.0208552000, 0.0152433000, 0.0001465000, -0.053422000, -0.235614800, -0.829574300", \
+                        "0.0319854000, 0.0297521000, 0.0230576000, 0.0032605000, -0.053963900, -0.234935000, -0.828911500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0325225000, 0.0344612000, 0.0404458000, 0.0594863000, 0.1183778000, 0.3036518000, 0.8966179000", \
+                        "0.0325753000, 0.0344826000, 0.0404807000, 0.0595710000, 0.1184860000, 0.3038801000, 0.8930482000", \
+                        "0.0325601000, 0.0344824000, 0.0404790000, 0.0595223000, 0.1183926000, 0.3034930000, 0.8968843000", \
+                        "0.0322777000, 0.0341766000, 0.0401797000, 0.0591944000, 0.1179761000, 0.3033093000, 0.8922101000", \
+                        "0.0320515000, 0.0338407000, 0.0397678000, 0.0585273000, 0.1171419000, 0.3024866000, 0.8912732000", \
+                        "0.0332630000, 0.0350359000, 0.0406383000, 0.0581689000, 0.1164150000, 0.3009921000, 0.8950227000", \
+                        "0.0342398000, 0.0359513000, 0.0414199000, 0.0593727000, 0.1169659000, 0.3019103000, 0.8868485000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0291793000, 0.0272489000, 0.0215223000, 0.0060897000, -0.047713200, -0.230224000, -0.824802400", \
+                        "0.0290414000, 0.0270753000, 0.0213415000, 0.0056681000, -0.047824900, -0.230531700, -0.824879900", \
+                        "0.0286544000, 0.0267014000, 0.0216259000, 0.0056484000, -0.048245900, -0.230820500, -0.825382500", \
+                        "0.0280915000, 0.0261247000, 0.0205049000, 0.0049331000, -0.048586600, -0.231559300, -0.825901300", \
+                        "0.0276328000, 0.0256951000, 0.0200885000, 0.0041819000, -0.049451800, -0.232212500, -0.826441600", \
+                        "0.0278526000, 0.0259194000, 0.0201087000, 0.0040489000, -0.049710100, -0.232622700, -0.826505300", \
+                        "0.0369400000, 0.0347175000, 0.0280998000, 0.0092402000, -0.048866000, -0.231205000, -0.825395300");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0349825000, 0.0368967000, 0.0428934000, 0.0618994000, 0.1205467000, 0.3059310000, 0.8947377000", \
+                        "0.0349846000, 0.0368935000, 0.0428889000, 0.0618636000, 0.1205067000, 0.3060801000, 0.8944916000", \
+                        "0.0350082000, 0.0368447000, 0.0428352000, 0.0616183000, 0.1205290000, 0.3054658000, 0.8990088000", \
+                        "0.0346088000, 0.0365335000, 0.0424708000, 0.0614077000, 0.1202299000, 0.3055668000, 0.8944006000", \
+                        "0.0344789000, 0.0362820000, 0.0421469000, 0.0609302000, 0.1192375000, 0.3048553000, 0.8939299000", \
+                        "0.0351280000, 0.0368744000, 0.0425692000, 0.0605723000, 0.1182988000, 0.3033406000, 0.8931492000", \
+                        "0.0364716000, 0.0381665000, 0.0436427000, 0.0613642000, 0.1193445000, 0.3031190000, 0.8891987000");
+                }
+            }
+            max_capacitance : 0.5346780000;
+            max_transition : 1.5032330000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.2982816000, 0.3038199000, 0.3183651000, 0.3500691000, 0.4116955000, 0.5379803000, 0.8601237000", \
+                        "0.3036218000, 0.3092397000, 0.3237584000, 0.3553060000, 0.4172415000, 0.5439167000, 0.8662964000", \
+                        "0.3164869000, 0.3220988000, 0.3366049000, 0.3681684000, 0.4300878000, 0.5561732000, 0.8786673000", \
+                        "0.3441771000, 0.3497640000, 0.3642288000, 0.3958046000, 0.4575137000, 0.5847610000, 0.9068960000", \
+                        "0.4070506000, 0.4126400000, 0.4270200000, 0.4587264000, 0.5204237000, 0.6476450000, 0.9698764000", \
+                        "0.5511098000, 0.5567483000, 0.5712807000, 0.6031034000, 0.6649633000, 0.7919383000, 1.1142874000", \
+                        "0.8235441000, 0.8302030000, 0.8472846000, 0.8839005000, 0.9538168000, 1.0888640000, 1.4149789000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.1398386000, 0.1445007000, 0.1570160000, 0.1872354000, 0.2637887000, 0.4896716000, 1.2047683000", \
+                        "0.1441140000, 0.1488030000, 0.1612627000, 0.1914134000, 0.2679676000, 0.4934209000, 1.2107545000", \
+                        "0.1533695000, 0.1580704000, 0.1704684000, 0.2005923000, 0.2770975000, 0.5029120000, 1.2188845000", \
+                        "0.1741119000, 0.1789009000, 0.1913049000, 0.2214997000, 0.2978656000, 0.5244709000, 1.2388888000", \
+                        "0.2188061000, 0.2236003000, 0.2362071000, 0.2666591000, 0.3430808000, 0.5693165000, 1.2823322000", \
+                        "0.2889059000, 0.2943832000, 0.3086692000, 0.3412249000, 0.4198165000, 0.6457444000, 1.3605370000", \
+                        "0.3671877000, 0.3741259000, 0.3918458000, 0.4302185000, 0.5134677000, 0.7396819000, 1.4535677000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0480111000, 0.0509818000, 0.0595206000, 0.0785341000, 0.1221650000, 0.2413299000, 0.6334857000", \
+                        "0.0477449000, 0.0509996000, 0.0596175000, 0.0778612000, 0.1208118000, 0.2402907000, 0.6327570000", \
+                        "0.0479851000, 0.0508010000, 0.0592531000, 0.0777980000, 0.1214351000, 0.2413637000, 0.6336002000", \
+                        "0.0476480000, 0.0508353000, 0.0595187000, 0.0776009000, 0.1202595000, 0.2402589000, 0.6337115000", \
+                        "0.0477030000, 0.0508657000, 0.0601269000, 0.0779187000, 0.1212961000, 0.2405756000, 0.6337828000", \
+                        "0.0495468000, 0.0525794000, 0.0606908000, 0.0795231000, 0.1210692000, 0.2410406000, 0.6331572000", \
+                        "0.0634753000, 0.0666128000, 0.0767572000, 0.0954372000, 0.1388102000, 0.2540226000, 0.6373865000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0287704000, 0.0321581000, 0.0424226000, 0.0718302000, 0.1658724000, 0.4816411000, 1.5011330000", \
+                        "0.0284876000, 0.0321148000, 0.0424350000, 0.0719040000, 0.1654801000, 0.4805679000, 1.4988581000", \
+                        "0.0285921000, 0.0321809000, 0.0424605000, 0.0719365000, 0.1656723000, 0.4813145000, 1.5031678000", \
+                        "0.0285478000, 0.0322308000, 0.0425917000, 0.0717006000, 0.1656925000, 0.4810042000, 1.5032335000", \
+                        "0.0299553000, 0.0334685000, 0.0434345000, 0.0726633000, 0.1660931000, 0.4819535000, 1.4992214000", \
+                        "0.0358418000, 0.0395472000, 0.0498884000, 0.0784772000, 0.1694258000, 0.4806275000, 1.5015686000", \
+                        "0.0487849000, 0.0530483000, 0.0647658000, 0.0923333000, 0.1773317000, 0.4835313000, 1.4953867000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.2877142000, 0.2934366000, 0.3081617000, 0.3409706000, 0.4071832000, 0.5431713000, 0.8733425000", \
+                        "0.2910071000, 0.2966570000, 0.3114307000, 0.3442677000, 0.4104581000, 0.5465794000, 0.8763169000", \
+                        "0.2995703000, 0.3051264000, 0.3198884000, 0.3527472000, 0.4189185000, 0.5551288000, 0.8849495000", \
+                        "0.3233845000, 0.3291541000, 0.3437937000, 0.3768179000, 0.4422955000, 0.5785066000, 0.9085578000", \
+                        "0.3883378000, 0.3939508000, 0.4086007000, 0.4404434000, 0.5066394000, 0.6424957000, 0.9725106000", \
+                        "0.5470321000, 0.5525416000, 0.5670647000, 0.6003900000, 0.6663881000, 0.8014946000, 1.1315689000", \
+                        "0.8424644000, 0.8493740000, 0.8674465000, 0.9069773000, 0.9814156000, 1.1242713000, 1.4613210000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.1297877000, 0.1345960000, 0.1473329000, 0.1779793000, 0.2549511000, 0.4814232000, 1.1968435000", \
+                        "0.1345561000, 0.1393394000, 0.1521238000, 0.1827599000, 0.2597665000, 0.4856721000, 1.1986012000", \
+                        "0.1447835000, 0.1495479000, 0.1623415000, 0.1929211000, 0.2697833000, 0.4961421000, 1.2097893000", \
+                        "0.1676961000, 0.1724696000, 0.1851475000, 0.2163432000, 0.2931181000, 0.5193058000, 1.2322141000", \
+                        "0.2176686000, 0.2227414000, 0.2359541000, 0.2671321000, 0.3441025000, 0.5697062000, 1.2841769000", \
+                        "0.2828905000, 0.2888500000, 0.3039513000, 0.3380082000, 0.4179304000, 0.6449555000, 1.3616225000", \
+                        "0.3432694000, 0.3507512000, 0.3700811000, 0.4112315000, 0.4970735000, 0.7249957000, 1.4399461000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0484639000, 0.0518238000, 0.0610585000, 0.0823204000, 0.1297988000, 0.2539670000, 0.6401499000", \
+                        "0.0486333000, 0.0520112000, 0.0604514000, 0.0822760000, 0.1311517000, 0.2541330000, 0.6406758000", \
+                        "0.0485292000, 0.0516238000, 0.0607101000, 0.0825405000, 0.1295241000, 0.2533853000, 0.6403420000", \
+                        "0.0487689000, 0.0522724000, 0.0603748000, 0.0816960000, 0.1309123000, 0.2534630000, 0.6407675000", \
+                        "0.0485143000, 0.0518830000, 0.0606955000, 0.0816301000, 0.1308802000, 0.2532295000, 0.6403221000", \
+                        "0.0507835000, 0.0537791000, 0.0618894000, 0.0832036000, 0.1298640000, 0.2543800000, 0.6396625000", \
+                        "0.0739911000, 0.0774957000, 0.0863614000, 0.1071831000, 0.1515944000, 0.2709342000, 0.6486939000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0292437000, 0.0326685000, 0.0429853000, 0.0722472000, 0.1658714000, 0.4809044000, 1.5023637000", \
+                        "0.0289733000, 0.0327390000, 0.0429202000, 0.0722430000, 0.1655579000, 0.4812390000, 1.4970926000", \
+                        "0.0291525000, 0.0327428000, 0.0429517000, 0.0721124000, 0.1656409000, 0.4801092000, 1.5017317000", \
+                        "0.0292061000, 0.0328039000, 0.0429218000, 0.0722006000, 0.1654600000, 0.4810535000, 1.4998309000", \
+                        "0.0320381000, 0.0352523000, 0.0452254000, 0.0739968000, 0.1661038000, 0.4802760000, 1.4964123000", \
+                        "0.0399108000, 0.0439115000, 0.0544773000, 0.0829993000, 0.1717011000, 0.4816945000, 1.5024492000", \
+                        "0.0556058000, 0.0602480000, 0.0725833000, 0.0998141000, 0.1824563000, 0.4849436000, 1.4976420000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.2985072000, 0.3040906000, 0.3186193000, 0.3502803000, 0.4123806000, 0.5386815000, 0.8610593000", \
+                        "0.3020327000, 0.3076051000, 0.3221746000, 0.3539337000, 0.4156433000, 0.5419465000, 0.8643353000", \
+                        "0.3107286000, 0.3163523000, 0.3308849000, 0.3623379000, 0.4245259000, 0.5511364000, 0.8734288000", \
+                        "0.3345385000, 0.3401951000, 0.3546578000, 0.3859930000, 0.4477014000, 0.5749295000, 0.8971104000", \
+                        "0.3964496000, 0.4021354000, 0.4165879000, 0.4486004000, 0.5104397000, 0.6375481000, 0.9597509000", \
+                        "0.5506259000, 0.5562119000, 0.5706405000, 0.6024073000, 0.6642150000, 0.7914135000, 1.1117753000", \
+                        "0.8302644000, 0.8372660000, 0.8557218000, 0.8953884000, 0.9684018000, 1.1044423000, 1.4304717000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.1418551000, 0.1465319000, 0.1591201000, 0.1892981000, 0.2658280000, 0.4923492000, 1.2053136000", \
+                        "0.1466252000, 0.1512918000, 0.1637318000, 0.1940300000, 0.2705433000, 0.4966526000, 1.2105714000", \
+                        "0.1573004000, 0.1619715000, 0.1743621000, 0.2044940000, 0.2809554000, 0.5074353000, 1.2208233000", \
+                        "0.1811613000, 0.1859303000, 0.1983733000, 0.2285677000, 0.3050185000, 0.5308034000, 1.2446026000", \
+                        "0.2356828000, 0.2404131000, 0.2529024000, 0.2831438000, 0.3595520000, 0.5856668000, 1.2988719000", \
+                        "0.3166054000, 0.3223577000, 0.3369670000, 0.3699212000, 0.4480240000, 0.6737811000, 1.3884390000", \
+                        "0.4036068000, 0.4110927000, 0.4299524000, 0.4700259000, 0.5536899000, 0.7794438000, 1.4941500000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0476810000, 0.0509452000, 0.0595548000, 0.0775806000, 0.1211471000, 0.2407587000, 0.6324776000", \
+                        "0.0479557000, 0.0509566000, 0.0595756000, 0.0779945000, 0.1222316000, 0.2415875000, 0.6333063000", \
+                        "0.0476623000, 0.0508203000, 0.0597935000, 0.0782374000, 0.1210240000, 0.2406033000, 0.6321584000", \
+                        "0.0476678000, 0.0510824000, 0.0591607000, 0.0775890000, 0.1203506000, 0.2402678000, 0.6337506000", \
+                        "0.0481093000, 0.0510712000, 0.0590908000, 0.0778420000, 0.1209264000, 0.2407900000, 0.6332283000", \
+                        "0.0496579000, 0.0529609000, 0.0607367000, 0.0788802000, 0.1214366000, 0.2409838000, 0.6331706000", \
+                        "0.0724792000, 0.0760310000, 0.0858801000, 0.1057226000, 0.1450007000, 0.2566941000, 0.6380012000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0286559000, 0.0324264000, 0.0427532000, 0.0719770000, 0.1659752000, 0.4816744000, 1.5017391000", \
+                        "0.0287954000, 0.0322435000, 0.0423807000, 0.0719287000, 0.1659113000, 0.4817787000, 1.4971139000", \
+                        "0.0286095000, 0.0322140000, 0.0425100000, 0.0718116000, 0.1655216000, 0.4806009000, 1.5021897000", \
+                        "0.0285919000, 0.0322565000, 0.0425201000, 0.0717623000, 0.1657222000, 0.4812690000, 1.5013426000", \
+                        "0.0298372000, 0.0333971000, 0.0433940000, 0.0723488000, 0.1660408000, 0.4819959000, 1.4999494000", \
+                        "0.0388138000, 0.0423713000, 0.0521299000, 0.0797192000, 0.1698460000, 0.4813911000, 1.4997481000", \
+                        "0.0546339000, 0.0591185000, 0.0705593000, 0.0969209000, 0.1793306000, 0.4842854000, 1.4960891000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__mux2_1 */
+
+/* removed sky130_fd_sc_hd__mux2_2 */
+
+/* removed sky130_fd_sc_hd__mux2_4 */
+
+/* removed sky130_fd_sc_hd__mux2_8 */
+
+/* removed sky130_fd_sc_hd__mux2i_1 */
+
+/* removed sky130_fd_sc_hd__mux2i_2 */
+
+/* removed sky130_fd_sc_hd__mux2i_4 */
+
+/* removed sky130_fd_sc_hd__mux4_1 */
+
+/* removed sky130_fd_sc_hd__mux4_2 */
+
+    cell ("sky130_fd_sc_hd__mux4_4") {
+        leakage_power () {
+            value : 0.0072464000;
+            when : "!A0&!A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0059859000;
+            when : "!A0&!A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0082752000;
+            when : "!A0&!A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0070148000;
+            when : "!A0&!A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0076441000;
+            when : "!A0&!A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0063836000;
+            when : "!A0&!A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0076514000;
+            when : "!A0&!A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0088244000;
+            when : "!A0&!A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0065558000;
+            when : "!A0&!A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0077288000;
+            when : "!A0&!A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0086710000;
+            when : "!A0&!A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0074106000;
+            when : "!A0&!A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0063162000;
+            when : "!A0&!A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0074892000;
+            when : "!A0&!A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0074181000;
+            when : "!A0&!A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0085911000;
+            when : "!A0&!A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0076421000;
+            when : "!A0&A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0063817000;
+            when : "!A0&A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0107468000;
+            when : "!A0&A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0070530000;
+            when : "!A0&A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0080398000;
+            when : "!A0&A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0067794000;
+            when : "!A0&A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0075942000;
+            when : "!A0&A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0063338000;
+            when : "!A0&A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0069516000;
+            when : "!A0&A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0081245000;
+            when : "!A0&A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0111426000;
+            when : "!A0&A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0074488000;
+            when : "!A0&A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0067119000;
+            when : "!A0&A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0078849000;
+            when : "!A0&A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0073609000;
+            when : "!A0&A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0061005000;
+            when : "!A0&A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0086616000;
+            when : "A0&!A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0049678000;
+            when : "A0&!A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0086866000;
+            when : "A0&!A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0074261000;
+            when : "A0&!A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0090593000;
+            when : "A0&!A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0053655000;
+            when : "A0&!A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0080627000;
+            when : "A0&!A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0092357000;
+            when : "A0&!A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0054423000;
+            when : "A0&!A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0041818000;
+            when : "A0&!A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0090823000;
+            when : "A0&!A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0078219000;
+            when : "A0&!A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0052026000;
+            when : "A0&!A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0039422000;
+            when : "A0&!A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0078294000;
+            when : "A0&!A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0090024000;
+            when : "A0&!A1&A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0085003000;
+            when : "A0&A1&!A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0048065000;
+            when : "A0&A1&!A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0105408000;
+            when : "A0&A1&!A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0068471000;
+            when : "A0&A1&!A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0088979000;
+            when : "A0&A1&!A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0052042000;
+            when : "A0&A1&!A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0073883000;
+            when : "A0&A1&!A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0061278000;
+            when : "A0&A1&!A2&A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0052809000;
+            when : "A0&A1&A2&!A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0040205000;
+            when : "A0&A1&A2&!A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0109366000;
+            when : "A0&A1&A2&!A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0072428000;
+            when : "A0&A1&A2&!A3&S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0050413000;
+            when : "A0&A1&A2&A3&!S0&S1";
+        }
+        leakage_power () {
+            value : 0.0037809000;
+            when : "A0&A1&A2&A3&!S0&!S1";
+        }
+        leakage_power () {
+            value : 0.0071550000;
+            when : "A0&A1&A2&A3&S0&S1";
+        }
+        leakage_power () {
+            value : 0.0058945000;
+            when : "A0&A1&A2&A3&S0&!S1";
+        }
+        area : 25.024000000;
+        cell_footprint : "sky130_fd_sc_hd__mux4";
+        cell_leakage_power : 0.0072365410;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A0") {
+            capacitance : 0.0017890000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0017040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0096456000, 0.0095878000, 0.0094547000, 0.0094624000, 0.0094802000, 0.0095212000, 0.0096157000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0027827000, 0.0027294000, 0.0026068000, 0.0026239000, 0.0026634000, 0.0027545000, 0.0029643000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018750000;
+        }
+        pin ("A1") {
+            capacitance : 0.0017780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0032909000, 0.0032862000, 0.0032753000, 0.0032757000, 0.0032766000, 0.0032786000, 0.0032830000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003179500, -0.003194500, -0.003229000, -0.003232500, -0.003240500, -0.003259000, -0.003301700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018670000;
+        }
+        pin ("A2") {
+            capacitance : 0.0017420000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016700000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0087646000, 0.0087121000, 0.0085912000, 0.0086002000, 0.0086209000, 0.0086687000, 0.0087787000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0047275000, 0.0046741000, 0.0045512000, 0.0045727000, 0.0046222000, 0.0047362000, 0.0049990000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018140000;
+        }
+        pin ("A3") {
+            capacitance : 0.0017450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016670000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0029108000, 0.0029110000, 0.0029114000, 0.0029092000, 0.0029043000, 0.0028929000, 0.0028666000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002818700, -0.002836400, -0.002877200, -0.002877700, -0.002879000, -0.002882000, -0.002889000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018230000;
+        }
+        pin ("S0") {
+            capacitance : 0.0054620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0051500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0197016000, 0.0194089000, 0.0187343000, 0.0187925000, 0.0189267000, 0.0192361000, 0.0199491000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0017680000, 0.0015591000, 0.0010776000, 0.0011124000, 0.0011928000, 0.0013781000, 0.0018052000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0057740000;
+        }
+        pin ("S1") {
+            capacitance : 0.0031760000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0030690000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0103286000, 0.0102071000, 0.0099269000, 0.0099983000, 0.0101629000, 0.0105424000, 0.0114171000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000896900, -0.001021400, -0.001308300, -0.001236800, -0.001072000, -0.000692200, 0.0001834000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0032830000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0231181000, 0.0206851000, 0.0136419000, -0.005651100, -0.063220900, -0.250235500, -0.860632800", \
+                        "0.0231363000, 0.0207809000, 0.0136695000, -0.005940400, -0.063231000, -0.250383300, -0.860679000", \
+                        "0.0229921000, 0.0206038000, 0.0136128000, -0.005637700, -0.063168200, -0.250294100, -0.860605900", \
+                        "0.0228919000, 0.0204525000, 0.0133667000, -0.005991000, -0.063304700, -0.250446000, -0.860703000", \
+                        "0.0229146000, 0.0204307000, 0.0133656000, -0.005853200, -0.063379500, -0.250596100, -0.860830300", \
+                        "0.0225390000, 0.0201351000, 0.0130641000, -0.006214500, -0.063758100, -0.250845100, -0.861015700", \
+                        "0.0238363000, 0.0213825000, 0.0141351000, -0.005352700, -0.063463500, -0.250781200, -0.860966800");
+                }
+                related_pin : "A0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0273708000, 0.0291008000, 0.0347800000, 0.0542825000, 0.1144003000, 0.3024492000, 0.9108463000", \
+                        "0.0274069000, 0.0291101000, 0.0349296000, 0.0541686000, 0.1144264000, 0.3023391000, 0.9066067000", \
+                        "0.0274426000, 0.0292064000, 0.0351108000, 0.0542635000, 0.1143557000, 0.3026556000, 0.9072322000", \
+                        "0.0273494000, 0.0291023000, 0.0349976000, 0.0541599000, 0.1142365000, 0.3025557000, 0.9028412000", \
+                        "0.0271989000, 0.0288932000, 0.0347376000, 0.0541790000, 0.1143420000, 0.3021639000, 0.9073292000", \
+                        "0.0272628000, 0.0290389000, 0.0347643000, 0.0539709000, 0.1138887000, 0.3020810000, 0.9071789000", \
+                        "0.0306881000, 0.0323366000, 0.0378163000, 0.0560130000, 0.1145662000, 0.3024728000, 0.9065507000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0313299000, 0.0289443000, 0.0216958000, 0.0025781000, -0.054570900, -0.241055100, -0.850823200", \
+                        "0.0309557000, 0.0285960000, 0.0214983000, 0.0025312000, -0.054784400, -0.241149900, -0.850907400", \
+                        "0.0308809000, 0.0284771000, 0.0214181000, 0.0023583000, -0.054784900, -0.241252800, -0.850962900", \
+                        "0.0309745000, 0.0286096000, 0.0215466000, 0.0024667000, -0.054940200, -0.241304500, -0.851054500", \
+                        "0.0307237000, 0.0283352000, 0.0213144000, 0.0022875000, -0.055048400, -0.241430400, -0.851180700", \
+                        "0.0305830000, 0.0282014000, 0.0211211000, 0.0020434000, -0.055168300, -0.241701600, -0.851360400", \
+                        "0.0319983000, 0.0296117000, 0.0223128000, 0.0029023000, -0.054542500, -0.241485700, -0.851231700");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0310926000, 0.0327988000, 0.0386400000, 0.0578938000, 0.1183263000, 0.3071145000, 0.9130792000", \
+                        "0.0309797000, 0.0327268000, 0.0385748000, 0.0579884000, 0.1182134000, 0.3069961000, 0.9130007000", \
+                        "0.0309380000, 0.0326323000, 0.0383976000, 0.0577139000, 0.1181810000, 0.3070545000, 0.9123385000", \
+                        "0.0308424000, 0.0325794000, 0.0381949000, 0.0576781000, 0.1179764000, 0.3069807000, 0.9166099000", \
+                        "0.0306978000, 0.0325497000, 0.0382510000, 0.0576860000, 0.1181857000, 0.3070417000, 0.9129093000", \
+                        "0.0309524000, 0.0327397000, 0.0385081000, 0.0574174000, 0.1178606000, 0.3065746000, 0.9127487000", \
+                        "0.0345385000, 0.0361554000, 0.0416321000, 0.0599334000, 0.1184755000, 0.3069568000, 0.9158800000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0249347000, 0.0225404000, 0.0154887000, -0.003651200, -0.061015300, -0.247300400, -0.856912500", \
+                        "0.0249460000, 0.0225428000, 0.0155219000, -0.003618100, -0.060949100, -0.247301300, -0.856913500", \
+                        "0.0251092000, 0.0226295000, 0.0156182000, -0.003577800, -0.060813800, -0.247219900, -0.856802500", \
+                        "0.0249404000, 0.0225254000, 0.0154597000, -0.003817700, -0.061011900, -0.247310300, -0.856895800", \
+                        "0.0248818000, 0.0225352000, 0.0154414000, -0.003769600, -0.060970400, -0.247346600, -0.856995300", \
+                        "0.0247898000, 0.0223669000, 0.0153335000, -0.003877800, -0.061211800, -0.247636100, -0.857189200", \
+                        "0.0260326000, 0.0236375000, 0.0163755000, -0.003072600, -0.060864800, -0.247705400, -0.857277600");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0248790000, 0.0267658000, 0.0323830000, 0.0519131000, 0.1124017000, 0.3019509000, 0.9039900000", \
+                        "0.0249031000, 0.0266348000, 0.0325002000, 0.0518112000, 0.1124646000, 0.3016817000, 0.9081917000", \
+                        "0.0249044000, 0.0267070000, 0.0326587000, 0.0519625000, 0.1124784000, 0.3019164000, 0.9087594000", \
+                        "0.0248937000, 0.0266234000, 0.0323640000, 0.0518493000, 0.1124529000, 0.3015446000, 0.9115056000", \
+                        "0.0247873000, 0.0264961000, 0.0323536000, 0.0518525000, 0.1124137000, 0.3012736000, 0.9072840000", \
+                        "0.0248091000, 0.0265649000, 0.0324378000, 0.0516690000, 0.1119540000, 0.3012366000, 0.9076471000", \
+                        "0.0282743000, 0.0299070000, 0.0354011000, 0.0536187000, 0.1124495000, 0.3008203000, 0.9059780000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0330694000, 0.0307302000, 0.0236196000, 0.0045304000, -0.052538100, -0.238966000, -0.848635000", \
+                        "0.0328523000, 0.0304581000, 0.0234134000, 0.0040596000, -0.053054500, -0.239199500, -0.848755300", \
+                        "0.0328762000, 0.0304056000, 0.0232916000, 0.0039985000, -0.052952500, -0.239232500, -0.848812100", \
+                        "0.0326572000, 0.0302792000, 0.0233086000, 0.0043926000, -0.052846800, -0.239322500, -0.848881800", \
+                        "0.0326724000, 0.0302968000, 0.0232116000, 0.0041175000, -0.053070200, -0.239353000, -0.848972000", \
+                        "0.0325190000, 0.0300782000, 0.0229753000, 0.0039723000, -0.053411500, -0.239634900, -0.849112700", \
+                        "0.0340377000, 0.0315958000, 0.0242704000, 0.0049868000, -0.052877200, -0.239425100, -0.849013900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0300261000, 0.0318129000, 0.0374832000, 0.0569420000, 0.1172896000, 0.3065212000, 0.9165801000", \
+                        "0.0299683000, 0.0317357000, 0.0374885000, 0.0567912000, 0.1172872000, 0.3063996000, 0.9120160000", \
+                        "0.0299133000, 0.0316802000, 0.0374131000, 0.0567836000, 0.1172318000, 0.3062233000, 0.9161029000", \
+                        "0.0298825000, 0.0316066000, 0.0373558000, 0.0567383000, 0.1171034000, 0.3062734000, 0.9163177000", \
+                        "0.0298486000, 0.0315182000, 0.0373332000, 0.0567402000, 0.1170789000, 0.3060643000, 0.9123362000", \
+                        "0.0299791000, 0.0317231000, 0.0374375000, 0.0565584000, 0.1167865000, 0.3057031000, 0.9120445000", \
+                        "0.0334711000, 0.0350440000, 0.0406353000, 0.0589232000, 0.1172716000, 0.3068990000, 0.9108025000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0327787000, 0.0303671000, 0.0231610000, 0.0041753000, -0.053038400, -0.239384800, -0.849191500", \
+                        "0.0327673000, 0.0303439000, 0.0233310000, 0.0042183000, -0.052925800, -0.239375200, -0.849161600", \
+                        "0.0327162000, 0.0303352000, 0.0233056000, 0.0040892000, -0.053118800, -0.239372400, -0.849116500", \
+                        "0.0319249000, 0.0295518000, 0.0225303000, 0.0034728000, -0.053672900, -0.240121100, -0.849869300", \
+                        "0.0313982000, 0.0289287000, 0.0218944000, 0.0027923000, -0.054407800, -0.240722100, -0.850397100", \
+                        "0.0309615000, 0.0285983000, 0.0216571000, 0.0025356000, -0.054665900, -0.241032500, -0.850711000", \
+                        "0.0368542000, 0.0344248000, 0.0271942000, 0.0066451000, -0.053617100, -0.240073300, -0.849707100");
+                }
+                related_pin : "S0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0386872000, 0.0404184000, 0.0462055000, 0.0656404000, 0.1258064000, 0.3137640000, 0.9191459000", \
+                        "0.0386126000, 0.0403727000, 0.0460612000, 0.0654537000, 0.1258037000, 0.3140023000, 0.9187922000", \
+                        "0.0387595000, 0.0404831000, 0.0462373000, 0.0656012000, 0.1258554000, 0.3137937000, 0.9185023000", \
+                        "0.0383534000, 0.0400696000, 0.0458811000, 0.0651456000, 0.1253680000, 0.3134867000, 0.9186961000", \
+                        "0.0376617000, 0.0394147000, 0.0451388000, 0.0645544000, 0.1247468000, 0.3127053000, 0.9174776000", \
+                        "0.0373633000, 0.0391312000, 0.0448388000, 0.0642151000, 0.1244768000, 0.3127159000, 0.9217790000", \
+                        "0.0395133000, 0.0412170000, 0.0467507000, 0.0651232000, 0.1248710000, 0.3126474000, 0.9168711000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0246172000, 0.0221653000, 0.0151037000, -0.004018100, -0.061085500, -0.247334000, -0.857009800", \
+                        "0.0245632000, 0.0221618000, 0.0150414000, -0.004074300, -0.061057800, -0.247359100, -0.857055900", \
+                        "0.0247313000, 0.0223347000, 0.0152543000, -0.004037900, -0.060940100, -0.247164900, -0.856880400", \
+                        "0.0245343000, 0.0221250000, 0.0150146000, -0.004073000, -0.061002700, -0.247335400, -0.857016300", \
+                        "0.0242783000, 0.0218230000, 0.0148072000, -0.004170300, -0.061135400, -0.247229100, -0.856927500", \
+                        "0.0238264000, 0.0215094000, 0.0145550000, -0.004260300, -0.061024500, -0.247190200, -0.856777200", \
+                        "0.0326548000, 0.0302096000, 0.0230503000, 0.0028510000, -0.057392900, -0.245997300, -0.855623600");
+                }
+                related_pin : "S1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000");
+                    values("0.0267332000, 0.0284574000, 0.0342556000, 0.0536177000, 0.1142203000, 0.3032269000, 0.9093049000", \
+                        "0.0265187000, 0.0283246000, 0.0340805000, 0.0535226000, 0.1138839000, 0.3029751000, 0.9129470000", \
+                        "0.0267372000, 0.0284534000, 0.0342341000, 0.0536333000, 0.1142523000, 0.3030441000, 0.9089739000", \
+                        "0.0266075000, 0.0284702000, 0.0341600000, 0.0535608000, 0.1139664000, 0.3031110000, 0.9131580000", \
+                        "0.0266445000, 0.0284585000, 0.0342218000, 0.0536676000, 0.1141029000, 0.3031357000, 0.9131185000", \
+                        "0.0272205000, 0.0289188000, 0.0347400000, 0.0539508000, 0.1145428000, 0.3034456000, 0.9099104000", \
+                        "0.0306143000, 0.0323708000, 0.0381022000, 0.0564953000, 0.1154273000, 0.3048316000, 0.9082048000");
+                }
+            }
+            max_capacitance : 0.5484110000;
+            max_transition : 1.5049570000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5511351000, 0.5593666000, 0.5809816000, 0.6296572000, 0.7247308000, 0.9040786000, 1.2844931000", \
+                        "0.5562673000, 0.5642555000, 0.5862656000, 0.6344965000, 0.7295515000, 0.9095681000, 1.2899085000", \
+                        "0.5683765000, 0.5765898000, 0.5985503000, 0.6475360000, 0.7421561000, 0.9218291000, 1.3025890000", \
+                        "0.5933126000, 0.6013228000, 0.6232084000, 0.6718185000, 0.7671058000, 0.9468483000, 1.3273107000", \
+                        "0.6477588000, 0.6559274000, 0.6777904000, 0.7263123000, 0.8211340000, 1.0011202000, 1.3817083000", \
+                        "0.7734248000, 0.7815513000, 0.8031601000, 0.8520157000, 0.9467473000, 1.1268635000, 1.5074080000", \
+                        "1.0414859000, 1.0497447000, 1.0721611000, 1.1222130000, 1.2198434000, 1.4006099000, 1.7840489000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2497307000, 0.2566626000, 0.2748399000, 0.3166637000, 0.4084322000, 0.6406042000, 1.3504052000", \
+                        "0.2540533000, 0.2610078000, 0.2792779000, 0.3207157000, 0.4126439000, 0.6447075000, 1.3551006000", \
+                        "0.2626244000, 0.2695751000, 0.2878427000, 0.3294537000, 0.4213951000, 0.6536290000, 1.3606468000", \
+                        "0.2819044000, 0.2888523000, 0.3071247000, 0.3487402000, 0.4406880000, 0.6729425000, 1.3800885000", \
+                        "0.3238097000, 0.3308098000, 0.3492671000, 0.3909036000, 0.4827377000, 0.7148409000, 1.4229128000", \
+                        "0.4024919000, 0.4097284000, 0.4287529000, 0.4717561000, 0.5649196000, 0.7979647000, 1.5068173000", \
+                        "0.5181710000, 0.5261363000, 0.5471008000, 0.5942831000, 0.6928232000, 0.9300516000, 1.6380233000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0989808000, 0.1034866000, 0.1145933000, 0.1419831000, 0.1995022000, 0.3355318000, 0.7187358000", \
+                        "0.0995136000, 0.1033841000, 0.1144439000, 0.1410601000, 0.2008961000, 0.3353965000, 0.7186505000", \
+                        "0.0995512000, 0.1032058000, 0.1146344000, 0.1417018000, 0.1987394000, 0.3337453000, 0.7192758000", \
+                        "0.0995441000, 0.1034948000, 0.1142851000, 0.1410874000, 0.2005320000, 0.3359727000, 0.7184470000", \
+                        "0.0994216000, 0.1038037000, 0.1150644000, 0.1417292000, 0.1991746000, 0.3360403000, 0.7188353000", \
+                        "0.0992606000, 0.1033392000, 0.1147305000, 0.1414609000, 0.1987398000, 0.3352800000, 0.7184398000", \
+                        "0.1080008000, 0.1118663000, 0.1244273000, 0.1507662000, 0.2057070000, 0.3394742000, 0.7210442000");
+                }
+                related_pin : "A0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0469551000, 0.0519594000, 0.0652969000, 0.0994962000, 0.1916715000, 0.4906091000, 1.4993743000", \
+                        "0.0470113000, 0.0519833000, 0.0655467000, 0.0998979000, 0.1914660000, 0.4903976000, 1.4992240000", \
+                        "0.0472155000, 0.0521812000, 0.0657318000, 0.0995334000, 0.1917506000, 0.4903704000, 1.4988711000", \
+                        "0.0472526000, 0.0522105000, 0.0657343000, 0.0995292000, 0.1917427000, 0.4902867000, 1.4986310000", \
+                        "0.0471073000, 0.0519847000, 0.0655843000, 0.0994820000, 0.1911188000, 0.4908888000, 1.5004276000", \
+                        "0.0503737000, 0.0554980000, 0.0687499000, 0.1030809000, 0.1933486000, 0.4908319000, 1.5004361000", \
+                        "0.0583347000, 0.0641627000, 0.0784276000, 0.1134466000, 0.2037941000, 0.4970880000, 1.4990237000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5428973000, 0.5508738000, 0.5725146000, 0.6207093000, 0.7151554000, 0.8937675000, 1.2732141000", \
+                        "0.5474949000, 0.5557050000, 0.5772357000, 0.6253060000, 0.7198838000, 0.8981565000, 1.2776514000", \
+                        "0.5590380000, 0.5669504000, 0.5885963000, 0.6368642000, 0.7314728000, 0.9099135000, 1.2891772000", \
+                        "0.5822063000, 0.5902077000, 0.6118564000, 0.6595287000, 0.7541966000, 0.9328983000, 1.3123180000", \
+                        "0.6305278000, 0.6386015000, 0.6600478000, 0.7082787000, 0.8025898000, 0.9815903000, 1.3607293000", \
+                        "0.7427013000, 0.7507021000, 0.7723688000, 0.8208352000, 0.9155459000, 1.0941631000, 1.4733841000", \
+                        "0.9744372000, 0.9827562000, 1.0051369000, 1.0549202000, 1.1526804000, 1.3337258000, 1.7156742000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2554338000, 0.2623564000, 0.2810574000, 0.3231693000, 0.4162795000, 0.6500099000, 1.3595665000", \
+                        "0.2595898000, 0.2666062000, 0.2852082000, 0.3275401000, 0.4204785000, 0.6542294000, 1.3637419000", \
+                        "0.2689638000, 0.2760147000, 0.2944528000, 0.3367024000, 0.4298241000, 0.6634305000, 1.3760927000", \
+                        "0.2897577000, 0.2968700000, 0.3149507000, 0.3577412000, 0.4507734000, 0.6846246000, 1.3954479000", \
+                        "0.3353829000, 0.3424255000, 0.3610042000, 0.4033631000, 0.4965389000, 0.7304570000, 1.4384275000", \
+                        "0.4233376000, 0.4306432000, 0.4498588000, 0.4933379000, 0.5873325000, 0.8219387000, 1.5304100000", \
+                        "0.5590672000, 0.5670750000, 0.5882984000, 0.6358423000, 0.7357892000, 0.9740031000, 1.6856035000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0977586000, 0.1016509000, 0.1126937000, 0.1389133000, 0.1964490000, 0.3318056000, 0.7171592000", \
+                        "0.0970098000, 0.1010492000, 0.1121661000, 0.1405547000, 0.1975329000, 0.3331771000, 0.7147479000", \
+                        "0.0975956000, 0.1010350000, 0.1119585000, 0.1404865000, 0.1976751000, 0.3337060000, 0.7147625000", \
+                        "0.0970658000, 0.1010228000, 0.1122727000, 0.1409137000, 0.1989623000, 0.3319715000, 0.7155654000", \
+                        "0.0972049000, 0.1012110000, 0.1128933000, 0.1392625000, 0.1994351000, 0.3335263000, 0.7160186000", \
+                        "0.0969506000, 0.1008754000, 0.1124578000, 0.1405012000, 0.1978075000, 0.3334774000, 0.7158682000", \
+                        "0.1067427000, 0.1106503000, 0.1224766000, 0.1495116000, 0.2063890000, 0.3388899000, 0.7186013000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0475638000, 0.0527234000, 0.0665765000, 0.1010246000, 0.1927574000, 0.4910136000, 1.5006220000", \
+                        "0.0476591000, 0.0526626000, 0.0667664000, 0.1007149000, 0.1930144000, 0.4906829000, 1.5005889000", \
+                        "0.0477833000, 0.0527329000, 0.0663226000, 0.1007498000, 0.1925572000, 0.4911735000, 1.5002575000", \
+                        "0.0476373000, 0.0526429000, 0.0661894000, 0.1008391000, 0.1929629000, 0.4915956000, 1.5013834000", \
+                        "0.0483471000, 0.0534559000, 0.0662490000, 0.1007102000, 0.1931016000, 0.4916867000, 1.5010494000", \
+                        "0.0505355000, 0.0559557000, 0.0700912000, 0.1033093000, 0.1949741000, 0.4924196000, 1.5001997000", \
+                        "0.0589543000, 0.0645584000, 0.0798678000, 0.1149379000, 0.2049782000, 0.4968996000, 1.5010783000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5485432000, 0.5565149000, 0.5783009000, 0.6268399000, 0.7217823000, 0.9016538000, 1.2823326000", \
+                        "0.5539315000, 0.5619391000, 0.5836745000, 0.6322532000, 0.7271113000, 0.9069490000, 1.2876842000", \
+                        "0.5666013000, 0.5744030000, 0.5962917000, 0.6452491000, 0.7397506000, 0.9193593000, 1.3002280000", \
+                        "0.5910437000, 0.5990358000, 0.6208506000, 0.6693758000, 0.7644050000, 0.9443044000, 1.3249012000", \
+                        "0.6446087000, 0.6527432000, 0.6742615000, 0.7227596000, 0.8177954000, 0.9977414000, 1.3783266000", \
+                        "0.7678914000, 0.7759601000, 0.7975431000, 0.8461647000, 0.9409398000, 1.1204320000, 1.5013986000", \
+                        "1.0244756000, 1.0330625000, 1.0551147000, 1.1052139000, 1.2025760000, 1.3835211000, 1.7664951000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2505740000, 0.2575839000, 0.2757541000, 0.3177299000, 0.4102466000, 0.6439384000, 1.3536222000", \
+                        "0.2549987000, 0.2618639000, 0.2803054000, 0.3219599000, 0.4144703000, 0.6478728000, 1.3578565000", \
+                        "0.2639687000, 0.2709443000, 0.2892465000, 0.3312167000, 0.4236026000, 0.6572852000, 1.3655086000", \
+                        "0.2839054000, 0.2908134000, 0.3089880000, 0.3509335000, 0.4433533000, 0.6768906000, 1.3888503000", \
+                        "0.3269109000, 0.3338920000, 0.3524062000, 0.3942205000, 0.4866726000, 0.7200303000, 1.4323357000", \
+                        "0.4100704000, 0.4172731000, 0.4362988000, 0.4794147000, 0.5726820000, 0.8068836000, 1.5167803000", \
+                        "0.5344710000, 0.5424101000, 0.5634765000, 0.6104126000, 0.7095744000, 0.9470971000, 1.6587842000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0990262000, 0.1031829000, 0.1141751000, 0.1402213000, 0.2008007000, 0.3348032000, 0.7180400000", \
+                        "0.0984871000, 0.1027018000, 0.1141811000, 0.1403136000, 0.1975898000, 0.3351709000, 0.7179983000", \
+                        "0.0986890000, 0.1028050000, 0.1139871000, 0.1409416000, 0.1978784000, 0.3331804000, 0.7169176000", \
+                        "0.0984597000, 0.1029736000, 0.1138309000, 0.1402262000, 0.2003030000, 0.3342279000, 0.7181661000", \
+                        "0.0984857000, 0.1023804000, 0.1147935000, 0.1405240000, 0.2006229000, 0.3343901000, 0.7183014000", \
+                        "0.0985979000, 0.1027967000, 0.1141421000, 0.1414536000, 0.1981381000, 0.3327953000, 0.7186963000", \
+                        "0.1077428000, 0.1110916000, 0.1231668000, 0.1497083000, 0.2047235000, 0.3390741000, 0.7188954000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0473224000, 0.0524061000, 0.0651372000, 0.0993811000, 0.1915290000, 0.4898540000, 1.4975972000", \
+                        "0.0466698000, 0.0517255000, 0.0654326000, 0.0997361000, 0.1911196000, 0.4897707000, 1.5004009000", \
+                        "0.0474203000, 0.0517253000, 0.0656599000, 0.0994497000, 0.1914597000, 0.4900421000, 1.5046079000", \
+                        "0.0468260000, 0.0516672000, 0.0657222000, 0.0990894000, 0.1912815000, 0.4909314000, 1.4991518000", \
+                        "0.0469191000, 0.0517940000, 0.0654025000, 0.0991787000, 0.1909429000, 0.4909693000, 1.4990499000", \
+                        "0.0494596000, 0.0544212000, 0.0678397000, 0.1025037000, 0.1928901000, 0.4902329000, 1.5040785000", \
+                        "0.0575734000, 0.0629993000, 0.0780151000, 0.1124390000, 0.2036215000, 0.4965687000, 1.4983740000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5573597000, 0.5654886000, 0.5874113000, 0.6357712000, 0.7309938000, 0.9115900000, 1.2932240000", \
+                        "0.5623915000, 0.5705902000, 0.5923388000, 0.6409193000, 0.7362236000, 0.9168338000, 1.2980357000", \
+                        "0.5748436000, 0.5829650000, 0.6046650000, 0.6533674000, 0.7489377000, 0.9293398000, 1.3105336000", \
+                        "0.5997535000, 0.6078032000, 0.6295693000, 0.6781374000, 0.7735474000, 0.9538896000, 1.3354049000", \
+                        "0.6522932000, 0.6603584000, 0.6816943000, 0.7304686000, 0.8256784000, 1.0062082000, 1.3877940000", \
+                        "0.7719122000, 0.7797381000, 0.8017769000, 0.8504589000, 0.9455512000, 1.1257106000, 1.5074717000", \
+                        "1.0241471000, 1.0325233000, 1.0561564000, 1.1065977000, 1.2041450000, 1.3843912000, 1.7686359000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2515601000, 0.2585706000, 0.2768004000, 0.3190225000, 0.4116030000, 0.6453398000, 1.3556201000", \
+                        "0.2557357000, 0.2628216000, 0.2810775000, 0.3229994000, 0.4156875000, 0.6490753000, 1.3616978000", \
+                        "0.2647048000, 0.2716448000, 0.2899092000, 0.3320278000, 0.4246108000, 0.6583004000, 1.3696418000", \
+                        "0.2849865000, 0.2919192000, 0.3102252000, 0.3523164000, 0.4448885000, 0.6786396000, 1.3892459000", \
+                        "0.3287904000, 0.3357387000, 0.3543026000, 0.3962963000, 0.4889769000, 0.7223158000, 1.4319945000", \
+                        "0.4125204000, 0.4197661000, 0.4386356000, 0.4818808000, 0.5755352000, 0.8096108000, 1.5198441000", \
+                        "0.5371828000, 0.5451249000, 0.5661226000, 0.6132568000, 0.7123904000, 0.9503999000, 1.6593404000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0992834000, 0.1032710000, 0.1144458000, 0.1434821000, 0.2011154000, 0.3370172000, 0.7190256000", \
+                        "0.0992078000, 0.1032051000, 0.1149868000, 0.1409148000, 0.2013284000, 0.3355572000, 0.7193779000", \
+                        "0.0996637000, 0.1029081000, 0.1145298000, 0.1409313000, 0.2004030000, 0.3357639000, 0.7193915000", \
+                        "0.0990876000, 0.1033603000, 0.1155268000, 0.1436456000, 0.2002433000, 0.3361278000, 0.7190846000", \
+                        "0.0999311000, 0.1036206000, 0.1153993000, 0.1415178000, 0.1986420000, 0.3364885000, 0.7191500000", \
+                        "0.0993143000, 0.1031455000, 0.1140970000, 0.1427899000, 0.2017309000, 0.3344065000, 0.7178080000", \
+                        "0.1083316000, 0.1121399000, 0.1237216000, 0.1515321000, 0.2053572000, 0.3401121000, 0.7223800000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0476104000, 0.0519601000, 0.0654048000, 0.0998380000, 0.1919203000, 0.4905004000, 1.5030495000", \
+                        "0.0469315000, 0.0519870000, 0.0654058000, 0.0997569000, 0.1914997000, 0.4911819000, 1.4999613000", \
+                        "0.0469520000, 0.0518231000, 0.0652386000, 0.0993248000, 0.1919089000, 0.4911620000, 1.5003885000", \
+                        "0.0470131000, 0.0518871000, 0.0654565000, 0.0997752000, 0.1919921000, 0.4907146000, 1.5022807000", \
+                        "0.0471652000, 0.0521575000, 0.0655642000, 0.0998023000, 0.1918240000, 0.4904230000, 1.5002335000", \
+                        "0.0497500000, 0.0548559000, 0.0692212000, 0.1032016000, 0.1934511000, 0.4906433000, 1.5006104000", \
+                        "0.0587023000, 0.0632265000, 0.0775384000, 0.1138971000, 0.2037235000, 0.4959212000, 1.4993180000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5121706000, 0.5201456000, 0.5420202000, 0.5906303000, 0.6854906000, 0.8652397000, 1.2461058000", \
+                        "0.5161833000, 0.5244037000, 0.5461788000, 0.5946413000, 0.6898958000, 0.8692166000, 1.2500865000", \
+                        "0.5275998000, 0.5355978000, 0.5575171000, 0.6060903000, 0.7011410000, 0.8811805000, 1.2615338000", \
+                        "0.5558211000, 0.5639941000, 0.5857861000, 0.6342440000, 0.7294703000, 0.9087816000, 1.2896971000", \
+                        "0.6242994000, 0.6323159000, 0.6541964000, 0.7027128000, 0.7976392000, 0.9774082000, 1.3582757000", \
+                        "0.7882584000, 0.7963067000, 0.8180716000, 0.8666673000, 0.9614508000, 1.1412998000, 1.5221199000", \
+                        "1.1536195000, 1.1618597000, 1.1842217000, 1.2342982000, 1.3308822000, 1.5120283000, 1.8939266000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2379060000, 0.2449986000, 0.2635590000, 0.3062159000, 0.3995884000, 0.6337639000, 1.3438068000", \
+                        "0.2420492000, 0.2490355000, 0.2676342000, 0.3102909000, 0.4035980000, 0.6375671000, 1.3498428000", \
+                        "0.2516542000, 0.2586485000, 0.2772703000, 0.3199239000, 0.4132514000, 0.6472433000, 1.3600503000", \
+                        "0.2734779000, 0.2805861000, 0.2992180000, 0.3419789000, 0.4351437000, 0.6695121000, 1.3788412000", \
+                        "0.3200286000, 0.3271289000, 0.3458435000, 0.3885113000, 0.4815457000, 0.7155750000, 1.4271626000", \
+                        "0.4034784000, 0.4110078000, 0.4305132000, 0.4746656000, 0.5693622000, 0.8042235000, 1.5125746000", \
+                        "0.5101153000, 0.5187124000, 0.5413368000, 0.5914922000, 0.6933069000, 0.9319467000, 1.6413890000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0984830000, 0.1023337000, 0.1132889000, 0.1418145000, 0.1977302000, 0.3336920000, 0.7174669000", \
+                        "0.0984066000, 0.1023550000, 0.1135778000, 0.1417658000, 0.1989325000, 0.3341327000, 0.7169450000", \
+                        "0.0985103000, 0.1023927000, 0.1133647000, 0.1420813000, 0.1996848000, 0.3345448000, 0.7182264000", \
+                        "0.0984249000, 0.1023209000, 0.1136024000, 0.1416653000, 0.1988512000, 0.3345944000, 0.7162470000", \
+                        "0.0988662000, 0.1026823000, 0.1137436000, 0.1402421000, 0.1976997000, 0.3356329000, 0.7176458000", \
+                        "0.0984897000, 0.1022963000, 0.1134288000, 0.1402413000, 0.1975929000, 0.3350070000, 0.7180846000", \
+                        "0.1118158000, 0.1146486000, 0.1261592000, 0.1510180000, 0.2055067000, 0.3375440000, 0.7180009000");
+                }
+                related_pin : "S0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0483420000, 0.0532956000, 0.0668493000, 0.1014615000, 0.1936424000, 0.4919009000, 1.5007967000", \
+                        "0.0485060000, 0.0537219000, 0.0668685000, 0.1012875000, 0.1932437000, 0.4921705000, 1.4997229000", \
+                        "0.0483729000, 0.0538755000, 0.0668881000, 0.1013273000, 0.1931205000, 0.4917372000, 1.5003205000", \
+                        "0.0490991000, 0.0542059000, 0.0671286000, 0.1012499000, 0.1934002000, 0.4909883000, 1.5049573000", \
+                        "0.0482958000, 0.0532512000, 0.0670356000, 0.1010479000, 0.1933714000, 0.4921922000, 1.5004646000", \
+                        "0.0525279000, 0.0578023000, 0.0712270000, 0.1058941000, 0.1956963000, 0.4919554000, 1.5003844000", \
+                        "0.0680371000, 0.0726095000, 0.0875027000, 0.1216774000, 0.2092825000, 0.4991863000, 1.4970905000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.5805124000, 0.5887047000, 0.6099876000, 0.6581308000, 0.7526157000, 0.9309686000, 1.3104148000", \
+                        "0.5851276000, 0.5932020000, 0.6146840000, 0.6630533000, 0.7571532000, 0.9357112000, 1.3151955000", \
+                        "0.5958687000, 0.6038625000, 0.6252134000, 0.6733009000, 0.7678424000, 0.9462388000, 1.3256128000", \
+                        "0.6174997000, 0.6255823000, 0.6469600000, 0.6952536000, 0.7895010000, 0.9683446000, 1.3475295000", \
+                        "0.6527852000, 0.6604666000, 0.6819999000, 0.7302020000, 0.8247181000, 1.0031260000, 1.3826094000", \
+                        "0.6980547000, 0.7058461000, 0.7275592000, 0.7758782000, 0.8700487000, 1.0488721000, 1.4279917000", \
+                        "0.7437503000, 0.7517127000, 0.7734029000, 0.8215776000, 0.9157951000, 1.0949091000, 1.4737264000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.3513580000, 0.3583886000, 0.3765095000, 0.4182641000, 0.5101035000, 0.7423015000, 1.4530138000", \
+                        "0.3548574000, 0.3618115000, 0.3799623000, 0.4217084000, 0.5136843000, 0.7460260000, 1.4532390000", \
+                        "0.3662779000, 0.3731943000, 0.3914481000, 0.4331181000, 0.5250261000, 0.7571502000, 1.4683180000", \
+                        "0.3967879000, 0.4037522000, 0.4220055000, 0.4635239000, 0.5554211000, 0.7873728000, 1.4959578000", \
+                        "0.4668939000, 0.4739642000, 0.4920727000, 0.5338242000, 0.6256726000, 0.8578237000, 1.5689336000", \
+                        "0.5907985000, 0.5977948000, 0.6159740000, 0.6578396000, 0.7499263000, 0.9823650000, 1.6914922000", \
+                        "0.7885052000, 0.7955018000, 0.8138561000, 0.8558544000, 0.9479749000, 1.1804466000, 1.8880477000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0973668000, 0.1010683000, 0.1121474000, 0.1402325000, 0.1973108000, 0.3327193000, 0.7140500000", \
+                        "0.0972516000, 0.1014378000, 0.1133132000, 0.1396784000, 0.1968433000, 0.3319808000, 0.7171340000", \
+                        "0.0972646000, 0.1013330000, 0.1134348000, 0.1400774000, 0.1974211000, 0.3336180000, 0.7139791000", \
+                        "0.0972729000, 0.1013724000, 0.1133385000, 0.1396519000, 0.1964611000, 0.3331392000, 0.7156041000", \
+                        "0.0973321000, 0.1009392000, 0.1128541000, 0.1400788000, 0.1972145000, 0.3330000000, 0.7149964000", \
+                        "0.0967812000, 0.1011234000, 0.1124967000, 0.1398277000, 0.1969314000, 0.3315646000, 0.7155690000", \
+                        "0.0970228000, 0.1007721000, 0.1123227000, 0.1386591000, 0.1959538000, 0.3320772000, 0.7162096000");
+                }
+                related_pin : "S0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0469688000, 0.0520825000, 0.0658963000, 0.0992585000, 0.1913915000, 0.4907778000, 1.4992044000", \
+                        "0.0471620000, 0.0521362000, 0.0654074000, 0.0995629000, 0.1918583000, 0.4906146000, 1.5036581000", \
+                        "0.0469966000, 0.0519992000, 0.0658777000, 0.0994450000, 0.1913365000, 0.4908839000, 1.4993952000", \
+                        "0.0470551000, 0.0520218000, 0.0656066000, 0.0998412000, 0.1912286000, 0.4905348000, 1.5003194000", \
+                        "0.0470699000, 0.0520334000, 0.0659311000, 0.0993041000, 0.1913800000, 0.4908840000, 1.4995719000", \
+                        "0.0474789000, 0.0524546000, 0.0664788000, 0.0997582000, 0.1917778000, 0.4897115000, 1.5027576000", \
+                        "0.0475758000, 0.0524572000, 0.0660360000, 0.1000754000, 0.1919969000, 0.4896913000, 1.4983227000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.3684631000, 0.3764960000, 0.3979629000, 0.4463234000, 0.5410458000, 0.7190574000, 1.0982670000", \
+                        "0.3732305000, 0.3812288000, 0.4027030000, 0.4515127000, 0.5456452000, 0.7241150000, 1.1033550000", \
+                        "0.3846229000, 0.3926513000, 0.4142892000, 0.4625904000, 0.5570647000, 0.7355476000, 1.1147356000", \
+                        "0.4099107000, 0.4182103000, 0.4390656000, 0.4878451000, 0.5823684000, 0.7606208000, 1.1397695000", \
+                        "0.4604675000, 0.4684543000, 0.4900820000, 0.5380756000, 0.6318703000, 0.8099232000, 1.1887795000", \
+                        "0.5586677000, 0.5663335000, 0.5869422000, 0.6343909000, 0.7282115000, 0.9063691000, 1.2847322000", \
+                        "0.7407149000, 0.7503569000, 0.7770291000, 0.8365106000, 0.9476453000, 1.1385177000, 1.5231886000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.1972775000, 0.2042434000, 0.2224734000, 0.2644189000, 0.3571481000, 0.5908089000, 1.2987882000", \
+                        "0.2018193000, 0.2087730000, 0.2270716000, 0.2691273000, 0.3617407000, 0.5954073000, 1.3053346000", \
+                        "0.2125655000, 0.2195158000, 0.2378255000, 0.2798421000, 0.3724955000, 0.6061655000, 1.3159970000", \
+                        "0.2358631000, 0.2428487000, 0.2611078000, 0.3031836000, 0.3956848000, 0.6293636000, 1.3399245000", \
+                        "0.2885309000, 0.2954319000, 0.3138122000, 0.3557144000, 0.4481374000, 0.6816830000, 1.3907584000", \
+                        "0.3881289000, 0.3957237000, 0.4155427000, 0.4593206000, 0.5536476000, 0.7877020000, 1.4970167000", \
+                        "0.5117517000, 0.5208267000, 0.5444580000, 0.5988899000, 0.7018885000, 0.9406557000, 1.6512788000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0970360000, 0.1011398000, 0.1125044000, 0.1398213000, 0.1973053000, 0.3322315000, 0.7144795000", \
+                        "0.0967904000, 0.1006115000, 0.1121173000, 0.1391459000, 0.1959684000, 0.3312021000, 0.7160231000", \
+                        "0.0965214000, 0.1005839000, 0.1119965000, 0.1386211000, 0.1959026000, 0.3315931000, 0.7159767000", \
+                        "0.0966297000, 0.1007823000, 0.1116687000, 0.1395448000, 0.1974868000, 0.3317107000, 0.7139035000", \
+                        "0.0958132000, 0.0995276000, 0.1110401000, 0.1390009000, 0.1951253000, 0.3330721000, 0.7169447000", \
+                        "0.0943492000, 0.0980138000, 0.1094639000, 0.1375203000, 0.1958520000, 0.3314085000, 0.7153285000", \
+                        "0.1362910000, 0.1406157000, 0.1532968000, 0.1814084000, 0.2348895000, 0.3532021000, 0.7260781000");
+                }
+                related_pin : "S1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0467619000, 0.0517799000, 0.0653682000, 0.0996888000, 0.1918664000, 0.4904899000, 1.5041840000", \
+                        "0.0467602000, 0.0516693000, 0.0651784000, 0.0994778000, 0.1916894000, 0.4899377000, 1.5028581000", \
+                        "0.0467695000, 0.0516312000, 0.0651451000, 0.0995040000, 0.1916374000, 0.4898018000, 1.5030897000", \
+                        "0.0474663000, 0.0517502000, 0.0652679000, 0.0994730000, 0.1916752000, 0.4905542000, 1.5013997000", \
+                        "0.0469262000, 0.0522847000, 0.0654615000, 0.0991332000, 0.1918414000, 0.4902024000, 1.4981347000", \
+                        "0.0565530000, 0.0612615000, 0.0743080000, 0.1077864000, 0.1962574000, 0.4912092000, 1.4979072000", \
+                        "0.0802014000, 0.0856863000, 0.1007347000, 0.1329693000, 0.2158331000, 0.4999316000, 1.4987472000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.3727901000, 0.3807739000, 0.4021517000, 0.4511168000, 0.5460207000, 0.7254419000, 1.1061942000", \
+                        "0.3775511000, 0.3856703000, 0.4073599000, 0.4557785000, 0.5510659000, 0.7304555000, 1.1109171000", \
+                        "0.3873420000, 0.3953704000, 0.4172272000, 0.4656600000, 0.5606260000, 0.7402546000, 1.1209490000", \
+                        "0.4029560000, 0.4109791000, 0.4328304000, 0.4807748000, 0.5765028000, 0.7557155000, 1.1363591000", \
+                        "0.4414118000, 0.4496717000, 0.4708109000, 0.5196873000, 0.6140474000, 0.7929790000, 1.1731929000", \
+                        "0.5318567000, 0.5393509000, 0.5593836000, 0.6059261000, 0.6977529000, 0.8760790000, 1.2560144000", \
+                        "0.5733803000, 0.5808036000, 0.6007658000, 0.6463844000, 0.7381908000, 0.9147809000, 1.2928626000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.2321873000, 0.2392265000, 0.2576233000, 0.2999153000, 0.3930219000, 0.6269718000, 1.3357022000", \
+                        "0.2371776000, 0.2442259000, 0.2626840000, 0.3051053000, 0.3980512000, 0.6319433000, 1.3426267000", \
+                        "0.2506571000, 0.2575477000, 0.2761578000, 0.3184019000, 0.4114966000, 0.6453003000, 1.3553616000", \
+                        "0.2824263000, 0.2894502000, 0.3079109000, 0.3501938000, 0.4433352000, 0.6772250000, 1.3874688000", \
+                        "0.3448780000, 0.3518921000, 0.3704197000, 0.4128421000, 0.5058229000, 0.7397106000, 1.4501099000", \
+                        "0.4411733000, 0.4480209000, 0.4666840000, 0.5087811000, 0.6013815000, 0.8354777000, 1.5436507000", \
+                        "0.5865157000, 0.5935230000, 0.6119890000, 0.6542079000, 0.7471972000, 0.9808065000, 1.6893158000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0987711000, 0.1026943000, 0.1140286000, 0.1403304000, 0.1976599000, 0.3332440000, 0.7163408000", \
+                        "0.0984409000, 0.1021570000, 0.1134987000, 0.1416539000, 0.1990793000, 0.3350208000, 0.7179595000", \
+                        "0.0986598000, 0.1023688000, 0.1139129000, 0.1401704000, 0.1974618000, 0.3354041000, 0.7175558000", \
+                        "0.0983322000, 0.1020763000, 0.1134494000, 0.1412994000, 0.1991155000, 0.3336067000, 0.7171518000", \
+                        "0.0968571000, 0.1012290000, 0.1124194000, 0.1400077000, 0.1996522000, 0.3326226000, 0.7163340000", \
+                        "0.0890235000, 0.0928914000, 0.1055123000, 0.1338914000, 0.1945209000, 0.3319612000, 0.7172392000", \
+                        "0.0920165000, 0.0957552000, 0.1066869000, 0.1356184000, 0.1956591000, 0.3306008000, 0.7134526000");
+                }
+                related_pin : "S1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000");
+                    values("0.0475522000, 0.0526313000, 0.0668002000, 0.1008121000, 0.1929071000, 0.4912891000, 1.4990647000", \
+                        "0.0481717000, 0.0526717000, 0.0661154000, 0.1004905000, 0.1927340000, 0.4911678000, 1.5019113000", \
+                        "0.0474764000, 0.0526596000, 0.0665279000, 0.1003893000, 0.1928620000, 0.4915859000, 1.5003681000", \
+                        "0.0480121000, 0.0529271000, 0.0661927000, 0.1005322000, 0.1925249000, 0.4904394000, 1.5027490000", \
+                        "0.0481086000, 0.0524583000, 0.0661331000, 0.1004494000, 0.1926961000, 0.4908286000, 1.5023349000", \
+                        "0.0473045000, 0.0527858000, 0.0662114000, 0.0992050000, 0.1922173000, 0.4916154000, 1.5037137000", \
+                        "0.0482327000, 0.0532602000, 0.0666075000, 0.1005823000, 0.1928837000, 0.4898353000, 1.4933181000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand2_1 */
+
+    cell ("sky130_fd_sc_hd__nand2_2") {
+        leakage_power () {
+            value : 0.0007598000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0010743000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0074091000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0005953000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__nand2";
+        cell_leakage_power : 0.0024596230;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044310000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092837000, 0.0092804000, 0.0092728000, 0.0092766000, 0.0092853000, 0.0093054000, 0.0093516000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006470300, -0.006486800, -0.006524800, -0.006507100, -0.006466400, -0.006372600, -0.006156300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045570000;
+        }
+        pin ("B") {
+            capacitance : 0.0044180000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079109000, 0.0079053000, 0.0078925000, 0.0078915000, 0.0078893000, 0.0078841000, 0.0078723000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007885100, -0.007879200, -0.007865700, -0.007865000, -0.007863500, -0.007860200, -0.007852300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046210000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000");
+                    values("0.0043000000, 0.0029365000, -0.001242600, -0.013895200, -0.051140000, -0.159390100, -0.473114400", \
+                        "0.0037984000, 0.0024775000, -0.001598600, -0.014100500, -0.051210800, -0.159402100, -0.473102800", \
+                        "0.0033323000, 0.0019714000, -0.002102400, -0.014436500, -0.051372100, -0.159429300, -0.473063200", \
+                        "0.0031747000, 0.0016346000, -0.002561700, -0.014931500, -0.051730800, -0.159599100, -0.473090800", \
+                        "0.0033215000, 0.0017641000, -0.002661700, -0.015264300, -0.052092400, -0.159851400, -0.473266200", \
+                        "0.0049827000, 0.0033121000, -0.001412900, -0.014471500, -0.052114500, -0.160174200, -0.473494700", \
+                        "0.0089544000, 0.0069929000, 0.0020048000, -0.012238700, -0.050480200, -0.159363900, -0.473459900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000");
+                    values("0.0051695000, 0.0069984000, 0.0119347000, 0.0250587000, 0.0627718000, 0.1685942000, 0.4799906000", \
+                        "0.0046728000, 0.0064591000, 0.0114415000, 0.0248384000, 0.0624139000, 0.1699517000, 0.4772817000", \
+                        "0.0043712000, 0.0060143000, 0.0108481000, 0.0241225000, 0.0618879000, 0.1700678000, 0.4772038000", \
+                        "0.0043965000, 0.0059780000, 0.0105570000, 0.0234968000, 0.0612702000, 0.1700221000, 0.4829393000", \
+                        "0.0047997000, 0.0062809000, 0.0106162000, 0.0234145000, 0.0607981000, 0.1671371000, 0.4784243000", \
+                        "0.0062127000, 0.0074318000, 0.0116096000, 0.0247757000, 0.0616678000, 0.1680576000, 0.4811337000", \
+                        "0.0105457000, 0.0112672000, 0.0154959000, 0.0269047000, 0.0629195000, 0.1701047000, 0.4813517000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000");
+                    values("0.0058741000, 0.0044654000, 0.0001872000, -0.012570400, -0.049857400, -0.158106400, -0.471821800", \
+                        "0.0054251000, 0.0040485000, -0.000127400, -0.012740600, -0.049939700, -0.158144600, -0.471836600", \
+                        "0.0049507000, 0.0035509000, -0.000587100, -0.013050400, -0.050094300, -0.158215100, -0.471859200", \
+                        "0.0045877000, 0.0031407000, -0.001064400, -0.013498800, -0.050362000, -0.158335000, -0.471850300", \
+                        "0.0048410000, 0.0033569000, -0.000985000, -0.013809200, -0.050682700, -0.158482300, -0.471938700", \
+                        "0.0052693000, 0.0036834000, -0.000826400, -0.013709700, -0.051069100, -0.158850000, -0.472175300", \
+                        "0.0081106000, 0.0063356000, 0.0013643000, -0.012220600, -0.050128400, -0.158734000, -0.472253000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000");
+                    values("0.0131740000, 0.0147706000, 0.0193188000, 0.0322856000, 0.0692454000, 0.1761525000, 0.4850417000", \
+                        "0.0126719000, 0.0143115000, 0.0188792000, 0.0319361000, 0.0691396000, 0.1762759000, 0.4854702000", \
+                        "0.0122513000, 0.0138694000, 0.0183436000, 0.0315773000, 0.0688111000, 0.1762427000, 0.4850414000", \
+                        "0.0121634000, 0.0136488000, 0.0180699000, 0.0312011000, 0.0682199000, 0.1757919000, 0.4856277000", \
+                        "0.0122867000, 0.0138020000, 0.0182162000, 0.0310540000, 0.0681211000, 0.1750580000, 0.4836663000", \
+                        "0.0138289000, 0.0153922000, 0.0196239000, 0.0326541000, 0.0691547000, 0.1757100000, 0.4841492000", \
+                        "0.0173075000, 0.0186911000, 0.0229938000, 0.0348008000, 0.0715592000, 0.1778563000, 0.4857931000");
+                }
+            }
+            max_capacitance : 0.2957250000;
+            max_transition : 1.4947070000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0196405000, 0.0225313000, 0.0306073000, 0.0530876000, 0.1170498000, 0.3002479000, 0.8311263000", \
+                        "0.0232580000, 0.0262031000, 0.0343710000, 0.0569615000, 0.1207237000, 0.3041890000, 0.8371382000", \
+                        "0.0306083000, 0.0345953000, 0.0441969000, 0.0668423000, 0.1306313000, 0.3147617000, 0.8456565000", \
+                        "0.0386521000, 0.0448781000, 0.0594110000, 0.0890522000, 0.1547668000, 0.3392265000, 0.8753171000", \
+                        "0.0443111000, 0.0537228000, 0.0760942000, 0.1222494000, 0.2090958000, 0.3938025000, 0.9279358000", \
+                        "0.0379220000, 0.0521680000, 0.0861455000, 0.1566489000, 0.2886878000, 0.5227326000, 1.0532761000", \
+                        "-0.002888300, 0.0177590000, 0.0683835000, 0.1751257000, 0.3776648000, 0.7334811000, 1.3508487000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0234042000, 0.0272961000, 0.0378902000, 0.0662710000, 0.1468296000, 0.3766720000, 1.0430288000", \
+                        "0.0285029000, 0.0323242000, 0.0428804000, 0.0715777000, 0.1526758000, 0.3841040000, 1.0501123000", \
+                        "0.0415735000, 0.0456471000, 0.0558024000, 0.0841595000, 0.1648275000, 0.3982397000, 1.0630141000", \
+                        "0.0625212000, 0.0693067000, 0.0848274000, 0.1156314000, 0.1961560000, 0.4278370000, 1.0972452000", \
+                        "0.0954805000, 0.1063290000, 0.1310645000, 0.1805098000, 0.2699957000, 0.4987042000, 1.1642018000", \
+                        "0.1500733000, 0.1667145000, 0.2058185000, 0.2846440000, 0.4270209000, 0.6712687000, 1.3360445000", \
+                        "0.2493886000, 0.2726850000, 0.3303575000, 0.4510220000, 0.6755325000, 1.0523511000, 1.7335490000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0133514000, 0.0169215000, 0.0272753000, 0.0571250000, 0.1432498000, 0.3932893000, 1.1146614000", \
+                        "0.0135047000, 0.0169035000, 0.0272243000, 0.0570915000, 0.1431917000, 0.3934648000, 1.1143239000", \
+                        "0.0189651000, 0.0223409000, 0.0300608000, 0.0575397000, 0.1435002000, 0.3926613000, 1.1151650000", \
+                        "0.0291933000, 0.0333672000, 0.0438684000, 0.0685226000, 0.1446107000, 0.3939905000, 1.1197315000", \
+                        "0.0481151000, 0.0545263000, 0.0693009000, 0.1011888000, 0.1690530000, 0.3962433000, 1.1235318000", \
+                        "0.0823343000, 0.0910101000, 0.1157910000, 0.1606186000, 0.2497840000, 0.4423278000, 1.1198590000", \
+                        "0.1424869000, 0.1578238000, 0.1906454000, 0.2624215000, 0.3945884000, 0.6341604000, 1.2002073000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0162349000, 0.0209719000, 0.0344655000, 0.0738505000, 0.1890225000, 0.5165977000, 1.4734944000", \
+                        "0.0162659000, 0.0209354000, 0.0345138000, 0.0740792000, 0.1888583000, 0.5220078000, 1.4719527000", \
+                        "0.0209573000, 0.0241506000, 0.0354277000, 0.0742037000, 0.1882903000, 0.5190770000, 1.4700853000", \
+                        "0.0349278000, 0.0392107000, 0.0495496000, 0.0785863000, 0.1883307000, 0.5208434000, 1.4828988000", \
+                        "0.0579283000, 0.0648078000, 0.0806066000, 0.1126596000, 0.1985025000, 0.5204151000, 1.4727725000", \
+                        "0.0948433000, 0.1057711000, 0.1324597000, 0.1838822000, 0.2765839000, 0.5346962000, 1.4794285000", \
+                        "0.1578225000, 0.1749729000, 0.2149484000, 0.2979191000, 0.4434074000, 0.6930639000, 1.4947069000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0258854000, 0.0287373000, 0.0366466000, 0.0587570000, 0.1226356000, 0.3080318000, 0.8395692000", \
+                        "0.0299134000, 0.0328028000, 0.0409529000, 0.0632304000, 0.1268022000, 0.3142586000, 0.8414771000", \
+                        "0.0377397000, 0.0411122000, 0.0497526000, 0.0723792000, 0.1362899000, 0.3199820000, 0.8553105000", \
+                        "0.0485581000, 0.0532613000, 0.0653250000, 0.0925028000, 0.1575871000, 0.3441385000, 0.8781460000", \
+                        "0.0585524000, 0.0662130000, 0.0848243000, 0.1244623000, 0.2048766000, 0.3931037000, 0.9231521000", \
+                        "0.0568926000, 0.0694083000, 0.0996281000, 0.1623507000, 0.2795128000, 0.5042519000, 1.0413539000", \
+                        "0.0186719000, 0.0389093000, 0.0869898000, 0.1869998000, 0.3715080000, 0.6908207000, 1.2956061000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0335011000, 0.0370433000, 0.0470833000, 0.0749227000, 0.1540908000, 0.3817728000, 1.0409879000", \
+                        "0.0385394000, 0.0421363000, 0.0520825000, 0.0802044000, 0.1595571000, 0.3870675000, 1.0442850000", \
+                        "0.0517572000, 0.0553038000, 0.0650654000, 0.0935463000, 0.1727755000, 0.4007794000, 1.0587002000", \
+                        "0.0796275000, 0.0844973000, 0.0966274000, 0.1252340000, 0.2042371000, 0.4318303000, 1.0891379000", \
+                        "0.1252061000, 0.1329483000, 0.1523629000, 0.1943629000, 0.2787976000, 0.5053701000, 1.1601994000", \
+                        "0.1972206000, 0.2090814000, 0.2396525000, 0.3081440000, 0.4375670000, 0.6776678000, 1.3334276000", \
+                        "0.3201439000, 0.3376978000, 0.3831760000, 0.4891667000, 0.6986259000, 1.0566379000, 1.7296361000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0134140000, 0.0169738000, 0.0272946000, 0.0571261000, 0.1431280000, 0.3941987000, 1.1152559000", \
+                        "0.0135070000, 0.0169832000, 0.0273614000, 0.0571311000, 0.1430896000, 0.3969800000, 1.1147236000", \
+                        "0.0163336000, 0.0192690000, 0.0284941000, 0.0573182000, 0.1433677000, 0.3932045000, 1.1201525000", \
+                        "0.0246764000, 0.0281617000, 0.0376337000, 0.0628800000, 0.1444065000, 0.3941566000, 1.1195170000", \
+                        "0.0422421000, 0.0468894000, 0.0589070000, 0.0867815000, 0.1598832000, 0.3954339000, 1.1198584000", \
+                        "0.0756723000, 0.0822930000, 0.0989951000, 0.1363624000, 0.2170712000, 0.4260189000, 1.1205752000", \
+                        "0.1417335000, 0.1504941000, 0.1730674000, 0.2265761000, 0.3351082000, 0.5600993000, 1.1691822000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000");
+                    values("0.0249890000, 0.0296424000, 0.0429328000, 0.0816157000, 0.1940235000, 0.5203246000, 1.4690655000", \
+                        "0.0249228000, 0.0296308000, 0.0430159000, 0.0817695000, 0.1945153000, 0.5192103000, 1.4630871000", \
+                        "0.0260850000, 0.0302875000, 0.0429290000, 0.0818567000, 0.1945699000, 0.5198304000, 1.4680340000", \
+                        "0.0388287000, 0.0428265000, 0.0522368000, 0.0847626000, 0.1942694000, 0.5197135000, 1.4632040000", \
+                        "0.0635308000, 0.0692776000, 0.0837312000, 0.1145030000, 0.2033784000, 0.5211009000, 1.4684114000", \
+                        "0.1041696000, 0.1139577000, 0.1375801000, 0.1863291000, 0.2751538000, 0.5386456000, 1.4682930000", \
+                        "0.1691102000, 0.1853677000, 0.2235712000, 0.3036737000, 0.4455700000, 0.6903160000, 1.4855411000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand2_4") {
+        leakage_power () {
+            value : 0.0019122000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0168098000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0082791000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0014905000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nand2";
+        cell_leakage_power : 0.0071228910;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0085370000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181423000, 0.0181690000, 0.0182305000, 0.0182212000, 0.0181998000, 0.0181504000, 0.0180365000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012965000, -0.012981700, -0.013020300, -0.012986000, -0.012906800, -0.012724100, -0.012303100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087960000;
+        }
+        pin ("B") {
+            capacitance : 0.0088300000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158302000, 0.0158272000, 0.0158201000, 0.0158218000, 0.0158258000, 0.0158349000, 0.0158559000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015780500, -0.015776400, -0.015766800, -0.015765400, -0.015761800, -0.015753500, -0.015734500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092720000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0092709000, 0.0077009000, 0.0025027000, -0.015025000, -0.072574000, -0.257171200, -0.847001100", \
+                        "0.0082969000, 0.0067735000, 0.0016752000, -0.015512900, -0.072721600, -0.257184300, -0.846950300", \
+                        "0.0074126000, 0.0057640000, 0.0006926000, -0.016316200, -0.073149300, -0.257310100, -0.846966500", \
+                        "0.0068373000, 0.0053001000, -0.000165400, -0.017304700, -0.073918100, -0.257701000, -0.847107100", \
+                        "0.0074511000, 0.0053845000, -0.000227900, -0.018013700, -0.074543500, -0.258244900, -0.847347200", \
+                        "0.0100396000, 0.0088558000, 0.0026606000, -0.016283000, -0.074757700, -0.258933800, -0.847823400", \
+                        "0.0173091000, 0.0151494000, 0.0083075000, -0.011870700, -0.071891100, -0.258441100, -0.848122700");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0091146000, 0.0112783000, 0.0178392000, 0.0369367000, 0.0950041000, 0.2763970000, 0.8621528000", \
+                        "0.0081918000, 0.0102920000, 0.0166638000, 0.0360023000, 0.0947208000, 0.2788672000, 0.8564815000", \
+                        "0.0074836000, 0.0094487000, 0.0154921000, 0.0348579000, 0.0936324000, 0.2760390000, 0.8564804000", \
+                        "0.0075544000, 0.0093879000, 0.0151739000, 0.0334676000, 0.0924796000, 0.2767033000, 0.8606083000", \
+                        "0.0082828000, 0.0100198000, 0.0155066000, 0.0342195000, 0.0906974000, 0.2755006000, 0.8596083000", \
+                        "0.0100462000, 0.0115774000, 0.0168437000, 0.0348121000, 0.0918673000, 0.2721794000, 0.8578122000", \
+                        "0.0211584000, 0.0192862000, 0.0241122000, 0.0402916000, 0.0954585000, 0.2754714000, 0.8561925000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0124667000, 0.0108860000, 0.0055330000, -0.012192100, -0.069814400, -0.254450100, -0.844262700", \
+                        "0.0115513000, 0.0099962000, 0.0048302000, -0.012614800, -0.070006000, -0.254502500, -0.844283200", \
+                        "0.0105588000, 0.0089911000, 0.0038382000, -0.013366100, -0.070359800, -0.254624800, -0.844307900", \
+                        "0.0098371000, 0.0082435000, 0.0028662000, -0.014336500, -0.071013900, -0.254935600, -0.844440300", \
+                        "0.0103310000, 0.0086112000, 0.0031815000, -0.014982500, -0.071688200, -0.255262900, -0.844565600", \
+                        "0.0110791000, 0.0092420000, 0.0035066000, -0.014649000, -0.072463200, -0.256062100, -0.844943400", \
+                        "0.0162366000, 0.0142006000, 0.0078286000, -0.011624200, -0.070533000, -0.255904100, -0.845158800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0246982000, 0.0265806000, 0.0324368000, 0.0507196000, 0.1082635000, 0.2906759000, 0.8724890000", \
+                        "0.0236517000, 0.0255482000, 0.0315826000, 0.0501034000, 0.1077713000, 0.2905790000, 0.8720048000", \
+                        "0.0226210000, 0.0245063000, 0.0306335000, 0.0491354000, 0.1071174000, 0.2901346000, 0.8721392000", \
+                        "0.0222230000, 0.0240774000, 0.0298671000, 0.0482436000, 0.1062879000, 0.2891320000, 0.8720190000", \
+                        "0.0233464000, 0.0251660000, 0.0310043000, 0.0490305000, 0.1064789000, 0.2890935000, 0.8712707000", \
+                        "0.0248859000, 0.0265116000, 0.0319589000, 0.0509890000, 0.1085646000, 0.2896306000, 0.8713115000", \
+                        "0.0315546000, 0.0333030000, 0.0386418000, 0.0553548000, 0.1107202000, 0.2930465000, 0.8722406000");
+                }
+            }
+            max_capacitance : 0.5301000000;
+            max_transition : 1.4986590000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0196846000, 0.0214894000, 0.0270948000, 0.0441384000, 0.0963893000, 0.2626743000, 0.7983328000", \
+                        "0.0232463000, 0.0250872000, 0.0307584000, 0.0478779000, 0.1014861000, 0.2674229000, 0.7975810000", \
+                        "0.0301511000, 0.0327263000, 0.0399473000, 0.0575092000, 0.1106251000, 0.2770611000, 0.8073930000", \
+                        "0.0372339000, 0.0410862000, 0.0521713000, 0.0781962000, 0.1340250000, 0.3011591000, 0.8350764000", \
+                        "0.0401552000, 0.0463746000, 0.0631236000, 0.1029376000, 0.1836212000, 0.3545091000, 0.8851356000", \
+                        "0.0282955000, 0.0379621000, 0.0634936000, 0.1240876000, 0.2477358000, 0.4819245000, 1.0147604000", \
+                        "-0.025064200, -0.011335100, 0.0263611000, 0.1176377000, 0.3079844000, 0.6639810000, 1.3116122000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0242340000, 0.0269078000, 0.0348598000, 0.0579881000, 0.1288697000, 0.3500559000, 1.0564722000", \
+                        "0.0293365000, 0.0318920000, 0.0395086000, 0.0629239000, 0.1342490000, 0.3580886000, 1.0637792000", \
+                        "0.0424911000, 0.0452213000, 0.0526283000, 0.0759010000, 0.1465874000, 0.3692190000, 1.0762998000", \
+                        "0.0641670000, 0.0686250000, 0.0805502000, 0.1072780000, 0.1773256000, 0.3990797000, 1.1052306000", \
+                        "0.0987517000, 0.1059299000, 0.1248592000, 0.1683010000, 0.2522434000, 0.4750554000, 1.1799575000", \
+                        "0.1581990000, 0.1687093000, 0.1984489000, 0.2672093000, 0.4015263000, 0.6471254000, 1.3525815000", \
+                        "0.2686611000, 0.2839625000, 0.3268878000, 0.4302959000, 0.6430589000, 1.0218250000, 1.7544647000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0130327000, 0.0151905000, 0.0223079000, 0.0450649000, 0.1167571000, 0.3458200000, 1.0805018000", \
+                        "0.0131986000, 0.0152698000, 0.0223447000, 0.0449553000, 0.1176859000, 0.3468408000, 1.0782312000", \
+                        "0.0184825000, 0.0208300000, 0.0262382000, 0.0458781000, 0.1173606000, 0.3456738000, 1.0795913000", \
+                        "0.0283107000, 0.0312156000, 0.0391559000, 0.0595476000, 0.1196149000, 0.3465407000, 1.0788846000", \
+                        "0.0473497000, 0.0512064000, 0.0625856000, 0.0893939000, 0.1500424000, 0.3495459000, 1.0761634000", \
+                        "0.0797161000, 0.0861683000, 0.1031389000, 0.1433381000, 0.2262718000, 0.4096607000, 1.0780071000", \
+                        "0.1394519000, 0.1490594000, 0.1757089000, 0.2373024000, 0.3605228000, 0.5942562000, 1.1732155000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0163728000, 0.0193365000, 0.0291154000, 0.0602568000, 0.1598662000, 0.4740094000, 1.4826818000", \
+                        "0.0163340000, 0.0193897000, 0.0290425000, 0.0600941000, 0.1596554000, 0.4764955000, 1.4817469000", \
+                        "0.0207267000, 0.0227527000, 0.0305370000, 0.0600965000, 0.1596094000, 0.4769091000, 1.4796694000", \
+                        "0.0344062000, 0.0372092000, 0.0452310000, 0.0666464000, 0.1593882000, 0.4757272000, 1.4806347000", \
+                        "0.0570896000, 0.0618423000, 0.0737096000, 0.1015869000, 0.1730242000, 0.4760021000, 1.4867120000", \
+                        "0.0930665000, 0.1007368000, 0.1208184000, 0.1653146000, 0.2510803000, 0.4943750000, 1.4874799000", \
+                        "0.1562131000, 0.1687451000, 0.1971350000, 0.2695675000, 0.4079915000, 0.6520386000, 1.4986586000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0253961000, 0.0272441000, 0.0327091000, 0.0495859000, 0.1016656000, 0.2691097000, 0.7995458000", \
+                        "0.0292059000, 0.0310275000, 0.0367110000, 0.0535885000, 0.1069765000, 0.2727188000, 0.8032400000", \
+                        "0.0360932000, 0.0383465000, 0.0446087000, 0.0620557000, 0.1152077000, 0.2825578000, 0.8124311000", \
+                        "0.0452887000, 0.0484803000, 0.0569603000, 0.0789576000, 0.1344180000, 0.3014440000, 0.8323233000", \
+                        "0.0523876000, 0.0572227000, 0.0711605000, 0.1033989000, 0.1756529000, 0.3473160000, 0.8786184000", \
+                        "0.0445039000, 0.0524351000, 0.0752641000, 0.1267944000, 0.2336107000, 0.4488819000, 0.9877016000", \
+                        "-0.007831800, 0.0051118000, 0.0406924000, 0.1246323000, 0.2935974000, 0.6075307000, 1.2241136000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0350992000, 0.0375969000, 0.0449204000, 0.0672063000, 0.1365061000, 0.3554612000, 1.0523779000", \
+                        "0.0401893000, 0.0425308000, 0.0500041000, 0.0725969000, 0.1418545000, 0.3608226000, 1.0587001000", \
+                        "0.0533601000, 0.0556450000, 0.0631076000, 0.0852729000, 0.1554152000, 0.3748254000, 1.0715463000", \
+                        "0.0825839000, 0.0855946000, 0.0945014000, 0.1176278000, 0.1873090000, 0.4060128000, 1.1023487000", \
+                        "0.1305368000, 0.1354267000, 0.1493281000, 0.1848286000, 0.2619334000, 0.4802199000, 1.1769874000", \
+                        "0.2086142000, 0.2163482000, 0.2385785000, 0.2956733000, 0.4165240000, 0.6538708000, 1.3473886000", \
+                        "0.3422315000, 0.3554846000, 0.3890883000, 0.4763761000, 0.6703939000, 1.0324812000, 1.7501620000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0130486000, 0.0153045000, 0.0223979000, 0.0449948000, 0.1170474000, 0.3462942000, 1.0776381000", \
+                        "0.0131341000, 0.0153396000, 0.0224098000, 0.0450121000, 0.1170983000, 0.3457965000, 1.0763236000", \
+                        "0.0160618000, 0.0179452000, 0.0240640000, 0.0454251000, 0.1169452000, 0.3460591000, 1.0776199000", \
+                        "0.0239101000, 0.0261751000, 0.0328030000, 0.0527195000, 0.1186293000, 0.3455221000, 1.0775539000", \
+                        "0.0408777000, 0.0438993000, 0.0524337000, 0.0746259000, 0.1377432000, 0.3488929000, 1.0765813000", \
+                        "0.0737383000, 0.0778088000, 0.0899509000, 0.1204960000, 0.1915944000, 0.3861498000, 1.0824506000", \
+                        "0.1393429000, 0.1447637000, 0.1602991000, 0.2029102000, 0.2995143000, 0.5164569000, 1.1353274000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0262074000, 0.0290839000, 0.0385814000, 0.0688890000, 0.1665689000, 0.4785676000, 1.4708065000", \
+                        "0.0260423000, 0.0290809000, 0.0385178000, 0.0691440000, 0.1666881000, 0.4777270000, 1.4763981000", \
+                        "0.0268695000, 0.0294992000, 0.0384833000, 0.0690093000, 0.1665566000, 0.4775256000, 1.4712840000", \
+                        "0.0392180000, 0.0418221000, 0.0484628000, 0.0729160000, 0.1667060000, 0.4799063000, 1.4715091000", \
+                        "0.0636660000, 0.0676837000, 0.0785134000, 0.1047819000, 0.1790759000, 0.4781919000, 1.4725076000", \
+                        "0.1038778000, 0.1105205000, 0.1281283000, 0.1701179000, 0.2544870000, 0.4989449000, 1.4719324000", \
+                        "0.1682099000, 0.1790331000, 0.2076618000, 0.2767220000, 0.4093141000, 0.6541236000, 1.4922104000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand2_8 */
+
+/* removed sky130_fd_sc_hd__nand2b_1 */
+
+/* removed sky130_fd_sc_hd__nand2b_2 */
+
+/* removed sky130_fd_sc_hd__nand2b_4 */
+
+/* removed sky130_fd_sc_hd__nand3_1 */
+
+    cell ("sky130_fd_sc_hd__nand3_2") {
+        leakage_power () {
+            value : 7.3749221e-05;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 4.0589155e-05;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0007599000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 6.3265392e-05;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0005963000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 7.346556e-05;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0143381000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0004622000;
+            when : "A&B&!C";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__nand3";
+        cell_leakage_power : 0.0020509380;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043400000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091506000, 0.0091481000, 0.0091423000, 0.0091413000, 0.0091390000, 0.0091337000, 0.0091214000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006448600, -0.006436400, -0.006408200, -0.006390300, -0.006349000, -0.006253700, -0.006034100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044250000;
+        }
+        pin ("B") {
+            capacitance : 0.0044680000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043370000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088433000, 0.0088373000, 0.0088234000, 0.0088570000, 0.0089344000, 0.0091128000, 0.0095241000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008138600, -0.008132700, -0.008119300, -0.008115900, -0.008108100, -0.008090100, -0.008048600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045990000;
+        }
+        pin ("C") {
+            capacitance : 0.0045040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042810000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080990000, 0.0080989000, 0.0080987000, 0.0081015000, 0.0081080000, 0.0081230000, 0.0081577000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008100000, -0.008095900, -0.008086200, -0.008085400, -0.008083600, -0.008079400, -0.008069700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047260000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0064606000, 0.0050607000, 0.0010403000, -0.010726400, -0.044523500, -0.140626000, -0.413315200", \
+                        "0.0059735000, 0.0046191000, 0.0006722000, -0.010939000, -0.044624600, -0.140703900, -0.413322600", \
+                        "0.0054157000, 0.0040405000, 0.0001745000, -0.011347900, -0.044823800, -0.140773200, -0.413405000", \
+                        "0.0050841000, 0.0036925000, -0.000280100, -0.011846300, -0.045165900, -0.140954200, -0.413479300", \
+                        "0.0051916000, 0.0036973000, -0.000488200, -0.012167100, -0.045593600, -0.141244100, -0.413634200", \
+                        "0.0065457000, 0.0049628000, 0.0005852000, -0.011401500, -0.045576500, -0.141513300, -0.413835100", \
+                        "0.0099423000, 0.0082840000, 0.0034990000, -0.009476900, -0.044228200, -0.141272100, -0.413972800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0063344000, 0.0080346000, 0.0126016000, 0.0248150000, 0.0586394000, 0.1547690000, 0.4235775000", \
+                        "0.0058696000, 0.0076006000, 0.0122269000, 0.0245681000, 0.0583052000, 0.1546885000, 0.4224418000", \
+                        "0.0055172000, 0.0071464000, 0.0117259000, 0.0241093000, 0.0582964000, 0.1543834000, 0.4211328000", \
+                        "0.0054060000, 0.0069265000, 0.0111559000, 0.0236133000, 0.0577305000, 0.1534508000, 0.4244446000", \
+                        "0.0059226000, 0.0073570000, 0.0114915000, 0.0233474000, 0.0569715000, 0.1533815000, 0.4246675000", \
+                        "0.0064383000, 0.0078221000, 0.0118648000, 0.0241727000, 0.0573406000, 0.1528684000, 0.4244126000", \
+                        "0.0105631000, 0.0116701000, 0.0152743000, 0.0264289000, 0.0590227000, 0.1541548000, 0.4242567000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0084771000, 0.0070583000, 0.0029780000, -0.008847300, -0.042666900, -0.138766100, -0.411447700", \
+                        "0.0080288000, 0.0066434000, 0.0026615000, -0.009018600, -0.042739600, -0.138805200, -0.411436100", \
+                        "0.0074915000, 0.0061271000, 0.0021895000, -0.009365700, -0.042922200, -0.138897700, -0.411512800", \
+                        "0.0070393000, 0.0056471000, 0.0016480000, -0.009877800, -0.043241600, -0.139031000, -0.411535100", \
+                        "0.0069451000, 0.0055058000, 0.0014387000, -0.010220400, -0.043626400, -0.139264500, -0.411671000", \
+                        "0.0073783000, 0.0059263000, 0.0016369000, -0.010308900, -0.044091800, -0.139711200, -0.411856300", \
+                        "0.0097141000, 0.0080858000, 0.0034385000, -0.009043600, -0.043300500, -0.139658500, -0.412083200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0135868000, 0.0151238000, 0.0194369000, 0.0314452000, 0.0650552000, 0.1601493000, 0.4289869000", \
+                        "0.0130175000, 0.0145906000, 0.0189874000, 0.0311266000, 0.0649298000, 0.1599856000, 0.4290183000", \
+                        "0.0124727000, 0.0140665000, 0.0185262000, 0.0307860000, 0.0644467000, 0.1598658000, 0.4289702000", \
+                        "0.0120350000, 0.0136727000, 0.0179815000, 0.0300533000, 0.0641865000, 0.1593618000, 0.4288347000", \
+                        "0.0119933000, 0.0134635000, 0.0176998000, 0.0296625000, 0.0634898000, 0.1587231000, 0.4278881000", \
+                        "0.0126703000, 0.0140953000, 0.0182212000, 0.0306393000, 0.0643287000, 0.1589621000, 0.4278751000", \
+                        "0.0158887000, 0.0171080000, 0.0208176000, 0.0321802000, 0.0650250000, 0.1595125000, 0.4274275000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0081929000, 0.0068003000, 0.0027026000, -0.009123400, -0.042949300, -0.139065100, -0.411720700", \
+                        "0.0077607000, 0.0063951000, 0.0023973000, -0.009294400, -0.043021100, -0.139113600, -0.411767200", \
+                        "0.0072580000, 0.0058905000, 0.0019253000, -0.009639900, -0.043201200, -0.139183200, -0.411785100", \
+                        "0.0068448000, 0.0054474000, 0.0014226000, -0.010139400, -0.043538800, -0.139340500, -0.411850800", \
+                        "0.0069390000, 0.0054142000, 0.0011699000, -0.010489600, -0.043900800, -0.139549600, -0.411941800", \
+                        "0.0070778000, 0.0055989000, 0.0013837000, -0.010469900, -0.044311000, -0.139986900, -0.412167700", \
+                        "0.0093248000, 0.0077050000, 0.0031671000, -0.009260000, -0.043505100, -0.139895900, -0.412302700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000");
+                    values("0.0189976000, 0.0204890000, 0.0247374000, 0.0367757000, 0.0704795000, 0.1655898000, 0.4346895000", \
+                        "0.0186619000, 0.0201895000, 0.0244506000, 0.0365640000, 0.0704406000, 0.1655576000, 0.4345745000", \
+                        "0.0182357000, 0.0197703000, 0.0241060000, 0.0362645000, 0.0702160000, 0.1653722000, 0.4343081000", \
+                        "0.0179846000, 0.0195119000, 0.0238096000, 0.0359752000, 0.0696060000, 0.1649808000, 0.4343532000", \
+                        "0.0183932000, 0.0199064000, 0.0241151000, 0.0360780000, 0.0697985000, 0.1648816000, 0.4337778000", \
+                        "0.0195374000, 0.0209600000, 0.0251492000, 0.0370917000, 0.0705148000, 0.1651108000, 0.4337895000", \
+                        "0.0232760000, 0.0246558000, 0.0285378000, 0.0396482000, 0.0727913000, 0.1671411000, 0.4343570000");
+                }
+            }
+            max_capacitance : 0.2600200000;
+            max_transition : 1.4982790000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0281846000, 0.0321108000, 0.0432435000, 0.0734159000, 0.1576495000, 0.3974761000, 1.0802266000", \
+                        "0.0312080000, 0.0352777000, 0.0464389000, 0.0769226000, 0.1614840000, 0.4001530000, 1.0786011000", \
+                        "0.0402546000, 0.0442177000, 0.0551726000, 0.0857299000, 0.1707746000, 0.4098741000, 1.0859074000", \
+                        "0.0530225000, 0.0592642000, 0.0746801000, 0.1073304000, 0.1931987000, 0.4313850000, 1.1083545000", \
+                        "0.0655059000, 0.0750306000, 0.0980919000, 0.1479191000, 0.2450859000, 0.4856840000, 1.1593683000", \
+                        "0.0691311000, 0.0833706000, 0.1181531000, 0.1935344000, 0.3384907000, 0.6058807000, 1.2850970000", \
+                        "0.0437660000, 0.0655553000, 0.1161278000, 0.2274716000, 0.4463900000, 0.8399806000, 1.5629819000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0264594000, 0.0300331000, 0.0396513000, 0.0655686000, 0.1372150000, 0.3384666000, 0.9081564000", \
+                        "0.0315925000, 0.0351807000, 0.0448656000, 0.0708944000, 0.1427610000, 0.3443894000, 0.9236852000", \
+                        "0.0449870000, 0.0484661000, 0.0579500000, 0.0841154000, 0.1564192000, 0.3589409000, 0.9282719000", \
+                        "0.0682376000, 0.0736508000, 0.0873388000, 0.1153280000, 0.1875208000, 0.3886096000, 0.9624539000", \
+                        "0.1041436000, 0.1136596000, 0.1352927000, 0.1797167000, 0.2611926000, 0.4599049000, 1.0316243000", \
+                        "0.1623226000, 0.1766919000, 0.2115730000, 0.2843784000, 0.4132447000, 0.6346002000, 1.2034626000", \
+                        "0.2643360000, 0.2853405000, 0.3366795000, 0.4482199000, 0.6560504000, 1.0050545000, 1.6014239000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0265294000, 0.0316461000, 0.0462452000, 0.0868510000, 0.2013820000, 0.5281851000, 1.4531225000", \
+                        "0.0260318000, 0.0313075000, 0.0460623000, 0.0867767000, 0.2018350000, 0.5270823000, 1.4547641000", \
+                        "0.0289008000, 0.0332020000, 0.0465869000, 0.0866764000, 0.2012627000, 0.5263236000, 1.4495528000", \
+                        "0.0395444000, 0.0448643000, 0.0587612000, 0.0920967000, 0.2021292000, 0.5265803000, 1.4474633000", \
+                        "0.0614485000, 0.0682320000, 0.0851380000, 0.1236951000, 0.2169391000, 0.5289712000, 1.4491003000", \
+                        "0.1004660000, 0.1106208000, 0.1355775000, 0.1876031000, 0.2935295000, 0.5556714000, 1.4553968000", \
+                        "0.1690733000, 0.1826653000, 0.2194117000, 0.2969258000, 0.4444384000, 0.7337019000, 1.4982791000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0201902000, 0.0246378000, 0.0372427000, 0.0732269000, 0.1753958000, 0.4668183000, 1.2782282000", \
+                        "0.0201139000, 0.0246623000, 0.0372665000, 0.0729225000, 0.1744478000, 0.4638935000, 1.2856849000", \
+                        "0.0235536000, 0.0269753000, 0.0378934000, 0.0731659000, 0.1752412000, 0.4639713000, 1.2776145000", \
+                        "0.0388492000, 0.0423929000, 0.0506356000, 0.0777185000, 0.1750137000, 0.4664236000, 1.2846999000", \
+                        "0.0642500000, 0.0704218000, 0.0834914000, 0.1124891000, 0.1864262000, 0.4648895000, 1.2859892000", \
+                        "0.1059081000, 0.1158928000, 0.1382211000, 0.1835737000, 0.2638663000, 0.4845285000, 1.2837417000", \
+                        "0.1773206000, 0.1910742000, 0.2272898000, 0.3016048000, 0.4332465000, 0.6564346000, 1.3155547000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0401754000, 0.0440285000, 0.0550419000, 0.0849718000, 0.1692608000, 0.4075651000, 1.0871116000", \
+                        "0.0437131000, 0.0476553000, 0.0587577000, 0.0891196000, 0.1735763000, 0.4119423000, 1.0904784000", \
+                        "0.0519038000, 0.0559865000, 0.0672990000, 0.0981367000, 0.1828707000, 0.4215982000, 1.0970578000", \
+                        "0.0659764000, 0.0713207000, 0.0851055000, 0.1181312000, 0.2043639000, 0.4429258000, 1.1188316000", \
+                        "0.0827623000, 0.0909048000, 0.1115677000, 0.1559957000, 0.2517257000, 0.4924137000, 1.1694972000", \
+                        "0.0911093000, 0.1055179000, 0.1360828000, 0.2050361000, 0.3383216000, 0.6048345000, 1.2840815000", \
+                        "0.0691769000, 0.0899577000, 0.1388356000, 0.2459383000, 0.4505144000, 0.8234879000, 1.5442042000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0382361000, 0.0417605000, 0.0514296000, 0.0777776000, 0.1512164000, 0.3575475000, 0.9417249000", \
+                        "0.0430405000, 0.0466747000, 0.0564134000, 0.0830996000, 0.1566767000, 0.3633019000, 0.9472214000", \
+                        "0.0560225000, 0.0595538000, 0.0693810000, 0.0961148000, 0.1695373000, 0.3763650000, 0.9605446000", \
+                        "0.0852850000, 0.0897666000, 0.1007005000, 0.1273007000, 0.2013006000, 0.4059257000, 0.9905804000", \
+                        "0.1325205000, 0.1396136000, 0.1573067000, 0.1964494000, 0.2747224000, 0.4810660000, 1.0613865000", \
+                        "0.2089718000, 0.2200885000, 0.2479014000, 0.3108984000, 0.4312614000, 0.6526404000, 1.2332562000", \
+                        "0.3347696000, 0.3511183000, 0.3934249000, 0.4921748000, 0.6870134000, 1.0239551000, 1.6319573000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0268742000, 0.0318426000, 0.0462466000, 0.0867692000, 0.2012746000, 0.5266475000, 1.4537894000", \
+                        "0.0267743000, 0.0318261000, 0.0461569000, 0.0873186000, 0.2013849000, 0.5266692000, 1.4520102000", \
+                        "0.0279672000, 0.0326515000, 0.0464546000, 0.0868701000, 0.2014870000, 0.5264025000, 1.4482009000", \
+                        "0.0370550000, 0.0416978000, 0.0540521000, 0.0899192000, 0.2017861000, 0.5273828000, 1.4488487000", \
+                        "0.0571716000, 0.0626326000, 0.0773383000, 0.1130770000, 0.2112163000, 0.5259280000, 1.4482409000", \
+                        "0.0965290000, 0.1047716000, 0.1231007000, 0.1671180000, 0.2677060000, 0.5488666000, 1.4501840000", \
+                        "0.1696997000, 0.1797543000, 0.2060586000, 0.2684644000, 0.3987442000, 0.6833719000, 1.4788012000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0297232000, 0.0342374000, 0.0470944000, 0.0835505000, 0.1872250000, 0.4812626000, 1.3135555000", \
+                        "0.0297021000, 0.0342120000, 0.0471567000, 0.0836135000, 0.1875049000, 0.4813964000, 1.3149601000", \
+                        "0.0301203000, 0.0344368000, 0.0470196000, 0.0837741000, 0.1875724000, 0.4811680000, 1.3167720000", \
+                        "0.0425635000, 0.0462001000, 0.0551236000, 0.0863364000, 0.1878931000, 0.4819825000, 1.3141158000", \
+                        "0.0698307000, 0.0751510000, 0.0876943000, 0.1154278000, 0.1972885000, 0.4826521000, 1.3164513000", \
+                        "0.1151662000, 0.1238882000, 0.1448582000, 0.1883676000, 0.2708877000, 0.5023280000, 1.3166637000", \
+                        "0.1883775000, 0.2016657000, 0.2376721000, 0.3084310000, 0.4386028000, 0.6627714000, 1.3482091000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0436772000, 0.0477376000, 0.0584451000, 0.0889810000, 0.1728156000, 0.4111875000, 1.0871355000", \
+                        "0.0471658000, 0.0511565000, 0.0622572000, 0.0929520000, 0.1789402000, 0.4178356000, 1.0913930000", \
+                        "0.0542682000, 0.0583311000, 0.0695862000, 0.1001899000, 0.1850854000, 0.4254184000, 1.0992337000", \
+                        "0.0668227000, 0.0714014000, 0.0837618000, 0.1156630000, 0.2008910000, 0.4403620000, 1.1162437000", \
+                        "0.0837284000, 0.0899513000, 0.1058622000, 0.1441255000, 0.2358148000, 0.4755192000, 1.1526466000", \
+                        "0.0956644000, 0.1057504000, 0.1308672000, 0.1862979000, 0.3012991000, 0.5570527000, 1.2355663000", \
+                        "0.0790348000, 0.0958696000, 0.1366355000, 0.2244664000, 0.3924287000, 0.7131945000, 1.4229671000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0401411000, 0.0435032000, 0.0526611000, 0.0775780000, 0.1459592000, 0.3362477000, 0.8739639000", \
+                        "0.0453831000, 0.0487699000, 0.0579138000, 0.0829443000, 0.1511002000, 0.3415402000, 0.8796502000", \
+                        "0.0584980000, 0.0619453000, 0.0712530000, 0.0962379000, 0.1645521000, 0.3541913000, 0.8912537000", \
+                        "0.0889860000, 0.0929514000, 0.1028123000, 0.1275646000, 0.1952958000, 0.3861419000, 0.9229752000", \
+                        "0.1392675000, 0.1452821000, 0.1604983000, 0.1963302000, 0.2687972000, 0.4583532000, 0.9949741000", \
+                        "0.2187489000, 0.2282156000, 0.2522766000, 0.3080721000, 0.4202348000, 0.6277717000, 1.1610669000", \
+                        "0.3445075000, 0.3566849000, 0.3939402000, 0.4827758000, 0.6623980000, 0.9833817000, 1.5527669000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0267828000, 0.0319079000, 0.0464673000, 0.0869733000, 0.2012683000, 0.5271402000, 1.4465060000", \
+                        "0.0268047000, 0.0318630000, 0.0462147000, 0.0870777000, 0.2034285000, 0.5280181000, 1.4499421000", \
+                        "0.0272915000, 0.0321804000, 0.0463737000, 0.0866917000, 0.2016353000, 0.5282862000, 1.4549321000", \
+                        "0.0327316000, 0.0373533000, 0.0507077000, 0.0887008000, 0.2025185000, 0.5278807000, 1.4470888000", \
+                        "0.0470963000, 0.0520389000, 0.0653352000, 0.1027978000, 0.2078855000, 0.5264401000, 1.4484356000", \
+                        "0.0804860000, 0.0865744000, 0.1022917000, 0.1412585000, 0.2469920000, 0.5406053000, 1.4496097000", \
+                        "0.1507825000, 0.1581079000, 0.1780733000, 0.2264234000, 0.3397053000, 0.6306199000, 1.4722763000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000");
+                    values("0.0350920000, 0.0394028000, 0.0513173000, 0.0852313000, 0.1815069000, 0.4543474000, 1.2303593000", \
+                        "0.0349726000, 0.0393290000, 0.0512812000, 0.0851687000, 0.1817322000, 0.4542755000, 1.2288836000", \
+                        "0.0348419000, 0.0389510000, 0.0509923000, 0.0850928000, 0.1816366000, 0.4544638000, 1.2301550000", \
+                        "0.0453679000, 0.0484619000, 0.0580242000, 0.0876028000, 0.1815820000, 0.4554539000, 1.2302093000", \
+                        "0.0726106000, 0.0774866000, 0.0891939000, 0.1166704000, 0.1926398000, 0.4547524000, 1.2329167000", \
+                        "0.1193813000, 0.1272162000, 0.1463704000, 0.1883283000, 0.2669084000, 0.4807677000, 1.2305001000", \
+                        "0.1930905000, 0.2063468000, 0.2374174000, 0.3066956000, 0.4324639000, 0.6479056000, 1.2723501000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand3_4") {
+        leakage_power () {
+            value : 0.0001713000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 9.9474228e-05;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0015251000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0001757000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0014820000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0001986000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0155295000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0014760000;
+            when : "A&B&!C";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__nand3";
+        cell_leakage_power : 0.0025822140;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084820000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0186038000, 0.0186415000, 0.0187282000, 0.0187153000, 0.0186854000, 0.0186164000, 0.0184575000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013647500, -0.013592800, -0.013466800, -0.013441300, -0.013382400, -0.013246700, -0.012933900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088430000;
+        }
+        pin ("B") {
+            capacitance : 0.0085870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0171354000, 0.0171216000, 0.0170895000, 0.0171503000, 0.0172903000, 0.0176130000, 0.0183568000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015922200, -0.015897700, -0.015841300, -0.015836700, -0.015826200, -0.015802000, -0.015746300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088890000;
+        }
+        pin ("C") {
+            capacitance : 0.0087760000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157436000, 0.0157321000, 0.0157057000, 0.0157098000, 0.0157192000, 0.0157409000, 0.0157910000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015691500, -0.015690900, -0.015689700, -0.015690600, -0.015692700, -0.015697700, -0.015709000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092250000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0148238000, 0.0132860000, 0.0082393000, -0.008183700, -0.060750800, -0.226094800, -0.743746200", \
+                        "0.0138061000, 0.0122942000, 0.0073528000, -0.008774400, -0.061012000, -0.226236100, -0.743880400", \
+                        "0.0125288000, 0.0109903000, 0.0060999000, -0.009828900, -0.061658300, -0.226563600, -0.744066700", \
+                        "0.0119390000, 0.0102876000, 0.0050808000, -0.010900500, -0.062536400, -0.226944100, -0.744244600", \
+                        "0.0118880000, 0.0101811000, 0.0048744000, -0.011581300, -0.063419000, -0.227617300, -0.744549000", \
+                        "0.0141030000, 0.0123688000, 0.0068597000, -0.010015400, -0.062998100, -0.228236400, -0.745082400", \
+                        "0.0212559000, 0.0196006000, 0.0135686000, -0.005512500, -0.060148100, -0.226065800, -0.744652200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0123830000, 0.0144335000, 0.0204769000, 0.0380743000, 0.0910411000, 0.2553705000, 0.7636961000", \
+                        "0.0114321000, 0.0134404000, 0.0195086000, 0.0374665000, 0.0908859000, 0.2550968000, 0.7633567000", \
+                        "0.0105647000, 0.0124402000, 0.0183613000, 0.0362378000, 0.0896426000, 0.2563069000, 0.7686824000", \
+                        "0.0101080000, 0.0119612000, 0.0175856000, 0.0350887000, 0.0887259000, 0.2552921000, 0.7722336000", \
+                        "0.0111988000, 0.0128972000, 0.0180674000, 0.0346287000, 0.0869915000, 0.2538234000, 0.7661253000", \
+                        "0.0124349000, 0.0140626000, 0.0192018000, 0.0365230000, 0.0890295000, 0.2517047000, 0.7659576000", \
+                        "0.0205954000, 0.0213936000, 0.0260153000, 0.0412429000, 0.0911729000, 0.2562551000, 0.7657882000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0177715000, 0.0161247000, 0.0108678000, -0.005797000, -0.058521300, -0.223936400, -0.741606900", \
+                        "0.0169147000, 0.0153343000, 0.0102336000, -0.006199000, -0.058716800, -0.223995800, -0.741633900", \
+                        "0.0157981000, 0.0142461000, 0.0092353000, -0.006948100, -0.059119600, -0.224190200, -0.741785400", \
+                        "0.0148902000, 0.0132826000, 0.0082118000, -0.007933100, -0.059765500, -0.224464700, -0.741899100", \
+                        "0.0146943000, 0.0130180000, 0.0077961000, -0.008573000, -0.060486000, -0.224947500, -0.742052700", \
+                        "0.0154620000, 0.0137562000, 0.0082897000, -0.008485400, -0.061302400, -0.225651500, -0.742607700", \
+                        "0.0196160000, 0.0176976000, 0.0117613000, -0.005998100, -0.059636600, -0.225641500, -0.742782400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0261560000, 0.0279487000, 0.0334644000, 0.0504479000, 0.1029416000, 0.2663360000, 0.7774018000", \
+                        "0.0252622000, 0.0270793000, 0.0326903000, 0.0499507000, 0.1027871000, 0.2662114000, 0.7770667000", \
+                        "0.0241001000, 0.0259593000, 0.0317359000, 0.0491697000, 0.1022096000, 0.2662915000, 0.7774053000", \
+                        "0.0233544000, 0.0252897000, 0.0308375000, 0.0480021000, 0.1011163000, 0.2652256000, 0.7762032000", \
+                        "0.0232486000, 0.0249626000, 0.0303128000, 0.0471554000, 0.0998599000, 0.2642862000, 0.7756777000", \
+                        "0.0249198000, 0.0264727000, 0.0320165000, 0.0485873000, 0.1013283000, 0.2645859000, 0.7747176000", \
+                        "0.0297222000, 0.0311918000, 0.0361543000, 0.0519407000, 0.1030897000, 0.2652951000, 0.7737678000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0172616000, 0.0156488000, 0.0103614000, -0.006312100, -0.059099300, -0.224514100, -0.742150900", \
+                        "0.0164811000, 0.0148750000, 0.0097771000, -0.006712200, -0.059248200, -0.224574200, -0.742268800", \
+                        "0.0155140000, 0.0139388000, 0.0089018000, -0.007351100, -0.059571400, -0.224705500, -0.742320500", \
+                        "0.0146571000, 0.0130339000, 0.0079425000, -0.008291900, -0.060179900, -0.224957000, -0.742394500", \
+                        "0.0146066000, 0.0127506000, 0.0074676000, -0.008939300, -0.060870000, -0.225245300, -0.742517000", \
+                        "0.0147590000, 0.0131024000, 0.0077270000, -0.008992100, -0.061685800, -0.226126000, -0.743031600", \
+                        "0.0179792000, 0.0160696000, 0.0103607000, -0.007113100, -0.060446700, -0.226088900, -0.743204600");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
+                    values("0.0385330000, 0.0402708000, 0.0457332000, 0.0627508000, 0.1156065000, 0.2791567000, 0.7904297000", \
+                        "0.0377395000, 0.0395026000, 0.0449968000, 0.0621528000, 0.1151536000, 0.2789635000, 0.7896619000", \
+                        "0.0368356000, 0.0386319000, 0.0441755000, 0.0614560000, 0.1146391000, 0.2788045000, 0.7897168000", \
+                        "0.0360106000, 0.0377415000, 0.0433576000, 0.0606083000, 0.1139195000, 0.2778599000, 0.7891288000", \
+                        "0.0358799000, 0.0376210000, 0.0431625000, 0.0599462000, 0.1132483000, 0.2771696000, 0.7896121000", \
+                        "0.0397742000, 0.0415249000, 0.0468238000, 0.0612678000, 0.1136505000, 0.2773930000, 0.7889463000", \
+                        "0.0441251000, 0.0454404000, 0.0504740000, 0.0665281000, 0.1181064000, 0.2806854000, 0.7891014000");
+                }
+            }
+            max_capacitance : 0.4696750000;
+            max_transition : 1.5000220000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0302676000, 0.0329060000, 0.0406888000, 0.0645687000, 0.1361186000, 0.3590482000, 1.0648394000", \
+                        "0.0331539000, 0.0358655000, 0.0438181000, 0.0677186000, 0.1406878000, 0.3630337000, 1.0602202000", \
+                        "0.0421428000, 0.0447874000, 0.0524350000, 0.0765361000, 0.1491480000, 0.3731184000, 1.0701783000", \
+                        "0.0555814000, 0.0595294000, 0.0703919000, 0.0987270000, 0.1712134000, 0.3956915000, 1.0931490000", \
+                        "0.0685256000, 0.0747302000, 0.0915916000, 0.1342628000, 0.2242455000, 0.4477924000, 1.1509889000", \
+                        "0.0735063000, 0.0824164000, 0.1078502000, 0.1712698000, 0.3066237000, 0.5700685000, 1.2707281000", \
+                        "0.0506534000, 0.0640933000, 0.1009098000, 0.1936399000, 0.3981120000, 0.7923255000, 1.5549986000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0277117000, 0.0300593000, 0.0371650000, 0.0576961000, 0.1188868000, 0.3099523000, 0.9046163000", \
+                        "0.0327574000, 0.0350679000, 0.0420725000, 0.0628037000, 0.1248805000, 0.3176835000, 0.9079208000", \
+                        "0.0459637000, 0.0481965000, 0.0550020000, 0.0757706000, 0.1377625000, 0.3288765000, 0.9247297000", \
+                        "0.0692441000, 0.0728561000, 0.0828008000, 0.1065208000, 0.1685722000, 0.3593920000, 0.9526359000", \
+                        "0.1052746000, 0.1112052000, 0.1270643000, 0.1652565000, 0.2414686000, 0.4322622000, 1.0224208000", \
+                        "0.1632590000, 0.1725183000, 0.1978565000, 0.2580154000, 0.3798337000, 0.6000623000, 1.1929892000", \
+                        "0.2647666000, 0.2775693000, 0.3151261000, 0.4065552000, 0.5990414000, 0.9541149000, 1.5856080000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0285984000, 0.0319071000, 0.0420519000, 0.0740418000, 0.1729742000, 0.4821433000, 1.4601245000", \
+                        "0.0282909000, 0.0316011000, 0.0418894000, 0.0736938000, 0.1729777000, 0.4813023000, 1.4474754000", \
+                        "0.0305539000, 0.0333223000, 0.0424051000, 0.0733760000, 0.1727166000, 0.4813105000, 1.4491495000", \
+                        "0.0417622000, 0.0456030000, 0.0555326000, 0.0808436000, 0.1729255000, 0.4829409000, 1.4512634000", \
+                        "0.0635358000, 0.0678036000, 0.0803162000, 0.1129683000, 0.1935836000, 0.4844428000, 1.4500522000", \
+                        "0.1023974000, 0.1095058000, 0.1265735000, 0.1717025000, 0.2714832000, 0.5192799000, 1.4531373000", \
+                        "0.1703103000, 0.1806344000, 0.2053011000, 0.2720454000, 0.4114367000, 0.7010760000, 1.5000218000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0213387000, 0.0241156000, 0.0329913000, 0.0606726000, 0.1476590000, 0.4216956000, 1.2751485000", \
+                        "0.0212796000, 0.0241537000, 0.0329463000, 0.0605811000, 0.1478673000, 0.4221709000, 1.2675441000", \
+                        "0.0242274000, 0.0263747000, 0.0338502000, 0.0606846000, 0.1475153000, 0.4226350000, 1.2765687000", \
+                        "0.0394323000, 0.0414751000, 0.0480517000, 0.0675341000, 0.1478872000, 0.4210181000, 1.2754989000", \
+                        "0.0650113000, 0.0687163000, 0.0788491000, 0.1029325000, 0.1648665000, 0.4203217000, 1.2739746000", \
+                        "0.1072404000, 0.1129065000, 0.1293168000, 0.1693689000, 0.2466275000, 0.4499186000, 1.2714556000", \
+                        "0.1777549000, 0.1893831000, 0.2125928000, 0.2748110000, 0.4005875000, 0.6352814000, 1.3081766000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0406368000, 0.0431720000, 0.0506949000, 0.0741405000, 0.1454709000, 0.3709738000, 1.0666669000", \
+                        "0.0439725000, 0.0465655000, 0.0543576000, 0.0779216000, 0.1496468000, 0.3745434000, 1.0723233000", \
+                        "0.0511655000, 0.0538625000, 0.0618621000, 0.0859823000, 0.1579888000, 0.3812181000, 1.0785375000", \
+                        "0.0632241000, 0.0665652000, 0.0763222000, 0.1031718000, 0.1763061000, 0.4002172000, 1.0983492000", \
+                        "0.0774984000, 0.0825068000, 0.0967978000, 0.1330572000, 0.2182724000, 0.4449335000, 1.1430519000", \
+                        "0.0807745000, 0.0898954000, 0.1112197000, 0.1669533000, 0.2868765000, 0.5446084000, 1.2481962000", \
+                        "0.0468086000, 0.0601038000, 0.0938935000, 0.1805796000, 0.3657763000, 0.7207090000, 1.4780133000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0422749000, 0.0446074000, 0.0518243000, 0.0739549000, 0.1410707000, 0.3489302000, 0.9978199000", \
+                        "0.0472646000, 0.0496986000, 0.0569113000, 0.0792699000, 0.1466850000, 0.3546542000, 1.0039474000", \
+                        "0.0599214000, 0.0623179000, 0.0698152000, 0.0922496000, 0.1600875000, 0.3680974000, 1.0183124000", \
+                        "0.0904054000, 0.0931291000, 0.1013718000, 0.1236222000, 0.1915141000, 0.3998429000, 1.0505085000", \
+                        "0.1409214000, 0.1454535000, 0.1583781000, 0.1916118000, 0.2653373000, 0.4735076000, 1.1226788000", \
+                        "0.2242766000, 0.2312963000, 0.2514369000, 0.3046199000, 0.4190462000, 0.6453268000, 1.2916576000", \
+                        "0.3651656000, 0.3753554000, 0.4060830000, 0.4875207000, 0.6706186000, 1.0182574000, 1.6909090000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0288416000, 0.0320583000, 0.0420794000, 0.0737625000, 0.1727410000, 0.4838017000, 1.4491321000", \
+                        "0.0288789000, 0.0320855000, 0.0421504000, 0.0737191000, 0.1725986000, 0.4828791000, 1.4525401000", \
+                        "0.0302127000, 0.0331529000, 0.0426858000, 0.0739383000, 0.1727034000, 0.4815253000, 1.4484696000", \
+                        "0.0383599000, 0.0413884000, 0.0507352000, 0.0782470000, 0.1731502000, 0.4817615000, 1.4483872000", \
+                        "0.0574879000, 0.0608620000, 0.0710450000, 0.1002170000, 0.1856801000, 0.4835302000, 1.4514718000", \
+                        "0.0961440000, 0.1007437000, 0.1135630000, 0.1495910000, 0.2395392000, 0.5065027000, 1.4539727000", \
+                        "0.1683338000, 0.1748161000, 0.1930253000, 0.2419098000, 0.3571268000, 0.6298424000, 1.4838255000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0332386000, 0.0364586000, 0.0461934000, 0.0767189000, 0.1723928000, 0.4718840000, 1.4075198000", \
+                        "0.0332320000, 0.0363533000, 0.0461717000, 0.0766876000, 0.1724896000, 0.4714933000, 1.4072856000", \
+                        "0.0333722000, 0.0363228000, 0.0460782000, 0.0767273000, 0.1724071000, 0.4716995000, 1.4144720000", \
+                        "0.0443688000, 0.0468638000, 0.0539828000, 0.0797556000, 0.1725886000, 0.4716662000, 1.4135408000", \
+                        "0.0723089000, 0.0756689000, 0.0849731000, 0.1099021000, 0.1839327000, 0.4723677000, 1.4092869000", \
+                        "0.1184961000, 0.1240928000, 0.1395747000, 0.1766017000, 0.2582480000, 0.4934469000, 1.4093442000", \
+                        "0.1930836000, 0.2023822000, 0.2282166000, 0.2899719000, 0.4150365000, 0.6521169000, 1.4317170000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0456467000, 0.0482875000, 0.0557768000, 0.0793095000, 0.1504686000, 0.3768995000, 1.0715164000", \
+                        "0.0491742000, 0.0517222000, 0.0595632000, 0.0830003000, 0.1550859000, 0.3779358000, 1.0754178000", \
+                        "0.0561914000, 0.0587681000, 0.0668162000, 0.0904905000, 0.1640248000, 0.3863628000, 1.0832191000", \
+                        "0.0676077000, 0.0705731000, 0.0792880000, 0.1046303000, 0.1772709000, 0.4019325000, 1.0986809000", \
+                        "0.0816723000, 0.0858016000, 0.0969860000, 0.1278579000, 0.2079219000, 0.4336209000, 1.1320377000", \
+                        "0.0861761000, 0.0930543000, 0.1105985000, 0.1562593000, 0.2606250000, 0.5056250000, 1.2075890000", \
+                        "0.0490743000, 0.0594548000, 0.0894226000, 0.1629416000, 0.3195011000, 0.6354369000, 1.3741289000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0485061000, 0.0509094000, 0.0582133000, 0.0801880000, 0.1462676000, 0.3476912000, 0.9748141000", \
+                        "0.0535530000, 0.0560682000, 0.0634748000, 0.0855859000, 0.1514781000, 0.3530546000, 0.9801169000", \
+                        "0.0664559000, 0.0689102000, 0.0762979000, 0.0986043000, 0.1647680000, 0.3664512000, 0.9931819000", \
+                        "0.0983284000, 0.1007228000, 0.1087613000, 0.1305863000, 0.1967528000, 0.3985919000, 1.0261660000", \
+                        "0.1575121000, 0.1613745000, 0.1724018000, 0.2017820000, 0.2712915000, 0.4733411000, 1.0998743000", \
+                        "0.2533974000, 0.2592144000, 0.2765941000, 0.3219479000, 0.4292643000, 0.6438756000, 1.2687630000", \
+                        "0.4125420000, 0.4211259000, 0.4478486000, 0.5200061000, 0.6877767000, 1.0171779000, 1.6710165000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0287981000, 0.0321048000, 0.0420776000, 0.0739446000, 0.1734321000, 0.4838959000, 1.4490191000", \
+                        "0.0288680000, 0.0320621000, 0.0421544000, 0.0737938000, 0.1727225000, 0.4818771000, 1.4481408000", \
+                        "0.0293318000, 0.0324409000, 0.0423117000, 0.0737508000, 0.1735110000, 0.4816545000, 1.4492808000", \
+                        "0.0345681000, 0.0375915000, 0.0471108000, 0.0762035000, 0.1729069000, 0.4822058000, 1.4488956000", \
+                        "0.0486582000, 0.0515914000, 0.0609690000, 0.0905885000, 0.1808126000, 0.4817513000, 1.4471573000", \
+                        "0.0825321000, 0.0862074000, 0.0971497000, 0.1286256000, 0.2207680000, 0.4984441000, 1.4581208000", \
+                        "0.1533309000, 0.1577750000, 0.1721941000, 0.2121399000, 0.3121181000, 0.5905266000, 1.4756406000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
+                    values("0.0426683000, 0.0458258000, 0.0552861000, 0.0849477000, 0.1786247000, 0.4712017000, 1.3888447000", \
+                        "0.0425819000, 0.0456585000, 0.0552628000, 0.0850394000, 0.1786357000, 0.4719144000, 1.3900809000", \
+                        "0.0418626000, 0.0450100000, 0.0548506000, 0.0849683000, 0.1783886000, 0.4715334000, 1.3899726000", \
+                        "0.0491979000, 0.0520409000, 0.0597491000, 0.0864532000, 0.1784078000, 0.4715643000, 1.3899165000", \
+                        "0.0767094000, 0.0799735000, 0.0894328000, 0.1127320000, 0.1877672000, 0.4717598000, 1.3938735000", \
+                        "0.1253093000, 0.1300486000, 0.1444019000, 0.1797871000, 0.2590445000, 0.4929216000, 1.3900300000", \
+                        "0.2031041000, 0.2120537000, 0.2351861000, 0.2920672000, 0.4152522000, 0.6466940000, 1.4127383000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand3b_1 */
+
+/* removed sky130_fd_sc_hd__nand3b_2 */
+
+/* removed sky130_fd_sc_hd__nand3b_4 */
+
+/* removed sky130_fd_sc_hd__nand4_1 */
+
+    cell ("sky130_fd_sc_hd__nand4_2") {
+        leakage_power () {
+            value : 0.0010585000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0099957000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0010781000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0010614000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 7.7395257e-05;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0100941000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0008277000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 7.9341868e-05;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 8.7701913e-05;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0201798000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0006145000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002807000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0006039000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0299277000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0068021000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0005982000;
+            when : "A&B&C&!D";
+        }
+        area : 12.512000000;
+        cell_footprint : "sky130_fd_sc_hd__nand4";
+        cell_leakage_power : 0.0052104240;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0042940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042220000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091602000, 0.0091623000, 0.0091672000, 0.0091684000, 0.0091713000, 0.0091779000, 0.0091931000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006165000, -0.006165500, -0.006166600, -0.006147800, -0.006104600, -0.006005000, -0.005775300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0043670000;
+        }
+        pin ("B") {
+            capacitance : 0.0043790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0086550000, 0.0086519000, 0.0086447000, 0.0086788000, 0.0087574000, 0.0089385000, 0.0093559000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008059100, -0.008057200, -0.008052600, -0.008049200, -0.008041400, -0.008023400, -0.007981800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044840000;
+        }
+        pin ("C") {
+            capacitance : 0.0043170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078261000, 0.0078263000, 0.0078268000, 0.0078280000, 0.0078306000, 0.0078366000, 0.0078505000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007841800, -0.007833700, -0.007815000, -0.007812900, -0.007808200, -0.007797100, -0.007771500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044840000;
+        }
+        pin ("D") {
+            capacitance : 0.0044380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042170000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078787000, 0.0078745000, 0.0078648000, 0.0078662000, 0.0078694000, 0.0078768000, 0.0078937000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007863000, -0.007864400, -0.007867500, -0.007867400, -0.007867300, -0.007866800, -0.007865600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046590000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C) | (!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0085530000, 0.0072425000, 0.0035841000, -0.006520700, -0.034248300, -0.109764300, -0.314949800", \
+                        "0.0081480000, 0.0068601000, 0.0032717000, -0.006691500, -0.034342200, -0.109802600, -0.314968600", \
+                        "0.0076512000, 0.0064037000, 0.0028142000, -0.007040200, -0.034500200, -0.109900400, -0.314995600", \
+                        "0.0072239000, 0.0059242000, 0.0023610000, -0.007539900, -0.034874500, -0.110050300, -0.315084900", \
+                        "0.0071232000, 0.0057606000, 0.0020690000, -0.007907100, -0.035247600, -0.110340600, -0.315247900", \
+                        "0.0081849000, 0.0066920000, 0.0028111000, -0.007601000, -0.035467900, -0.110756400, -0.315538500", \
+                        "0.0106327000, 0.0091261000, 0.0049772000, -0.005921900, -0.034463500, -0.110611200, -0.315782500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0073373000, 0.0088769000, 0.0129110000, 0.0233442000, 0.0513245000, 0.1251966000, 0.3289749000", \
+                        "0.0069634000, 0.0085050000, 0.0125909000, 0.0231660000, 0.0513348000, 0.1253225000, 0.3308996000", \
+                        "0.0065828000, 0.0081163000, 0.0121305000, 0.0227785000, 0.0511266000, 0.1265899000, 0.3292714000", \
+                        "0.0062095000, 0.0076729000, 0.0117808000, 0.0222119000, 0.0504574000, 0.1258162000, 0.3302184000", \
+                        "0.0063315000, 0.0077057000, 0.0115019000, 0.0217597000, 0.0495795000, 0.1243904000, 0.3296111000", \
+                        "0.0067103000, 0.0080181000, 0.0116326000, 0.0222924000, 0.0496433000, 0.1241519000, 0.3273418000", \
+                        "0.0107555000, 0.0119833000, 0.0156987000, 0.0260185000, 0.0509267000, 0.1247612000, 0.3263663000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0108476000, 0.0094965000, 0.0058415000, -0.004313000, -0.032090700, -0.107627400, -0.312817200", \
+                        "0.0104853000, 0.0091765000, 0.0055641000, -0.004473800, -0.032158800, -0.107654700, -0.312823100", \
+                        "0.0100358000, 0.0087253000, 0.0051435000, -0.004789600, -0.032325300, -0.107728900, -0.312828200", \
+                        "0.0095329000, 0.0082274000, 0.0046318000, -0.005260100, -0.032652700, -0.107873200, -0.312907300", \
+                        "0.0093270000, 0.0079802000, 0.0043441000, -0.005656200, -0.033023000, -0.108099700, -0.312989200", \
+                        "0.0094293000, 0.0080282000, 0.0041399000, -0.006020100, -0.033536400, -0.108586900, -0.313271400", \
+                        "0.0105589000, 0.0090920000, 0.0051633000, -0.005264900, -0.033258700, -0.108819700, -0.313601000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0149977000, 0.0164023000, 0.0202602000, 0.0305507000, 0.0581091000, 0.1327664000, 0.3350718000", \
+                        "0.0145544000, 0.0160633000, 0.0199291000, 0.0303205000, 0.0580841000, 0.1327028000, 0.3356209000", \
+                        "0.0140393000, 0.0154950000, 0.0194693000, 0.0300315000, 0.0578712000, 0.1328885000, 0.3353403000", \
+                        "0.0134758000, 0.0149653000, 0.0187970000, 0.0292695000, 0.0572990000, 0.1323171000, 0.3347016000", \
+                        "0.0131879000, 0.0146167000, 0.0184973000, 0.0288818000, 0.0568072000, 0.1314886000, 0.3349408000", \
+                        "0.0131015000, 0.0145066000, 0.0184571000, 0.0288504000, 0.0565392000, 0.1313199000, 0.3342752000", \
+                        "0.0162516000, 0.0163812000, 0.0198972000, 0.0316875000, 0.0568924000, 0.1311195000, 0.3326460000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0106636000, 0.0093461000, 0.0056172000, -0.004532600, -0.032296200, -0.107840500, -0.313027600", \
+                        "0.0103300000, 0.0090389000, 0.0053801000, -0.004664900, -0.032338100, -0.107862200, -0.312980900", \
+                        "0.0098646000, 0.0085487000, 0.0049694000, -0.004993000, -0.032542200, -0.107944800, -0.313121200", \
+                        "0.0093876000, 0.0080607000, 0.0044443000, -0.005445900, -0.032845700, -0.108096000, -0.313170900", \
+                        "0.0091253000, 0.0078071000, 0.0041637000, -0.005806600, -0.033219900, -0.108301500, -0.313215200", \
+                        "0.0091747000, 0.0078009000, 0.0041013000, -0.006231400, -0.033732800, -0.108783900, -0.313538600", \
+                        "0.0099593000, 0.0086133000, 0.0047043000, -0.005627300, -0.033493400, -0.109019000, -0.313835900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0205977000, 0.0220174000, 0.0258136000, 0.0361523000, 0.0638073000, 0.1388472000, 0.3409526000", \
+                        "0.0202780000, 0.0217149000, 0.0255528000, 0.0359111000, 0.0636803000, 0.1383968000, 0.3411157000", \
+                        "0.0197807000, 0.0212213000, 0.0250588000, 0.0355439000, 0.0634257000, 0.1384102000, 0.3410154000", \
+                        "0.0193508000, 0.0207789000, 0.0246344000, 0.0351079000, 0.0630957000, 0.1380139000, 0.3412877000", \
+                        "0.0193098000, 0.0207225000, 0.0245504000, 0.0348922000, 0.0628882000, 0.1371439000, 0.3408287000", \
+                        "0.0199518000, 0.0213135000, 0.0250153000, 0.0351447000, 0.0627993000, 0.1372474000, 0.3404056000", \
+                        "0.0219198000, 0.0232204000, 0.0267600000, 0.0365226000, 0.0641555000, 0.1379914000, 0.3394645000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0105190000, 0.0091976000, 0.0054733000, -0.004677400, -0.032437300, -0.107971600, -0.313154600", \
+                        "0.0101768000, 0.0088569000, 0.0052180000, -0.004834900, -0.032498100, -0.108002400, -0.313197200", \
+                        "0.0097187000, 0.0083998000, 0.0048217000, -0.005129800, -0.032678200, -0.108075300, -0.313207600", \
+                        "0.0092545000, 0.0079216000, 0.0043175000, -0.005585200, -0.032987900, -0.108226200, -0.313264600", \
+                        "0.0090860000, 0.0077155000, 0.0040779000, -0.005953600, -0.033353900, -0.108466300, -0.313329100", \
+                        "0.0091162000, 0.0077291000, 0.0040037000, -0.006322900, -0.033839200, -0.108949500, -0.313690500", \
+                        "0.0100308000, 0.0085802000, 0.0047330000, -0.005636000, -0.033474300, -0.109066800, -0.313905100");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000");
+                    values("0.0260840000, 0.0274754000, 0.0312623000, 0.0416018000, 0.0695573000, 0.1445181000, 0.3473231000", \
+                        "0.0257787000, 0.0271264000, 0.0309294000, 0.0412988000, 0.0693169000, 0.1442883000, 0.3469877000", \
+                        "0.0253378000, 0.0267551000, 0.0305855000, 0.0409854000, 0.0689407000, 0.1441473000, 0.3469367000", \
+                        "0.0248924000, 0.0262923000, 0.0300969000, 0.0406261000, 0.0687179000, 0.1439690000, 0.3467344000", \
+                        "0.0248058000, 0.0262156000, 0.0300852000, 0.0404023000, 0.0687030000, 0.1428729000, 0.3451199000", \
+                        "0.0268214000, 0.0278746000, 0.0307284000, 0.0407534000, 0.0689948000, 0.1440895000, 0.3465110000", \
+                        "0.0287527000, 0.0300636000, 0.0336789000, 0.0433596000, 0.0707276000, 0.1451940000, 0.3457913000");
+                }
+            }
+            max_capacitance : 0.2005100000;
+            max_transition : 1.4964450000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0366782000, 0.0412760000, 0.0537110000, 0.0866774000, 0.1751574000, 0.4144290000, 1.0633583000", \
+                        "0.0394225000, 0.0440703000, 0.0566713000, 0.0903481000, 0.1786850000, 0.4182443000, 1.0672749000", \
+                        "0.0481018000, 0.0524234000, 0.0645812000, 0.0981597000, 0.1871719000, 0.4272707000, 1.0767099000", \
+                        "0.0651100000, 0.0710510000, 0.0856196000, 0.1181946000, 0.2077714000, 0.4481660000, 1.0975219000", \
+                        "0.0834543000, 0.0923982000, 0.1142344000, 0.1615262000, 0.2559058000, 0.4960755000, 1.1461130000", \
+                        "0.0946543000, 0.1072628000, 0.1400645000, 0.2112962000, 0.3515067000, 0.6132501000, 1.2619270000", \
+                        "0.0757197000, 0.0954567000, 0.1435045000, 0.2495453000, 0.4598073000, 0.8371093000, 1.5319632000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0317665000, 0.0352914000, 0.0443316000, 0.0679715000, 0.1306637000, 0.3005094000, 0.7583619000", \
+                        "0.0370821000, 0.0404796000, 0.0497513000, 0.0734966000, 0.1365867000, 0.3059243000, 0.7642629000", \
+                        "0.0505602000, 0.0539438000, 0.0629881000, 0.0871303000, 0.1506850000, 0.3192173000, 0.7799161000", \
+                        "0.0775400000, 0.0825512000, 0.0945140000, 0.1183177000, 0.1816342000, 0.3513182000, 0.8138189000", \
+                        "0.1206598000, 0.1286415000, 0.1473462000, 0.1861400000, 0.2573938000, 0.4267686000, 0.8904164000", \
+                        "0.1901118000, 0.2029517000, 0.2336055000, 0.2969490000, 0.4093730000, 0.6009269000, 1.0542153000", \
+                        "0.3106276000, 0.3295035000, 0.3759633000, 0.4743197000, 0.6587836000, 0.9619255000, 1.4618328000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0429532000, 0.0491339000, 0.0661347000, 0.1116034000, 0.2312898000, 0.5574955000, 1.4425207000", \
+                        "0.0421144000, 0.0485594000, 0.0657901000, 0.1111716000, 0.2314579000, 0.5575140000, 1.4429763000", \
+                        "0.0423072000, 0.0483011000, 0.0646034000, 0.1105475000, 0.2312808000, 0.5574329000, 1.4430580000", \
+                        "0.0525964000, 0.0591953000, 0.0733235000, 0.1127013000, 0.2311306000, 0.5586993000, 1.4427132000", \
+                        "0.0754324000, 0.0822206000, 0.0998436000, 0.1415057000, 0.2428968000, 0.5581361000, 1.4436654000", \
+                        "0.1194462000, 0.1293919000, 0.1531542000, 0.2048581000, 0.3149463000, 0.5845833000, 1.4460027000", \
+                        "0.1945502000, 0.2094012000, 0.2435261000, 0.3191345000, 0.4708536000, 0.7554387000, 1.4964449000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0264735000, 0.0308362000, 0.0428600000, 0.0755694000, 0.1649060000, 0.4056626000, 1.0579534000", \
+                        "0.0263815000, 0.0309248000, 0.0428734000, 0.0754666000, 0.1647457000, 0.4044073000, 1.0652674000", \
+                        "0.0280672000, 0.0318855000, 0.0430121000, 0.0756165000, 0.1644186000, 0.4063691000, 1.0661618000", \
+                        "0.0429617000, 0.0458032000, 0.0537479000, 0.0797303000, 0.1645735000, 0.4053602000, 1.0633415000", \
+                        "0.0713585000, 0.0762157000, 0.0875991000, 0.1117204000, 0.1769853000, 0.4053828000, 1.0700733000", \
+                        "0.1192296000, 0.1271753000, 0.1456069000, 0.1842449000, 0.2551086000, 0.4324135000, 1.0594516000", \
+                        "0.1981238000, 0.2111374000, 0.2414885000, 0.3048228000, 0.4191621000, 0.6046418000, 1.1093976000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0545066000, 0.0591063000, 0.0717409000, 0.1047622000, 0.1928175000, 0.4320058000, 1.0811904000", \
+                        "0.0580063000, 0.0625719000, 0.0750924000, 0.1083496000, 0.1968844000, 0.4363585000, 1.0856557000", \
+                        "0.0658536000, 0.0704613000, 0.0832910000, 0.1167834000, 0.2058056000, 0.4459836000, 1.0977153000", \
+                        "0.0825312000, 0.0881322000, 0.1021869000, 0.1365164000, 0.2269525000, 0.4663328000, 1.1239902000", \
+                        "0.1056027000, 0.1135280000, 0.1333025000, 0.1766502000, 0.2730952000, 0.5148746000, 1.1702678000", \
+                        "0.1229615000, 0.1353399000, 0.1658351000, 0.2322358000, 0.3629301000, 0.6256723000, 1.2772852000", \
+                        "0.1065158000, 0.1260839000, 0.1738807000, 0.2780488000, 0.4787604000, 0.8373974000, 1.5353158000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0471125000, 0.0508341000, 0.0603569000, 0.0859784000, 0.1540763000, 0.3376577000, 0.8345794000", \
+                        "0.0521955000, 0.0561523000, 0.0657105000, 0.0915552000, 0.1598947000, 0.3437778000, 0.8403441000", \
+                        "0.0654646000, 0.0692507000, 0.0790056000, 0.1050264000, 0.1737537000, 0.3575886000, 0.8536471000", \
+                        "0.0973504000, 0.1014175000, 0.1110720000, 0.1371466000, 0.2056487000, 0.3874762000, 0.8849786000", \
+                        "0.1545861000, 0.1609918000, 0.1767465000, 0.2106450000, 0.2815190000, 0.4646323000, 0.9612862000", \
+                        "0.2483148000, 0.2585433000, 0.2837075000, 0.3391795000, 0.4461037000, 0.6417473000, 1.1375305000", \
+                        "0.4047358000, 0.4204081000, 0.4606291000, 0.5478082000, 0.7226669000, 1.0237046000, 1.5464038000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0440647000, 0.0499771000, 0.0667575000, 0.1114571000, 0.2311749000, 0.5583321000, 1.4422498000", \
+                        "0.0439922000, 0.0499766000, 0.0665161000, 0.1111107000, 0.2313162000, 0.5578393000, 1.4428441000", \
+                        "0.0441116000, 0.0501030000, 0.0665764000, 0.1110851000, 0.2316004000, 0.5577574000, 1.4470092000", \
+                        "0.0516781000, 0.0568100000, 0.0712597000, 0.1128913000, 0.2319216000, 0.5580406000, 1.4520523000", \
+                        "0.0722231000, 0.0782318000, 0.0942466000, 0.1329936000, 0.2386749000, 0.5585463000, 1.4458093000", \
+                        "0.1169619000, 0.1244351000, 0.1436798000, 0.1887260000, 0.2950397000, 0.5778475000, 1.4457131000", \
+                        "0.1983582000, 0.2090303000, 0.2363356000, 0.2984658000, 0.4295628000, 0.7088766000, 1.4822380000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0389948000, 0.0437027000, 0.0566624000, 0.0916064000, 0.1870752000, 0.4474287000, 1.1511981000", \
+                        "0.0391445000, 0.0437519000, 0.0566361000, 0.0916398000, 0.1870713000, 0.4465830000, 1.1470412000", \
+                        "0.0389036000, 0.0436870000, 0.0565796000, 0.0918775000, 0.1870881000, 0.4458153000, 1.1481938000", \
+                        "0.0472474000, 0.0509771000, 0.0615253000, 0.0928961000, 0.1871349000, 0.4458823000, 1.1520388000", \
+                        "0.0773657000, 0.0819011000, 0.0929842000, 0.1176618000, 0.1952134000, 0.4460406000, 1.1490559000", \
+                        "0.1295746000, 0.1370136000, 0.1546280000, 0.1920977000, 0.2632345000, 0.4656062000, 1.1484634000", \
+                        "0.2151169000, 0.2295362000, 0.2581614000, 0.3190590000, 0.4311387000, 0.6239766000, 1.1869591000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0625068000, 0.0673535000, 0.0794025000, 0.1122183000, 0.2007157000, 0.4401163000, 1.0892236000", \
+                        "0.0658927000, 0.0707974000, 0.0830510000, 0.1166104000, 0.2049658000, 0.4451196000, 1.0951519000", \
+                        "0.0729875000, 0.0779188000, 0.0905579000, 0.1238334000, 0.2127580000, 0.4535194000, 1.1032036000", \
+                        "0.0866100000, 0.0917703000, 0.1053821000, 0.1394620000, 0.2287828000, 0.4718548000, 1.1213304000", \
+                        "0.1069113000, 0.1139132000, 0.1302378000, 0.1701967000, 0.2640204000, 0.5072129000, 1.1551322000", \
+                        "0.1244450000, 0.1346920000, 0.1598133000, 0.2158674000, 0.3316669000, 0.5876895000, 1.2406443000", \
+                        "0.1070780000, 0.1235931000, 0.1640461000, 0.2528981000, 0.4250229000, 0.7492872000, 1.4335810000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0543250000, 0.0579306000, 0.0678549000, 0.0935668000, 0.1618389000, 0.3444326000, 0.8378334000", \
+                        "0.0596924000, 0.0633345000, 0.0733358000, 0.0991498000, 0.1676296000, 0.3504845000, 0.8428565000", \
+                        "0.0727626000, 0.0765411000, 0.0864096000, 0.1124466000, 0.1809766000, 0.3635938000, 0.8572557000", \
+                        "0.1053567000, 0.1089521000, 0.1190149000, 0.1450249000, 0.2134818000, 0.3961781000, 0.8871262000", \
+                        "0.1690990000, 0.1747340000, 0.1888305000, 0.2206266000, 0.2895498000, 0.4713653000, 0.9640799000", \
+                        "0.2733681000, 0.2820401000, 0.3042880000, 0.3554797000, 0.4576577000, 0.6483575000, 1.1399778000", \
+                        "0.4477455000, 0.4616528000, 0.4965557000, 0.5764776000, 0.7409658000, 1.0325178000, 1.5477610000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0438913000, 0.0501664000, 0.0664038000, 0.1111375000, 0.2312125000, 0.5574914000, 1.4424363000", \
+                        "0.0438977000, 0.0502863000, 0.0664288000, 0.1114236000, 0.2318208000, 0.5590470000, 1.4471272000", \
+                        "0.0442206000, 0.0504381000, 0.0666957000, 0.1110178000, 0.2314578000, 0.5584543000, 1.4474727000", \
+                        "0.0493883000, 0.0545551000, 0.0697500000, 0.1126035000, 0.2314713000, 0.5595230000, 1.4456271000", \
+                        "0.0641837000, 0.0700697000, 0.0850520000, 0.1263195000, 0.2372839000, 0.5594025000, 1.4450524000", \
+                        "0.1025680000, 0.1092482000, 0.1260673000, 0.1678045000, 0.2760297000, 0.5708013000, 1.4444945000", \
+                        "0.1804095000, 0.1890407000, 0.2110638000, 0.2652236000, 0.3804597000, 0.6674796000, 1.4698333000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0477080000, 0.0526671000, 0.0652868000, 0.1001475000, 0.1945264000, 0.4522595000, 1.1518083000", \
+                        "0.0476381000, 0.0525402000, 0.0653339000, 0.1003518000, 0.1953738000, 0.4524423000, 1.1503543000", \
+                        "0.0473016000, 0.0521683000, 0.0650994000, 0.0999441000, 0.1951925000, 0.4528780000, 1.1499781000", \
+                        "0.0526971000, 0.0568746000, 0.0679702000, 0.1005133000, 0.1951801000, 0.4522064000, 1.1523740000", \
+                        "0.0818613000, 0.0861673000, 0.0964637000, 0.1219721000, 0.2015574000, 0.4531747000, 1.1514782000", \
+                        "0.1354280000, 0.1415347000, 0.1584889000, 0.1938920000, 0.2657315000, 0.4717239000, 1.1520697000", \
+                        "0.2247706000, 0.2361755000, 0.2640337000, 0.3233540000, 0.4326982000, 0.6239551000, 1.1930901000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0677319000, 0.0725549000, 0.0846400000, 0.1175427000, 0.2058110000, 0.4450028000, 1.0942857000", \
+                        "0.0713914000, 0.0761985000, 0.0885749000, 0.1217183000, 0.2107867000, 0.4509869000, 1.1003994000", \
+                        "0.0789329000, 0.0835925000, 0.0962844000, 0.1296138000, 0.2186189000, 0.4581734000, 1.1074044000", \
+                        "0.0925260000, 0.0976578000, 0.1105358000, 0.1443194000, 0.2337110000, 0.4738886000, 1.1233381000", \
+                        "0.1124282000, 0.1180973000, 0.1332906000, 0.1707610000, 0.2629291000, 0.5035450000, 1.1613500000", \
+                        "0.1316841000, 0.1400582000, 0.1608712000, 0.2089429000, 0.3165659000, 0.5686469000, 1.2204113000", \
+                        "0.1195598000, 0.1345224000, 0.1675050000, 0.2428085000, 0.3936891000, 0.6942958000, 1.3698656000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0538659000, 0.0574204000, 0.0669538000, 0.0910846000, 0.1539632000, 0.3194362000, 0.7643600000", \
+                        "0.0591924000, 0.0627918000, 0.0722833000, 0.0964694000, 0.1592660000, 0.3247327000, 0.7707776000", \
+                        "0.0725605000, 0.0761578000, 0.0857295000, 0.1096694000, 0.1724866000, 0.3379039000, 0.7829423000", \
+                        "0.1051539000, 0.1085510000, 0.1177597000, 0.1419749000, 0.2047956000, 0.3704029000, 0.8149882000", \
+                        "0.1693535000, 0.1740401000, 0.1866901000, 0.2163438000, 0.2787661000, 0.4425063000, 0.8859636000", \
+                        "0.2741531000, 0.2818386000, 0.3002063000, 0.3463768000, 0.4399685000, 0.6167616000, 1.0575747000", \
+                        "0.4433827000, 0.4554862000, 0.4842652000, 0.5570386000, 0.7075722000, 0.9825970000, 1.4613897000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0439051000, 0.0502622000, 0.0665362000, 0.1110282000, 0.2311544000, 0.5578156000, 1.4453064000", \
+                        "0.0439010000, 0.0500921000, 0.0664181000, 0.1110255000, 0.2317397000, 0.5590778000, 1.4444043000", \
+                        "0.0440255000, 0.0501839000, 0.0665197000, 0.1110061000, 0.2317344000, 0.5572462000, 1.4422731000", \
+                        "0.0469592000, 0.0525615000, 0.0682031000, 0.1117457000, 0.2313343000, 0.5573699000, 1.4426799000", \
+                        "0.0576042000, 0.0633993000, 0.0789588000, 0.1211828000, 0.2352818000, 0.5602021000, 1.4523192000", \
+                        "0.0878556000, 0.0938872000, 0.1095479000, 0.1514060000, 0.2636069000, 0.5692105000, 1.4463195000", \
+                        "0.1582841000, 0.1658709000, 0.1850316000, 0.2316429000, 0.3461606000, 0.6419663000, 1.4686848000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000");
+                    values("0.0524934000, 0.0568454000, 0.0685997000, 0.1001693000, 0.1860271000, 0.4195835000, 1.0524670000", \
+                        "0.0521330000, 0.0567152000, 0.0684781000, 0.1000899000, 0.1859883000, 0.4191110000, 1.0558344000", \
+                        "0.0511931000, 0.0558256000, 0.0680611000, 0.0999513000, 0.1860482000, 0.4203849000, 1.0528148000", \
+                        "0.0555736000, 0.0594691000, 0.0700527000, 0.1001010000, 0.1858474000, 0.4201668000, 1.0549365000", \
+                        "0.0840169000, 0.0882938000, 0.0979927000, 0.1220017000, 0.1938866000, 0.4190611000, 1.0540490000", \
+                        "0.1375057000, 0.1435853000, 0.1603460000, 0.1934745000, 0.2630137000, 0.4442398000, 1.0547636000", \
+                        "0.2261995000, 0.2368595000, 0.2613054000, 0.3172750000, 0.4254616000, 0.6129977000, 1.1091730000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nand4_4") {
+        leakage_power () {
+            value : 0.0167977000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0167972000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001765000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001012000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001796000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0001051000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019163000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001843000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001981000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0001091000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0014939000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002020000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0014645000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0002237000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0105515000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0014670000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nand4";
+        cell_leakage_power : 0.0032479900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0084590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0182239000, 0.0182852000, 0.0184264000, 0.0184119000, 0.0183786000, 0.0183016000, 0.0181242000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.012986800, -0.012976000, -0.012951000, -0.012918800, -0.012844700, -0.012673700, -0.012279600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086040000;
+        }
+        pin ("B") {
+            capacitance : 0.0084720000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082470000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0173805000, 0.0173653000, 0.0173305000, 0.0173935000, 0.0175389000, 0.0178738000, 0.0186459000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015845200, -0.015836000, -0.015815000, -0.015810800, -0.015801200, -0.015778900, -0.015727600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086960000;
+        }
+        pin ("C") {
+            capacitance : 0.0085330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0156250000, 0.0156266000, 0.0156301000, 0.0156303000, 0.0156307000, 0.0156316000, 0.0156337000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015610200, -0.015606800, -0.015598800, -0.015594500, -0.015584600, -0.015561900, -0.015509500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088780000;
+        }
+        pin ("D") {
+            capacitance : 0.0087390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157077000, 0.0156991000, 0.0156792000, 0.0156825000, 0.0156903000, 0.0157082000, 0.0157494000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015654100, -0.015659700, -0.015672500, -0.015670500, -0.015665900, -0.015655500, -0.015631300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092020000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A) | (!B) | (!C) | (!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0186030000, 0.0170370000, 0.0124358000, -0.001633200, -0.044553200, -0.173494900, -0.559542800", \
+                        "0.0177491000, 0.0162761000, 0.0117649000, -0.002091900, -0.044761300, -0.173593800, -0.559543000", \
+                        "0.0165783000, 0.0151106000, 0.0107011000, -0.002933900, -0.045243900, -0.173788700, -0.559582200", \
+                        "0.0156784000, 0.0141756000, 0.0097288000, -0.004058600, -0.046174400, -0.174300200, -0.559803200", \
+                        "0.0155492000, 0.0139650000, 0.0092610000, -0.004754400, -0.047018300, -0.175035600, -0.560206700", \
+                        "0.0171759000, 0.0154705000, 0.0106172000, -0.003960600, -0.047219800, -0.175686300, -0.560886300", \
+                        "0.0229424000, 0.0210998000, 0.0155070000, -9.55000e-05, -0.044499700, -0.174742500, -0.560929800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0140159000, 0.0158510000, 0.0211570000, 0.0362073000, 0.0792957000, 0.2076847000, 0.5882907000", \
+                        "0.0132190000, 0.0150592000, 0.0204067000, 0.0356714000, 0.0792600000, 0.2077523000, 0.5863967000", \
+                        "0.0123073000, 0.0141224000, 0.0193596000, 0.0346594000, 0.0786105000, 0.2062564000, 0.5889083000", \
+                        "0.0118642000, 0.0136303000, 0.0186093000, 0.0334509000, 0.0778607000, 0.2066729000, 0.5903794000", \
+                        "0.0119212000, 0.0135386000, 0.0183647000, 0.0330424000, 0.0761926000, 0.2066711000, 0.5851748000", \
+                        "0.0127122000, 0.0142293000, 0.0188276000, 0.0339861000, 0.0766944000, 0.2032347000, 0.5902992000", \
+                        "0.0219010000, 0.0206307000, 0.0248099000, 0.0402238000, 0.0817538000, 0.2065960000, 0.5862779000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0220777000, 0.0204876000, 0.0157842000, 0.0015510000, -0.041459600, -0.170487500, -0.556516600", \
+                        "0.0213333000, 0.0198920000, 0.0152493000, 0.0011976000, -0.041636400, -0.170541700, -0.556488100", \
+                        "0.0203947000, 0.0188965000, 0.0143745000, 0.0004848000, -0.041993100, -0.170656900, -0.556556300", \
+                        "0.0193535000, 0.0178673000, 0.0132940000, -0.000469500, -0.042687900, -0.171021200, -0.556673600", \
+                        "0.0189795000, 0.0173926000, 0.0127677000, -0.001221800, -0.043496700, -0.171489000, -0.556764300", \
+                        "0.0187839000, 0.0171246000, 0.0123499000, -0.001829600, -0.044503900, -0.172448200, -0.557404000", \
+                        "0.0215435000, 0.0197755000, 0.0147902000, -3.00000e-06, -0.043467700, -0.172771900, -0.558006500");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0281140000, 0.0297558000, 0.0347118000, 0.0492496000, 0.0921554000, 0.2199161000, 0.6001347000", \
+                        "0.0274511000, 0.0291415000, 0.0341624000, 0.0489121000, 0.0921846000, 0.2199866000, 0.6003756000", \
+                        "0.0263587000, 0.0281735000, 0.0331630000, 0.0481618000, 0.0915770000, 0.2196078000, 0.6011851000", \
+                        "0.0252572000, 0.0270670000, 0.0319664000, 0.0469176000, 0.0904634000, 0.2183960000, 0.6010176000", \
+                        "0.0246571000, 0.0263426000, 0.0313667000, 0.0460568000, 0.0897376000, 0.2173856000, 0.6008098000", \
+                        "0.0254584000, 0.0270093000, 0.0316935000, 0.0458470000, 0.0893974000, 0.2168787000, 0.5987188000", \
+                        "0.0304787000, 0.0331522000, 0.0343500000, 0.0501817000, 0.0926933000, 0.2155185000, 0.5959053000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0218047000, 0.0202398000, 0.0155529000, 0.0012084000, -0.041831800, -0.170855600, -0.556788400", \
+                        "0.0211840000, 0.0196713000, 0.0149985000, 0.0008696000, -0.041999000, -0.170923000, -0.556909700", \
+                        "0.0201740000, 0.0186807000, 0.0141241000, 0.0002420000, -0.042361700, -0.171102200, -0.556985100", \
+                        "0.0192233000, 0.0176839000, 0.0130869000, -0.000716400, -0.043008400, -0.171382800, -0.557166400", \
+                        "0.0186758000, 0.0171753000, 0.0124886000, -0.001448100, -0.043778400, -0.171794500, -0.557230800", \
+                        "0.0187194000, 0.0171936000, 0.0124139000, -0.002236900, -0.044775500, -0.172748500, -0.557733000", \
+                        "0.0203069000, 0.0186431000, 0.0136697000, -0.000916300, -0.044122200, -0.173260100, -0.558327800");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0403066000, 0.0419327000, 0.0468289000, 0.0613239000, 0.1044702000, 0.2322445000, 0.6138008000", \
+                        "0.0397094000, 0.0413489000, 0.0462730000, 0.0609067000, 0.1040309000, 0.2319618000, 0.6134357000", \
+                        "0.0387135000, 0.0403693000, 0.0453671000, 0.0601428000, 0.1035639000, 0.2315899000, 0.6129827000", \
+                        "0.0376617000, 0.0395313000, 0.0443535000, 0.0590728000, 0.1026803000, 0.2307591000, 0.6126902000", \
+                        "0.0376329000, 0.0392940000, 0.0442787000, 0.0588390000, 0.1023200000, 0.2307906000, 0.6122943000", \
+                        "0.0385033000, 0.0400442000, 0.0447379000, 0.0592341000, 0.1023321000, 0.2301129000, 0.6092007000", \
+                        "0.0420935000, 0.0437422000, 0.0482471000, 0.0617512000, 0.1038994000, 0.2306266000, 0.6105945000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0215637000, 0.0199817000, 0.0151932000, 0.0009185000, -0.042162100, -0.171155300, -0.557244900", \
+                        "0.0208993000, 0.0193653000, 0.0146752000, 0.0005654000, -0.042346800, -0.171279500, -0.557253000", \
+                        "0.0199021000, 0.0184326000, 0.0138601000, -7.71000e-05, -0.042658900, -0.171425600, -0.557322700", \
+                        "0.0189905000, 0.0174441000, 0.0128708000, -0.000981100, -0.043300400, -0.171716200, -0.557491100", \
+                        "0.0185800000, 0.0170227000, 0.0123749000, -0.001659700, -0.044011500, -0.172131500, -0.557625800", \
+                        "0.0186345000, 0.0170465000, 0.0122777000, -0.002104700, -0.044927100, -0.173096400, -0.558217600", \
+                        "0.0204040000, 0.0187338000, 0.0137973000, -0.000897300, -0.044114000, -0.173317200, -0.558573000");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
+                    values("0.0505226000, 0.0521053000, 0.0569047000, 0.0713579000, 0.1148573000, 0.2429654000, 0.6249235000", \
+                        "0.0498700000, 0.0515053000, 0.0562804000, 0.0709126000, 0.1143761000, 0.2425654000, 0.6239257000", \
+                        "0.0491379000, 0.0507624000, 0.0556031000, 0.0701663000, 0.1138153000, 0.2423749000, 0.6237191000", \
+                        "0.0482390000, 0.0498540000, 0.0547318000, 0.0694301000, 0.1131392000, 0.2415523000, 0.6234690000", \
+                        "0.0486304000, 0.0502364000, 0.0550297000, 0.0696924000, 0.1124403000, 0.2412978000, 0.6230694000", \
+                        "0.0502503000, 0.0518118000, 0.0565862000, 0.0707688000, 0.1137540000, 0.2416436000, 0.6219960000", \
+                        "0.0547124000, 0.0562051000, 0.0606972000, 0.0742861000, 0.1165083000, 0.2442691000, 0.6236484000");
+                }
+            }
+            max_capacitance : 0.3579810000;
+            max_transition : 1.4971000000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0383248000, 0.0412170000, 0.0502259000, 0.0763721000, 0.1521192000, 0.3783246000, 1.0518199000", \
+                        "0.0409280000, 0.0439713000, 0.0530262000, 0.0795689000, 0.1562497000, 0.3839961000, 1.0562029000", \
+                        "0.0491333000, 0.0519686000, 0.0608142000, 0.0871435000, 0.1640941000, 0.3909202000, 1.0647678000", \
+                        "0.0661648000, 0.0699966000, 0.0809398000, 0.1074941000, 0.1847658000, 0.4131731000, 1.0869045000", \
+                        "0.0846683000, 0.0904326000, 0.1063797000, 0.1465356000, 0.2344251000, 0.4609907000, 1.1395664000", \
+                        "0.0951928000, 0.1038334000, 0.1274654000, 0.1867923000, 0.3172921000, 0.5769888000, 1.2556032000", \
+                        "0.0751527000, 0.0874035000, 0.1220142000, 0.2096603000, 0.4040361000, 0.7831524000, 1.5182311000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0320231000, 0.0342172000, 0.0407722000, 0.0591831000, 0.1119233000, 0.2688587000, 0.7346339000", \
+                        "0.0372808000, 0.0395164000, 0.0460518000, 0.0646804000, 0.1177196000, 0.2744474000, 0.7397638000", \
+                        "0.0506795000, 0.0528485000, 0.0592148000, 0.0780178000, 0.1307937000, 0.2878198000, 0.7528559000", \
+                        "0.0778445000, 0.0810338000, 0.0894054000, 0.1095882000, 0.1629745000, 0.3209841000, 0.7890347000", \
+                        "0.1208821000, 0.1260411000, 0.1395053000, 0.1723104000, 0.2377375000, 0.3946107000, 0.8587291000", \
+                        "0.1908684000, 0.1989702000, 0.2205514000, 0.2729200000, 0.3786590000, 0.5678089000, 1.0323331000", \
+                        "0.3128083000, 0.3246755000, 0.3573060000, 0.4376828000, 0.6045421000, 0.9110664000, 1.4357773000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0465908000, 0.0506948000, 0.0627696000, 0.0980039000, 0.2022073000, 0.5150299000, 1.4446551000", \
+                        "0.0459403000, 0.0501147000, 0.0622082000, 0.0978410000, 0.2030880000, 0.5152956000, 1.4435187000", \
+                        "0.0456785000, 0.0494798000, 0.0610608000, 0.0971547000, 0.2022802000, 0.5142787000, 1.4446755000", \
+                        "0.0568068000, 0.0608516000, 0.0705341000, 0.1013083000, 0.2014810000, 0.5143643000, 1.4456937000", \
+                        "0.0779018000, 0.0823986000, 0.0953350000, 0.1304455000, 0.2177257000, 0.5145317000, 1.4513617000", \
+                        "0.1224332000, 0.1290262000, 0.1454763000, 0.1893772000, 0.2904866000, 0.5497775000, 1.4490301000", \
+                        "0.1992094000, 0.2078732000, 0.2329899000, 0.2954795000, 0.4333107000, 0.7241588000, 1.4971004000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0269890000, 0.0298184000, 0.0379982000, 0.0627903000, 0.1366193000, 0.3594950000, 1.0246688000", \
+                        "0.0270209000, 0.0297435000, 0.0380144000, 0.0626669000, 0.1371527000, 0.3604161000, 1.0210832000", \
+                        "0.0285000000, 0.0308154000, 0.0382813000, 0.0627584000, 0.1367493000, 0.3578145000, 1.0233289000", \
+                        "0.0430854000, 0.0450419000, 0.0501162000, 0.0683148000, 0.1371154000, 0.3615489000, 1.0326445000", \
+                        "0.0712801000, 0.0744411000, 0.0825470000, 0.1025241000, 0.1540045000, 0.3595024000, 1.0200362000", \
+                        "0.1191396000, 0.1240185000, 0.1372889000, 0.1691132000, 0.2346934000, 0.3939952000, 1.0271434000", \
+                        "0.1972724000, 0.2056550000, 0.2273586000, 0.2791839000, 0.3862603000, 0.5751788000, 1.0792415000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0564232000, 0.0592972000, 0.0679257000, 0.0936411000, 0.1695033000, 0.3950422000, 1.0733350000", \
+                        "0.0592226000, 0.0626147000, 0.0714253000, 0.0975395000, 0.1736428000, 0.3996748000, 1.0733352000", \
+                        "0.0667824000, 0.0698247000, 0.0789806000, 0.1053322000, 0.1832331000, 0.4086647000, 1.0828047000", \
+                        "0.0820233000, 0.0856965000, 0.0961493000, 0.1236358000, 0.2014552000, 0.4279437000, 1.1095331000", \
+                        "0.1040514000, 0.1089479000, 0.1230334000, 0.1589021000, 0.2456726000, 0.4741236000, 1.1590279000", \
+                        "0.1180670000, 0.1260621000, 0.1478439000, 0.2029441000, 0.3225964000, 0.5792105000, 1.2568759000", \
+                        "0.0943839000, 0.1068383000, 0.1412613000, 0.2268518000, 0.4119000000, 0.7686818000, 1.5029664000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0494571000, 0.0518314000, 0.0586071000, 0.0788354000, 0.1377354000, 0.3117282000, 0.8302024000", \
+                        "0.0545690000, 0.0571105000, 0.0640539000, 0.0845347000, 0.1435140000, 0.3176506000, 0.8364252000", \
+                        "0.0676315000, 0.0700706000, 0.0769611000, 0.0976507000, 0.1572765000, 0.3315875000, 0.8500119000", \
+                        "0.0994617000, 0.1021278000, 0.1090072000, 0.1290798000, 0.1892663000, 0.3622175000, 0.8810899000", \
+                        "0.1574275000, 0.1615592000, 0.1726635000, 0.2008218000, 0.2643418000, 0.4382104000, 0.9590705000", \
+                        "0.2526361000, 0.2590287000, 0.2769087000, 0.3226133000, 0.4221757000, 0.6146514000, 1.1324321000", \
+                        "0.4146563000, 0.4234545000, 0.4515470000, 0.5239902000, 0.6816458000, 0.9840294000, 1.5378615000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0475955000, 0.0516306000, 0.0632936000, 0.0980462000, 0.2022046000, 0.5136244000, 1.4484471000", \
+                        "0.0474994000, 0.0514681000, 0.0632948000, 0.0982687000, 0.2024012000, 0.5151591000, 1.4444035000", \
+                        "0.0479012000, 0.0515960000, 0.0631903000, 0.0981167000, 0.2031312000, 0.5145391000, 1.4455008000", \
+                        "0.0551396000, 0.0584668000, 0.0686356000, 0.1008030000, 0.2027597000, 0.5140930000, 1.4492454000", \
+                        "0.0753073000, 0.0792231000, 0.0906304000, 0.1223639000, 0.2134811000, 0.5137601000, 1.4541939000", \
+                        "0.1193523000, 0.1244552000, 0.1384168000, 0.1754402000, 0.2689590000, 0.5384117000, 1.4453108000", \
+                        "0.2014291000, 0.2082447000, 0.2274552000, 0.2781693000, 0.3969077000, 0.6706690000, 1.4817925000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0405677000, 0.0436433000, 0.0528979000, 0.0803751000, 0.1630906000, 0.4097949000, 1.1458070000", \
+                        "0.0405957000, 0.0436456000, 0.0529711000, 0.0803546000, 0.1627089000, 0.4085547000, 1.1455072000", \
+                        "0.0405017000, 0.0436525000, 0.0528305000, 0.0804912000, 0.1626279000, 0.4088739000, 1.1471558000", \
+                        "0.0482658000, 0.0507814000, 0.0580445000, 0.0822674000, 0.1627850000, 0.4090896000, 1.1473397000", \
+                        "0.0777250000, 0.0806908000, 0.0891086000, 0.1091726000, 0.1739770000, 0.4085788000, 1.1492137000", \
+                        "0.1305172000, 0.1351136000, 0.1476630000, 0.1791698000, 0.2468423000, 0.4343444000, 1.1456480000", \
+                        "0.2149340000, 0.2227109000, 0.2452325000, 0.2961059000, 0.4021536000, 0.5994781000, 1.1820025000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0652890000, 0.0681994000, 0.0772449000, 0.1028491000, 0.1783361000, 0.4080239000, 1.0798369000", \
+                        "0.0686755000, 0.0717234000, 0.0804349000, 0.1063814000, 0.1827352000, 0.4087265000, 1.0826818000", \
+                        "0.0752996000, 0.0784901000, 0.0873877000, 0.1140676000, 0.1903404000, 0.4162143000, 1.0993739000", \
+                        "0.0879464000, 0.0913843000, 0.1012223000, 0.1283717000, 0.2055718000, 0.4318974000, 1.1080041000", \
+                        "0.1065578000, 0.1113923000, 0.1230420000, 0.1549371000, 0.2378476000, 0.4671782000, 1.1407296000", \
+                        "0.1208487000, 0.1281018000, 0.1453682000, 0.1913714000, 0.2955071000, 0.5427521000, 1.2253755000", \
+                        "0.0949631000, 0.1053537000, 0.1352848000, 0.2077879000, 0.3666922000, 0.6823452000, 1.3972768000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0588805000, 0.0613960000, 0.0687566000, 0.0893115000, 0.1496031000, 0.3258106000, 0.8489254000", \
+                        "0.0644227000, 0.0668696000, 0.0740525000, 0.0948002000, 0.1552635000, 0.3314635000, 0.8554013000", \
+                        "0.0773820000, 0.0799932000, 0.0873357000, 0.1083606000, 0.1688762000, 0.3453456000, 0.8694455000", \
+                        "0.1093876000, 0.1119298000, 0.1189918000, 0.1402438000, 0.1994954000, 0.3761177000, 0.8997130000", \
+                        "0.1746809000, 0.1776163000, 0.1881863000, 0.2137537000, 0.2760516000, 0.4523831000, 0.9754229000", \
+                        "0.2830987000, 0.2887965000, 0.3047029000, 0.3454793000, 0.4382564000, 0.6284473000, 1.1483676000", \
+                        "0.4641965000, 0.4722497000, 0.4968665000, 0.5613176000, 0.7101667000, 1.0020798000, 1.5569466000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0477103000, 0.0516366000, 0.0631245000, 0.0981748000, 0.2022045000, 0.5158033000, 1.4480550000", \
+                        "0.0476622000, 0.0515453000, 0.0632446000, 0.0979800000, 0.2031891000, 0.5146217000, 1.4448752000", \
+                        "0.0478032000, 0.0516728000, 0.0634169000, 0.0983092000, 0.2022283000, 0.5135656000, 1.4494973000", \
+                        "0.0526658000, 0.0562183000, 0.0668323000, 0.1000082000, 0.2025289000, 0.5136342000, 1.4467236000", \
+                        "0.0674148000, 0.0712040000, 0.0821461000, 0.1143053000, 0.2097198000, 0.5153352000, 1.4454734000", \
+                        "0.1060815000, 0.1100126000, 0.1222642000, 0.1560352000, 0.2486376000, 0.5296001000, 1.4492019000", \
+                        "0.1849770000, 0.1908465000, 0.2059091000, 0.2488781000, 0.3535762000, 0.6260367000, 1.4754215000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0516851000, 0.0546727000, 0.0641072000, 0.0922306000, 0.1756981000, 0.4267575000, 1.1786517000", \
+                        "0.0515366000, 0.0547869000, 0.0640353000, 0.0921322000, 0.1762265000, 0.4278311000, 1.1795757000", \
+                        "0.0512628000, 0.0544465000, 0.0639008000, 0.0921495000, 0.1763074000, 0.4267857000, 1.1779488000", \
+                        "0.0555242000, 0.0582411000, 0.0665978000, 0.0926970000, 0.1761127000, 0.4277127000, 1.1796075000", \
+                        "0.0836743000, 0.0873643000, 0.0944414000, 0.1154349000, 0.1848166000, 0.4278768000, 1.1826061000", \
+                        "0.1375031000, 0.1420333000, 0.1541808000, 0.1858552000, 0.2527233000, 0.4503838000, 1.1789381000", \
+                        "0.2273271000, 0.2348182000, 0.2553369000, 0.3042236000, 0.4090115000, 0.6099796000, 1.2176564000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0699904000, 0.0729105000, 0.0814610000, 0.1075825000, 0.1829296000, 0.4083222000, 1.0889757000", \
+                        "0.0732969000, 0.0763512000, 0.0850481000, 0.1115129000, 0.1871218000, 0.4127720000, 1.0866029000", \
+                        "0.0796682000, 0.0829070000, 0.0918910000, 0.1180824000, 0.1958487000, 0.4231515000, 1.0952482000", \
+                        "0.0917260000, 0.0949946000, 0.1042593000, 0.1308856000, 0.2084355000, 0.4343566000, 1.1107135000", \
+                        "0.1081683000, 0.1118881000, 0.1225397000, 0.1518666000, 0.2327760000, 0.4614814000, 1.1380267000", \
+                        "0.1214042000, 0.1266018000, 0.1411784000, 0.1795803000, 0.2739188000, 0.5150339000, 1.1938822000", \
+                        "0.0978673000, 0.1066714000, 0.1304459000, 0.1904859000, 0.3246467000, 0.6125376000, 1.3180546000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0591857000, 0.0615771000, 0.0685171000, 0.0884810000, 0.1445956000, 0.3061654000, 0.7826487000", \
+                        "0.0643185000, 0.0667922000, 0.0738800000, 0.0937000000, 0.1499404000, 0.3123845000, 0.7888840000", \
+                        "0.0774459000, 0.0798603000, 0.0868999000, 0.1068108000, 0.1631279000, 0.3248235000, 0.8009272000", \
+                        "0.1100781000, 0.1123780000, 0.1191995000, 0.1391314000, 0.1954645000, 0.3574258000, 0.8358731000", \
+                        "0.1766741000, 0.1800810000, 0.1891874000, 0.2130239000, 0.2698201000, 0.4312131000, 0.9091851000", \
+                        "0.2865642000, 0.2915498000, 0.3058855000, 0.3417643000, 0.4289881000, 0.6055874000, 1.0792164000", \
+                        "0.4686883000, 0.4762776000, 0.4977991000, 0.5552884000, 0.6910016000, 0.9666764000, 1.4822619000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0476257000, 0.0514888000, 0.0633135000, 0.0983604000, 0.2020957000, 0.5132398000, 1.4489897000", \
+                        "0.0476120000, 0.0515083000, 0.0633064000, 0.0983355000, 0.2025261000, 0.5137091000, 1.4435493000", \
+                        "0.0477677000, 0.0515824000, 0.0633988000, 0.0982325000, 0.2032239000, 0.5153836000, 1.4453997000", \
+                        "0.0505571000, 0.0541632000, 0.0651085000, 0.0990137000, 0.2029405000, 0.5136631000, 1.4472985000", \
+                        "0.0602490000, 0.0640152000, 0.0751794000, 0.1086941000, 0.2073316000, 0.5147535000, 1.4461722000", \
+                        "0.0893699000, 0.0930717000, 0.1039494000, 0.1364164000, 0.2344835000, 0.5271774000, 1.4469789000", \
+                        "0.1594051000, 0.1639729000, 0.1770822000, 0.2134494000, 0.3110788000, 0.5946446000, 1.4693026000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
+                    values("0.0581279000, 0.0611742000, 0.0697912000, 0.0958916000, 0.1733021000, 0.4052824000, 1.1035320000", \
+                        "0.0579230000, 0.0608157000, 0.0698022000, 0.0957365000, 0.1733129000, 0.4059695000, 1.1025553000", \
+                        "0.0569226000, 0.0600051000, 0.0691459000, 0.0955081000, 0.1730569000, 0.4052540000, 1.1019998000", \
+                        "0.0601238000, 0.0628157000, 0.0709048000, 0.0956330000, 0.1728382000, 0.4058907000, 1.1046422000", \
+                        "0.0880659000, 0.0908521000, 0.0986434000, 0.1185795000, 0.1821149000, 0.4053787000, 1.1041379000", \
+                        "0.1409855000, 0.1450918000, 0.1565868000, 0.1855604000, 0.2515229000, 0.4331570000, 1.1024696000", \
+                        "0.2302440000, 0.2369736000, 0.2556582000, 0.3021644000, 0.4020892000, 0.5989952000, 1.1520200000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nand4b_1 */
+
+/* removed sky130_fd_sc_hd__nand4b_2 */
+
+/* removed sky130_fd_sc_hd__nand4b_4 */
+
+/* removed sky130_fd_sc_hd__nand4bb_1 */
+
+/* removed sky130_fd_sc_hd__nand4bb_2 */
+
+/* removed sky130_fd_sc_hd__nand4bb_4 */
+
+/* removed sky130_fd_sc_hd__nor2_1 */
+
+    cell ("sky130_fd_sc_hd__nor2_2") {
+        leakage_power () {
+            value : 0.0036845000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0015349000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0002441000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0022266000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__nor2";
+        cell_leakage_power : 0.0019225140;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0044010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0083596000, 0.0083601000, 0.0083614000, 0.0083582000, 0.0083510000, 0.0083343000, 0.0082960000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007230700, -0.007335600, -0.007577500, -0.007590200, -0.007619600, -0.007687400, -0.007843500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046110000;
+        }
+        pin ("B") {
+            capacitance : 0.0044380000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041360000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0042515000, 0.0042461000, 0.0042335000, 0.0042552000, 0.0043054000, 0.0044212000, 0.0046879000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003435100, -0.003434600, -0.003433400, -0.003431600, -0.003427500, -0.003417900, -0.003395900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047400000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000");
+                    values("0.0073745000, 0.0061358000, 0.0029227000, -0.005283900, -0.026426800, -0.080952600, -0.220968900", \
+                        "0.0071484000, 0.0059227000, 0.0027561000, -0.005426000, -0.026525600, -0.080995400, -0.221066300", \
+                        "0.0069652000, 0.0057606000, 0.0026709000, -0.005482000, -0.026516900, -0.080944700, -0.220989400", \
+                        "0.0066225000, 0.0054307000, 0.0022739000, -0.005784300, -0.026727600, -0.081110600, -0.221070700", \
+                        "0.0068860000, 0.0056776000, 0.0025148000, -0.005681200, -0.026949600, -0.081233700, -0.221170300", \
+                        "0.0081474000, 0.0068761000, 0.0036039000, -0.004755800, -0.026103200, -0.081095500, -0.221037300", \
+                        "0.0113450000, 0.0099364000, 0.0065013000, -0.002350900, -0.024201900, -0.079607500, -0.220424300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000");
+                    values("0.0128950000, 0.0142341000, 0.0176613000, 0.0260292000, 0.0472620000, 0.1013471000, 0.2397798000", \
+                        "0.0125529000, 0.0138972000, 0.0172895000, 0.0257286000, 0.0471062000, 0.1014395000, 0.2397332000", \
+                        "0.0123128000, 0.0136308000, 0.0169836000, 0.0253620000, 0.0470654000, 0.1010472000, 0.2405892000", \
+                        "0.0121624000, 0.0134507000, 0.0167624000, 0.0251620000, 0.0466846000, 0.1011173000, 0.2394514000", \
+                        "0.0120071000, 0.0133236000, 0.0165791000, 0.0248679000, 0.0461908000, 0.1003002000, 0.2390999000", \
+                        "0.0120678000, 0.0133443000, 0.0165953000, 0.0249152000, 0.0460655000, 0.1006008000, 0.2386959000", \
+                        "0.0131879000, 0.0142743000, 0.0173908000, 0.0252415000, 0.0465944000, 0.1012898000, 0.2402129000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000");
+                    values("-0.000488800, -0.001610300, -0.004610500, -0.012699500, -0.033897600, -0.088527100, -0.228713000", \
+                        "-0.000860600, -0.001954500, -0.004867700, -0.012771300, -0.033849200, -0.088390900, -0.228544400", \
+                        "-0.001308000, -0.002404100, -0.005255500, -0.013066500, -0.033966500, -0.088371900, -0.228471900", \
+                        "-0.001682500, -0.002856900, -0.005747100, -0.013543600, -0.034294800, -0.088527400, -0.228496400", \
+                        "-0.001181600, -0.002629000, -0.005764800, -0.013812100, -0.034742900, -0.088823800, -0.228644100", \
+                        "4.680000e-05, -0.001317200, -0.004744900, -0.013213300, -0.034350400, -0.088936900, -0.228750400", \
+                        "0.0039358000, 0.0023853000, -0.001389300, -0.010687400, -0.032925900, -0.087914100, -0.228633000");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000");
+                    values("0.0120659000, 0.0135219000, 0.0170708000, 0.0256150000, 0.0471589000, 0.1013624000, 0.2405368000", \
+                        "0.0116343000, 0.0130064000, 0.0164398000, 0.0251373000, 0.0466608000, 0.1009385000, 0.2394738000", \
+                        "0.0114624000, 0.0127780000, 0.0161374000, 0.0245983000, 0.0461837000, 0.1014518000, 0.2399905000", \
+                        "0.0113236000, 0.0126046000, 0.0159888000, 0.0243982000, 0.0455761000, 0.1003875000, 0.2398083000", \
+                        "0.0119148000, 0.0131421000, 0.0162965000, 0.0243881000, 0.0456010000, 0.0996798000, 0.2386542000", \
+                        "0.0131292000, 0.0142935000, 0.0174108000, 0.0254979000, 0.0460363000, 0.0994893000, 0.2381229000", \
+                        "0.0159512000, 0.0169725000, 0.0197795000, 0.0275421000, 0.0484078000, 0.1013567000, 0.2383341000");
+                }
+            }
+            max_capacitance : 0.1419170000;
+            max_transition : 1.4983880000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0169765000, 0.0186137000, 0.0225047000, 0.0317480000, 0.0538005000, 0.1082873000, 0.2463683000", \
+                        "0.0218970000, 0.0234217000, 0.0272035000, 0.0363347000, 0.0583460000, 0.1129020000, 0.2511329000", \
+                        "0.0315818000, 0.0336417000, 0.0382211000, 0.0476932000, 0.0692584000, 0.1237162000, 0.2619326000", \
+                        "0.0436403000, 0.0466044000, 0.0537263000, 0.0682395000, 0.0954174000, 0.1499542000, 0.2880661000", \
+                        "0.0557866000, 0.0604313000, 0.0712855000, 0.0937865000, 0.1360242000, 0.2087881000, 0.3476518000", \
+                        "0.0592939000, 0.0665427000, 0.0832647000, 0.1176225000, 0.1842997000, 0.2985803000, 0.4848358000", \
+                        "0.0289450000, 0.0404634000, 0.0666192000, 0.1215940000, 0.2253026000, 0.4052740000, 0.6964460000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0598512000, 0.0661608000, 0.0818005000, 0.1204893000, 0.2178144000, 0.4658339000, 1.1001068000", \
+                        "0.0645408000, 0.0707845000, 0.0864186000, 0.1252582000, 0.2230472000, 0.4756194000, 1.1065003000", \
+                        "0.0774803000, 0.0835427000, 0.0989149000, 0.1377132000, 0.2369799000, 0.4852300000, 1.1255878000", \
+                        "0.1046485000, 0.1111289000, 0.1266699000, 0.1651232000, 0.2640097000, 0.5126880000, 1.1476432000", \
+                        "0.1485323000, 0.1574588000, 0.1780745000, 0.2236462000, 0.3228659000, 0.5733475000, 1.2074964000", \
+                        "0.2165370000, 0.2308327000, 0.2627495000, 0.3270954000, 0.4536868000, 0.7105454000, 1.3508183000", \
+                        "0.3247446000, 0.3481303000, 0.3973368000, 0.4979204000, 0.6811013000, 1.0181618000, 1.6702481000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0121913000, 0.0139872000, 0.0184149000, 0.0295312000, 0.0577435000, 0.1302407000, 0.3149928000", \
+                        "0.0122711000, 0.0139194000, 0.0181640000, 0.0292849000, 0.0576226000, 0.1303335000, 0.3149339000", \
+                        "0.0174991000, 0.0189791000, 0.0224710000, 0.0313296000, 0.0576492000, 0.1302361000, 0.3154841000", \
+                        "0.0278304000, 0.0301365000, 0.0351356000, 0.0458227000, 0.0667332000, 0.1312678000, 0.3150509000", \
+                        "0.0462946000, 0.0497118000, 0.0579389000, 0.0734008000, 0.1022856000, 0.1564527000, 0.3181727000", \
+                        "0.0787279000, 0.0845512000, 0.0973526000, 0.1221569000, 0.1662236000, 0.2417725000, 0.3770034000", \
+                        "0.1377398000, 0.1473311000, 0.1670777000, 0.2068850000, 0.2752654000, 0.3887180000, 0.5769948000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0387164000, 0.0465397000, 0.0671545000, 0.1191083000, 0.2519610000, 0.5924332000, 1.4660573000", \
+                        "0.0387927000, 0.0467894000, 0.0671140000, 0.1193467000, 0.2531905000, 0.5963443000, 1.4642677000", \
+                        "0.0388228000, 0.0468128000, 0.0671166000, 0.1193101000, 0.2541284000, 0.5942123000, 1.4723758000", \
+                        "0.0428648000, 0.0500679000, 0.0690108000, 0.1196403000, 0.2542018000, 0.5942771000, 1.4705871000", \
+                        "0.0609025000, 0.0684849000, 0.0876629000, 0.1321587000, 0.2555057000, 0.5936081000, 1.4707048000", \
+                        "0.0995867000, 0.1085114000, 0.1304543000, 0.1799390000, 0.2948676000, 0.6014265000, 1.4671513000", \
+                        "0.1783026000, 0.1896907000, 0.2178831000, 0.2801153000, 0.4119040000, 0.7022209000, 1.4890928000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0141760000, 0.0156199000, 0.0191598000, 0.0278052000, 0.0492845000, 0.1040127000, 0.2438898000", \
+                        "0.0186334000, 0.0202421000, 0.0238568000, 0.0324836000, 0.0541217000, 0.1089733000, 0.2497110000", \
+                        "0.0246079000, 0.0272212000, 0.0327980000, 0.0435904000, 0.0654035000, 0.1199132000, 0.2625150000", \
+                        "0.0304144000, 0.0345370000, 0.0434582000, 0.0606458000, 0.0907239000, 0.1459215000, 0.2876185000", \
+                        "0.0320202000, 0.0386238000, 0.0528719000, 0.0800952000, 0.1274019000, 0.2048295000, 0.3456997000", \
+                        "0.0190370000, 0.0289388000, 0.0516526000, 0.0945865000, 0.1686562000, 0.2903307000, 0.4836087000", \
+                        "-0.037415200, -0.022069200, 0.0132257000, 0.0813341000, 0.1994799000, 0.3909838000, 0.6922216000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0395814000, 0.0463244000, 0.0626076000, 0.1021031000, 0.2018021000, 0.4509545000, 1.0874180000", \
+                        "0.0428289000, 0.0490655000, 0.0650582000, 0.1051309000, 0.2032878000, 0.4522231000, 1.0868446000", \
+                        "0.0543258000, 0.0604069000, 0.0758686000, 0.1145287000, 0.2132721000, 0.4656956000, 1.1023106000", \
+                        "0.0798983000, 0.0876051000, 0.1039779000, 0.1416236000, 0.2412836000, 0.4923889000, 1.1289197000", \
+                        "0.1193988000, 0.1314784000, 0.1568444000, 0.2073465000, 0.3057597000, 0.5536542000, 1.1896503000", \
+                        "0.1842142000, 0.2015711000, 0.2396233000, 0.3170890000, 0.4540920000, 0.7055990000, 1.3411834000", \
+                        "0.3025458000, 0.3261292000, 0.3795286000, 0.4912014000, 0.6986479000, 1.0530292000, 1.6922767000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0070459000, 0.0087223000, 0.0131490000, 0.0243219000, 0.0531277000, 0.1275299000, 0.3138667000", \
+                        "0.0082968000, 0.0096261000, 0.0134577000, 0.0243722000, 0.0530534000, 0.1277071000, 0.3147392000", \
+                        "0.0137204000, 0.0154245000, 0.0192885000, 0.0275155000, 0.0535135000, 0.1268677000, 0.3165656000", \
+                        "0.0235946000, 0.0260140000, 0.0315956000, 0.0427767000, 0.0643198000, 0.1286790000, 0.3161398000", \
+                        "0.0416952000, 0.0453546000, 0.0544462000, 0.0705738000, 0.1002252000, 0.1543953000, 0.3188899000", \
+                        "0.0737656000, 0.0801945000, 0.0942736000, 0.1194076000, 0.1649284000, 0.2402973000, 0.3775331000", \
+                        "0.1354639000, 0.1452008000, 0.1697280000, 0.2075639000, 0.2767224000, 0.3894367000, 0.5774533000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000");
+                    values("0.0383727000, 0.0464427000, 0.0670202000, 0.1193254000, 0.2544325000, 0.5955795000, 1.4724479000", \
+                        "0.0381824000, 0.0460213000, 0.0669146000, 0.1192609000, 0.2525813000, 0.5925727000, 1.4656973000", \
+                        "0.0381865000, 0.0458870000, 0.0664339000, 0.1192298000, 0.2523023000, 0.5972254000, 1.4709203000", \
+                        "0.0518557000, 0.0576092000, 0.0733776000, 0.1196992000, 0.2540167000, 0.5957025000, 1.4724416000", \
+                        "0.0736324000, 0.0839464000, 0.1035009000, 0.1448334000, 0.2575590000, 0.5951247000, 1.4645798000", \
+                        "0.1118042000, 0.1259139000, 0.1547507000, 0.2130005000, 0.3210224000, 0.6068745000, 1.4703324000", \
+                        "0.1760784000, 0.1950611000, 0.2384363000, 0.3231547000, 0.4775236000, 0.7496009000, 1.4983882000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor2_4") {
+        leakage_power () {
+            value : 0.0040121000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0038425000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0004326000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0025266000;
+            when : "A&!B";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__nor2";
+        cell_leakage_power : 0.0027034450;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0087330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083040000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0166262000, 0.0166196000, 0.0166045000, 0.0166015000, 0.0165948000, 0.0165793000, 0.0165435000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.014203000, -0.014451100, -0.015023100, -0.015052500, -0.015120400, -0.015276800, -0.015637300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091620000;
+        }
+        pin ("B") {
+            capacitance : 0.0086870000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080850000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081436000, 0.0081226000, 0.0080741000, 0.0081109000, 0.0081958000, 0.0083914000, 0.0088424000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006803300, -0.006799600, -0.006791000, -0.006789500, -0.006786200, -0.006778400, -0.006760500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092890000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0145888000, 0.0131288000, 0.0090218000, -0.002561700, -0.035331800, -0.128296000, -0.391244100", \
+                        "0.0142153000, 0.0127769000, 0.0086766000, -0.002845900, -0.035513400, -0.128443600, -0.391371600", \
+                        "0.0138944000, 0.0125050000, 0.0084683000, -0.002870700, -0.035438400, -0.128239700, -0.391195500", \
+                        "0.0130502000, 0.0116242000, 0.0076562000, -0.003648700, -0.035904100, -0.128573600, -0.391304800", \
+                        "0.0136694000, 0.0122110000, 0.0081994000, -0.003201600, -0.036291200, -0.128826400, -0.391466700", \
+                        "0.0160415000, 0.0146191000, 0.0104409000, -0.002234800, -0.035401700, -0.128272600, -0.391073900", \
+                        "0.0215214000, 0.0200398000, 0.0154086000, 0.0030774000, -0.031095100, -0.125725300, -0.390139800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0249433000, 0.0265426000, 0.0309809000, 0.0430860000, 0.0763783000, 0.1683058000, 0.4283017000", \
+                        "0.0242285000, 0.0258172000, 0.0302205000, 0.0424180000, 0.0757326000, 0.1680930000, 0.4281469000", \
+                        "0.0237443000, 0.0252968000, 0.0295957000, 0.0415580000, 0.0753023000, 0.1681136000, 0.4278681000", \
+                        "0.0234331000, 0.0249690000, 0.0291991000, 0.0410534000, 0.0741796000, 0.1671085000, 0.4310648000", \
+                        "0.0231148000, 0.0246845000, 0.0288640000, 0.0405805000, 0.0736300000, 0.1667428000, 0.4301930000", \
+                        "0.0232302000, 0.0247032000, 0.0288746000, 0.0406072000, 0.0737207000, 0.1665469000, 0.4262356000", \
+                        "0.0249650000, 0.0262105000, 0.0300107000, 0.0411471000, 0.0743474000, 0.1672062000, 0.4281072000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("-5.18000e-05, -0.001346100, -0.005112600, -0.016321000, -0.049065600, -0.142248100, -0.405451700", \
+                        "-0.000782300, -0.002019900, -0.005667100, -0.016589700, -0.049012800, -0.142011800, -0.405117700", \
+                        "-0.001647300, -0.002906000, -0.006470400, -0.017263100, -0.049292900, -0.142004900, -0.404946800", \
+                        "-0.002350500, -0.003672800, -0.007446400, -0.018167700, -0.050101100, -0.142319400, -0.404969500", \
+                        "-0.001778100, -0.003062700, -0.007073500, -0.018577100, -0.050980400, -0.142884000, -0.405222200", \
+                        "0.0003238000, -0.001299400, -0.005673300, -0.017701800, -0.050703300, -0.143048500, -0.405359200", \
+                        "0.0081546000, 0.0065841000, 0.0007174000, -0.012229700, -0.047334100, -0.141374600, -0.405403900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
+                    values("0.0234499000, 0.0252083000, 0.0298260000, 0.0424246000, 0.0757155000, 0.1681166000, 0.4281616000", \
+                        "0.0225901000, 0.0242770000, 0.0287232000, 0.0410645000, 0.0751239000, 0.1682812000, 0.4278748000", \
+                        "0.0220176000, 0.0236259000, 0.0279958000, 0.0403448000, 0.0738392000, 0.1684640000, 0.4277499000", \
+                        "0.0224831000, 0.0239328000, 0.0280787000, 0.0397846000, 0.0728287000, 0.1662264000, 0.4279252000", \
+                        "0.0234005000, 0.0248091000, 0.0286947000, 0.0400777000, 0.0728604000, 0.1654970000, 0.4262714000", \
+                        "0.0253165000, 0.0268912000, 0.0307984000, 0.0422785000, 0.0742481000, 0.1664044000, 0.4285354000", \
+                        "0.0315872000, 0.0323786000, 0.0359486000, 0.0468016000, 0.0779553000, 0.1683928000, 0.4261670000");
+                }
+            }
+            max_capacitance : 0.2517800000;
+            max_transition : 1.4964490000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0169715000, 0.0179898000, 0.0207708000, 0.0278877000, 0.0464088000, 0.0962407000, 0.2342976000", \
+                        "0.0218200000, 0.0227798000, 0.0254185000, 0.0324638000, 0.0509264000, 0.1007439000, 0.2387181000", \
+                        "0.0311648000, 0.0324597000, 0.0357109000, 0.0435209000, 0.0617677000, 0.1114110000, 0.2496350000", \
+                        "0.0424904000, 0.0444516000, 0.0494231000, 0.0614109000, 0.0862029000, 0.1371073000, 0.2749299000", \
+                        "0.0523674000, 0.0554190000, 0.0631869000, 0.0816469000, 0.1203738000, 0.1924431000, 0.3342928000", \
+                        "0.0511493000, 0.0557858000, 0.0678160000, 0.0966514000, 0.1572204000, 0.2690975000, 0.4647971000", \
+                        "0.0095339000, 0.0168776000, 0.0358525000, 0.0808120000, 0.1756667000, 0.3539680000, 0.6596342000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0622744000, 0.0664765000, 0.0779111000, 0.1094290000, 0.1954166000, 0.4332344000, 1.1041421000", \
+                        "0.0667856000, 0.0709660000, 0.0823530000, 0.1140250000, 0.2003005000, 0.4389736000, 1.1103100000", \
+                        "0.0798638000, 0.0838861000, 0.0952087000, 0.1260894000, 0.2131699000, 0.4561659000, 1.1249001000", \
+                        "0.1076138000, 0.1118374000, 0.1228784000, 0.1538689000, 0.2394934000, 0.4796278000, 1.1576793000", \
+                        "0.1527445000, 0.1587778000, 0.1737594000, 0.2112743000, 0.2997347000, 0.5399549000, 1.2174648000", \
+                        "0.2263442000, 0.2352630000, 0.2582115000, 0.3114440000, 0.4269287000, 0.6780203000, 1.3543581000", \
+                        "0.3456880000, 0.3598186000, 0.3963414000, 0.4799576000, 0.6491258000, 0.9774964000, 1.6728150000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0124766000, 0.0136194000, 0.0167281000, 0.0253355000, 0.0492240000, 0.1167727000, 0.3080123000", \
+                        "0.0125080000, 0.0135257000, 0.0164962000, 0.0249712000, 0.0490925000, 0.1167750000, 0.3080687000", \
+                        "0.0176730000, 0.0187179000, 0.0212990000, 0.0279611000, 0.0495384000, 0.1167363000, 0.3085307000", \
+                        "0.0277003000, 0.0291974000, 0.0329441000, 0.0415591000, 0.0609881000, 0.1191021000, 0.3082691000", \
+                        "0.0453959000, 0.0477238000, 0.0535742000, 0.0665357000, 0.0940910000, 0.1479985000, 0.3128849000", \
+                        "0.0771960000, 0.0809411000, 0.0904556000, 0.1114286000, 0.1515543000, 0.2297037000, 0.3762480000", \
+                        "0.1353600000, 0.1415310000, 0.1563482000, 0.1899870000, 0.2544940000, 0.3675451000, 0.5692316000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0402232000, 0.0454527000, 0.0599119000, 0.1017079000, 0.2190281000, 0.5447648000, 1.4649204000", \
+                        "0.0402643000, 0.0454902000, 0.0599241000, 0.1014302000, 0.2177543000, 0.5452596000, 1.4655323000", \
+                        "0.0403483000, 0.0455417000, 0.0602361000, 0.1015483000, 0.2189719000, 0.5471781000, 1.4664657000", \
+                        "0.0438942000, 0.0485808000, 0.0622658000, 0.1016263000, 0.2180911000, 0.5448665000, 1.4783624000", \
+                        "0.0609245000, 0.0662622000, 0.0800483000, 0.1158114000, 0.2220661000, 0.5454546000, 1.4779042000", \
+                        "0.0980405000, 0.1042219000, 0.1197569000, 0.1601521000, 0.2630935000, 0.5558799000, 1.4675843000", \
+                        "0.1757244000, 0.1831104000, 0.2033222000, 0.2535891000, 0.3716539000, 0.6541388000, 1.4863820000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0138624000, 0.0147903000, 0.0172767000, 0.0237678000, 0.0414504000, 0.0905806000, 0.2287096000", \
+                        "0.0182299000, 0.0193012000, 0.0218561000, 0.0283958000, 0.0462271000, 0.0953179000, 0.2356907000", \
+                        "0.0237826000, 0.0254619000, 0.0296104000, 0.0387528000, 0.0571306000, 0.1064740000, 0.2446131000", \
+                        "0.0285800000, 0.0312624000, 0.0379018000, 0.0522514000, 0.0802141000, 0.1320774000, 0.2707602000", \
+                        "0.0280358000, 0.0322023000, 0.0425710000, 0.0657560000, 0.1098734000, 0.1865268000, 0.3294210000", \
+                        "0.0095458000, 0.0162749000, 0.0325911000, 0.0691303000, 0.1390075000, 0.2584541000, 0.4607315000", \
+                        "-0.059366700, -0.048490700, -0.023510800, 0.0340899000, 0.1441704000, 0.3356903000, 0.6527048000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0419300000, 0.0465356000, 0.0584431000, 0.0910134000, 0.1781699000, 0.4159947000, 1.0871927000", \
+                        "0.0450207000, 0.0493287000, 0.0609082000, 0.0928722000, 0.1826169000, 0.4218549000, 1.0933071000", \
+                        "0.0562287000, 0.0602519000, 0.0715604000, 0.1031770000, 0.1898992000, 0.4331795000, 1.1038219000", \
+                        "0.0833635000, 0.0884081000, 0.1007163000, 0.1308756000, 0.2159345000, 0.4562961000, 1.1357739000", \
+                        "0.1253656000, 0.1329178000, 0.1526407000, 0.1948177000, 0.2835507000, 0.5216512000, 1.1936074000", \
+                        "0.1955487000, 0.2069636000, 0.2353216000, 0.3007529000, 0.4295797000, 0.6782531000, 1.3499469000", \
+                        "0.3272712000, 0.3421144000, 0.3818280000, 0.4756208000, 0.6683371000, 1.0252291000, 1.7070267000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0068857000, 0.0079172000, 0.0108661000, 0.0193111000, 0.0428918000, 0.1091994000, 0.2961055000", \
+                        "0.0081798000, 0.0089718000, 0.0114750000, 0.0193212000, 0.0429388000, 0.1092325000, 0.2976890000", \
+                        "0.0134535000, 0.0145305000, 0.0174647000, 0.0238283000, 0.0439932000, 0.1091723000, 0.2962383000", \
+                        "0.0230443000, 0.0246661000, 0.0286775000, 0.0381474000, 0.0569112000, 0.1120622000, 0.2984915000", \
+                        "0.0408158000, 0.0432319000, 0.0497885000, 0.0638828000, 0.0916016000, 0.1426618000, 0.3020172000", \
+                        "0.0731927000, 0.0775736000, 0.0871061000, 0.1086018000, 0.1501374000, 0.2266512000, 0.3695773000", \
+                        "0.1334633000, 0.1400780000, 0.1583683000, 0.1922647000, 0.2549426000, 0.3658019000, 0.5634401000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
+                    values("0.0398289000, 0.0452207000, 0.0597080000, 0.1014653000, 0.2181662000, 0.5454607000, 1.4650987000", \
+                        "0.0395948000, 0.0450032000, 0.0598076000, 0.1013858000, 0.2193156000, 0.5467820000, 1.4662073000", \
+                        "0.0394401000, 0.0444469000, 0.0593656000, 0.1013186000, 0.2176547000, 0.5498381000, 1.4670208000", \
+                        "0.0524386000, 0.0563191000, 0.0668484000, 0.1029512000, 0.2178261000, 0.5451701000, 1.4725396000", \
+                        "0.0749895000, 0.0802406000, 0.0969379000, 0.1303689000, 0.2258270000, 0.5478105000, 1.4688503000", \
+                        "0.1122800000, 0.1208849000, 0.1430223000, 0.1934740000, 0.2917642000, 0.5620057000, 1.4755858000", \
+                        "0.1741214000, 0.1877213000, 0.2188592000, 0.2915491000, 0.4369753000, 0.7131835000, 1.4964487000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor2_8 */
+
+/* removed sky130_fd_sc_hd__nor2b_1 */
+
+/* removed sky130_fd_sc_hd__nor2b_2 */
+
+/* removed sky130_fd_sc_hd__nor2b_4 */
+
+/* removed sky130_fd_sc_hd__nor3_1 */
+
+    cell ("sky130_fd_sc_hd__nor3_2") {
+        leakage_power () {
+            value : 0.0079423000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0020798000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0002563000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0022883000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0002589000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0021588000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0001310000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0002484000;
+            when : "A&B&!C";
+        }
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__nor3";
+        cell_leakage_power : 0.0019204830;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041670000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0076233000, 0.0076199000, 0.0076121000, 0.0076081000, 0.0075988000, 0.0075775000, 0.0075282000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006782900, -0.006856700, -0.007026700, -0.007054100, -0.007117200, -0.007262600, -0.007597900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046010000;
+        }
+        pin ("B") {
+            capacitance : 0.0043790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040830000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0083130000, 0.0083128000, 0.0083122000, 0.0083120000, 0.0083116000, 0.0083107000, 0.0083086000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007173500, -0.007298000, -0.007585000, -0.007597200, -0.007625400, -0.007690300, -0.007839900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046750000;
+        }
+        pin ("C") {
+            capacitance : 0.0043460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040020000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0043182000, 0.0043109000, 0.0042942000, 0.0043144000, 0.0043609000, 0.0044683000, 0.0047158000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003498600, -0.003499900, -0.003503100, -0.003501800, -0.003498700, -0.003491700, -0.003475600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046900000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("0.0106688000, 0.0095273000, 0.0068632000, 0.0005111000, -0.014657600, -0.050738800, -0.137351000", \
+                        "0.0104799000, 0.0093597000, 0.0066955000, 0.0003271000, -0.014811100, -0.050876500, -0.137515500", \
+                        "0.0103154000, 0.0092127000, 0.0065453000, 0.0002241000, -0.014944900, -0.050997500, -0.137615000", \
+                        "0.0099610000, 0.0088683000, 0.0061823000, -9.83000e-05, -0.015146700, -0.051210800, -0.137798900", \
+                        "0.0101146000, 0.0089805000, 0.0062708000, -0.000122300, -0.015216800, -0.051224600, -0.137930100", \
+                        "0.0114859000, 0.0103664000, 0.0076071000, 0.0011966000, -0.014185600, -0.050896200, -0.137563700", \
+                        "0.0143078000, 0.0131627000, 0.0103313000, 0.0036246000, -0.011876900, -0.048846500, -0.136537800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("0.0215073000, 0.0226951000, 0.0254730000, 0.0320173000, 0.0471108000, 0.0834076000, 0.1690139000", \
+                        "0.0211745000, 0.0223188000, 0.0251103000, 0.0316979000, 0.0470893000, 0.0834720000, 0.1691784000", \
+                        "0.0209143000, 0.0220779000, 0.0247942000, 0.0313495000, 0.0466681000, 0.0829366000, 0.1688959000", \
+                        "0.0207303000, 0.0218373000, 0.0245715000, 0.0309781000, 0.0462775000, 0.0826002000, 0.1688579000", \
+                        "0.0205403000, 0.0216643000, 0.0243344000, 0.0307348000, 0.0460814000, 0.0821601000, 0.1683314000", \
+                        "0.0205354000, 0.0217303000, 0.0244301000, 0.0308109000, 0.0458751000, 0.0821075000, 0.1688380000", \
+                        "0.0203514000, 0.0213801000, 0.0240413000, 0.0306535000, 0.0462390000, 0.0821522000, 0.1682115000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("0.0075075000, 0.0063962000, 0.0037418000, -0.002557300, -0.017689900, -0.053936100, -0.140878400", \
+                        "0.0075224000, 0.0064195000, 0.0037903000, -0.002495700, -0.017594300, -0.053839500, -0.140726600", \
+                        "0.0075341000, 0.0064552000, 0.0038706000, -0.002370200, -0.017386200, -0.053599500, -0.140456000", \
+                        "0.0071591000, 0.0060651000, 0.0034939000, -0.002640000, -0.017573800, -0.053756600, -0.140528500", \
+                        "0.0073042000, 0.0062084000, 0.0035538000, -0.002644500, -0.017911400, -0.053876200, -0.140591000", \
+                        "0.0080502000, 0.0070128000, 0.0042220000, -0.002084200, -0.017226600, -0.053708900, -0.140563100", \
+                        "0.0108419000, 0.0096171000, 0.0075609000, 3.300000e-05, -0.015713400, -0.052457700, -0.139784600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("0.0162315000, 0.0174025000, 0.0202206000, 0.0266846000, 0.0419560000, 0.0780828000, 0.1640609000", \
+                        "0.0158405000, 0.0169965000, 0.0198283000, 0.0264305000, 0.0418735000, 0.0781526000, 0.1643689000", \
+                        "0.0155444000, 0.0166997000, 0.0194662000, 0.0259669000, 0.0413343000, 0.0776388000, 0.1636447000", \
+                        "0.0153687000, 0.0165128000, 0.0192278000, 0.0256227000, 0.0409102000, 0.0775249000, 0.1636469000", \
+                        "0.0151264000, 0.0162847000, 0.0189579000, 0.0253797000, 0.0406601000, 0.0768339000, 0.1630344000", \
+                        "0.0151603000, 0.0162725000, 0.0189663000, 0.0253969000, 0.0406468000, 0.0768506000, 0.1633577000", \
+                        "0.0158262000, 0.0168831000, 0.0196415000, 0.0260324000, 0.0410306000, 0.0768941000, 0.1635405000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("6.600000e-05, -0.001018300, -0.003647600, -0.009982200, -0.025169800, -0.061565300, -0.148583700", \
+                        "-0.000274000, -0.001305200, -0.003852100, -0.010033700, -0.025107900, -0.061397300, -0.148364000", \
+                        "-0.000702100, -0.001725700, -0.004185800, -0.010259500, -0.025148300, -0.061305300, -0.148202200", \
+                        "-0.001137400, -0.002151400, -0.004504500, -0.010690200, -0.025378600, -0.061394900, -0.148163300", \
+                        "-0.001034600, -0.002133600, -0.004683200, -0.010720400, -0.025749100, -0.061660400, -0.148271800", \
+                        "1.310000e-05, -0.001143600, -0.003846800, -0.010221100, -0.025465700, -0.061567600, -0.148386700", \
+                        "0.0035963000, 0.0023047000, -0.000650000, -0.007696300, -0.023788700, -0.060602800, -0.147801900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300");
+                    values("0.0153646000, 0.0165746000, 0.0194064000, 0.0260877000, 0.0413307000, 0.0776651000, 0.1639553000", \
+                        "0.0148434000, 0.0160269000, 0.0189673000, 0.0255642000, 0.0410453000, 0.0773291000, 0.1632525000", \
+                        "0.0146049000, 0.0157495000, 0.0185388000, 0.0250320000, 0.0406465000, 0.0772111000, 0.1632344000", \
+                        "0.0144237000, 0.0155293000, 0.0182248000, 0.0247624000, 0.0401209000, 0.0769387000, 0.1629746000", \
+                        "0.0147220000, 0.0158232000, 0.0184241000, 0.0247479000, 0.0399357000, 0.0763057000, 0.1625118000", \
+                        "0.0162958000, 0.0173854000, 0.0199805000, 0.0262514000, 0.0410162000, 0.0762962000, 0.1621742000", \
+                        "0.0193720000, 0.0203672000, 0.0227595000, 0.0289704000, 0.0436227000, 0.0782466000, 0.1625150000");
+                }
+            }
+            max_capacitance : 0.0924780000;
+            max_transition : 1.4918710000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0180192000, 0.0195338000, 0.0230281000, 0.0309241000, 0.0483616000, 0.0870205000, 0.1750691000", \
+                        "0.0234068000, 0.0248133000, 0.0281364000, 0.0357885000, 0.0530349000, 0.0915985000, 0.1796590000", \
+                        "0.0349668000, 0.0366474000, 0.0403144000, 0.0478425000, 0.0644515000, 0.1024366000, 0.1903702000", \
+                        "0.0513954000, 0.0538681000, 0.0593954000, 0.0703487000, 0.0907384000, 0.1288562000, 0.2161445000", \
+                        "0.0713610000, 0.0749838000, 0.0829686000, 0.0995072000, 0.1307642000, 0.1847252000, 0.2765064000", \
+                        "0.0872436000, 0.0926790000, 0.1049424000, 0.1289908000, 0.1780098000, 0.2620352000, 0.3995271000", \
+                        "0.0770244000, 0.0855287000, 0.1044339000, 0.1430147000, 0.2177024000, 0.3490510000, 0.5634211000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.1192571000, 0.1272480000, 0.1466794000, 0.1915880000, 0.2961619000, 0.5442469000, 1.1335854000", \
+                        "0.1229934000, 0.1313087000, 0.1504393000, 0.1957595000, 0.3014201000, 0.5551559000, 1.1430371000", \
+                        "0.1351002000, 0.1431834000, 0.1619349000, 0.2073084000, 0.3123998000, 0.5607695000, 1.1519985000", \
+                        "0.1622162000, 0.1702912000, 0.1892310000, 0.2331391000, 0.3380395000, 0.5870323000, 1.1782173000", \
+                        "0.2136839000, 0.2227770000, 0.2427168000, 0.2878574000, 0.3925044000, 0.6408644000, 1.2318684000", \
+                        "0.2971453000, 0.3089482000, 0.3341699000, 0.3889666000, 0.5061874000, 0.7568577000, 1.3509794000", \
+                        "0.4248159000, 0.4419626000, 0.4795074000, 0.5571802000, 0.7085982000, 1.0039702000, 1.6080015000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0163760000, 0.0183232000, 0.0226945000, 0.0325105000, 0.0542124000, 0.1032848000, 0.2189168000", \
+                        "0.0166067000, 0.0182126000, 0.0221812000, 0.0318461000, 0.0537251000, 0.1032591000, 0.2193072000", \
+                        "0.0222770000, 0.0234979000, 0.0261960000, 0.0338651000, 0.0535508000, 0.1026708000, 0.2194888000", \
+                        "0.0348866000, 0.0366657000, 0.0402123000, 0.0487104000, 0.0633621000, 0.1055577000, 0.2193219000", \
+                        "0.0567760000, 0.0594052000, 0.0649372000, 0.0763893000, 0.0984294000, 0.1359585000, 0.2305395000", \
+                        "0.0947525000, 0.0990936000, 0.1081722000, 0.1261121000, 0.1583965000, 0.2132191000, 0.3083944000", \
+                        "0.1626390000, 0.1694147000, 0.1840777000, 0.2123723000, 0.2642556000, 0.3469187000, 0.4854929000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0808628000, 0.0911982000, 0.1163235000, 0.1760010000, 0.3172489000, 0.6560630000, 1.4569698000", \
+                        "0.0808818000, 0.0916592000, 0.1164129000, 0.1761280000, 0.3182998000, 0.6602326000, 1.4614724000", \
+                        "0.0809526000, 0.0913853000, 0.1163159000, 0.1761192000, 0.3174864000, 0.6532811000, 1.4576663000", \
+                        "0.0811579000, 0.0918420000, 0.1170058000, 0.1752404000, 0.3172034000, 0.6534515000, 1.4571113000", \
+                        "0.0910396000, 0.1003787000, 0.1231012000, 0.1802778000, 0.3186054000, 0.6552806000, 1.4589951000", \
+                        "0.1205687000, 0.1309373000, 0.1554205000, 0.2114025000, 0.3384175000, 0.6606767000, 1.4658142000", \
+                        "0.1943985000, 0.2056952000, 0.2316013000, 0.2911888000, 0.4279911000, 0.7268851000, 1.4782252000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0198151000, 0.0212261000, 0.0244935000, 0.0317904000, 0.0482294000, 0.0860520000, 0.1749170000", \
+                        "0.0247875000, 0.0261705000, 0.0293654000, 0.0366452000, 0.0528972000, 0.0907138000, 0.1795660000", \
+                        "0.0354105000, 0.0370800000, 0.0406849000, 0.0480194000, 0.0641751000, 0.1017904000, 0.1906813000", \
+                        "0.0494997000, 0.0518379000, 0.0575107000, 0.0687060000, 0.0895665000, 0.1275448000, 0.2162426000", \
+                        "0.0638559000, 0.0678133000, 0.0764543000, 0.0940316000, 0.1266664000, 0.1829667000, 0.2771487000", \
+                        "0.0703330000, 0.0755142000, 0.0901389000, 0.1172032000, 0.1686594000, 0.2572352000, 0.3986421000", \
+                        "0.0439507000, 0.0534383000, 0.0747050000, 0.1175037000, 0.1990237000, 0.3388039000, 0.5615635000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.1012423000, 0.1096086000, 0.1290649000, 0.1738078000, 0.2783084000, 0.5259239000, 1.1153276000", \
+                        "0.1039090000, 0.1123512000, 0.1315497000, 0.1771361000, 0.2831775000, 0.5307318000, 1.1321487000", \
+                        "0.1143604000, 0.1226106000, 0.1415945000, 0.1868766000, 0.2920779000, 0.5412688000, 1.1320497000", \
+                        "0.1405215000, 0.1486174000, 0.1676892000, 0.2115472000, 0.3178989000, 0.5663139000, 1.1571590000", \
+                        "0.1901418000, 0.1999731000, 0.2216716000, 0.2687543000, 0.3742652000, 0.6225143000, 1.2142110000", \
+                        "0.2718747000, 0.2848611000, 0.3155482000, 0.3786029000, 0.5045304000, 0.7569499000, 1.3527888000", \
+                        "0.3981871000, 0.4197158000, 0.4672402000, 0.5626876000, 0.7361053000, 1.0519007000, 1.6609263000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0151347000, 0.0167081000, 0.0204223000, 0.0291919000, 0.0498491000, 0.0997281000, 0.2183485000", \
+                        "0.0148251000, 0.0163595000, 0.0200060000, 0.0289229000, 0.0498103000, 0.0996122000, 0.2181476000", \
+                        "0.0194746000, 0.0207652000, 0.0234023000, 0.0307002000, 0.0499539000, 0.0994422000, 0.2181565000", \
+                        "0.0305350000, 0.0324912000, 0.0366260000, 0.0444761000, 0.0603594000, 0.1028326000, 0.2191174000", \
+                        "0.0517070000, 0.0536054000, 0.0594791000, 0.0724514000, 0.0943070000, 0.1344293000, 0.2294022000", \
+                        "0.0868273000, 0.0919970000, 0.1021012000, 0.1204622000, 0.1554876000, 0.2131731000, 0.3082992000", \
+                        "0.1540477000, 0.1611533000, 0.1756686000, 0.2069314000, 0.2595353000, 0.3468892000, 0.4865705000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0809650000, 0.0911240000, 0.1157996000, 0.1755128000, 0.3170816000, 0.6533102000, 1.4555621000", \
+                        "0.0807924000, 0.0916262000, 0.1161253000, 0.1760597000, 0.3182103000, 0.6560292000, 1.4669059000", \
+                        "0.0810379000, 0.0912713000, 0.1161852000, 0.1759218000, 0.3171315000, 0.6555727000, 1.4579670000", \
+                        "0.0817986000, 0.0919843000, 0.1172938000, 0.1753804000, 0.3175639000, 0.6558323000, 1.4550605000", \
+                        "0.1002017000, 0.1091660000, 0.1301696000, 0.1840914000, 0.3184149000, 0.6541153000, 1.4603386000", \
+                        "0.1431423000, 0.1533258000, 0.1773887000, 0.2316761000, 0.3514919000, 0.6621160000, 1.4638540000", \
+                        "0.2328844000, 0.2455174000, 0.2746600000, 0.3402824000, 0.4699021000, 0.7531019000, 1.4786899000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0161211000, 0.0174194000, 0.0204020000, 0.0272388000, 0.0431558000, 0.0806425000, 0.1699278000", \
+                        "0.0208723000, 0.0221912000, 0.0252123000, 0.0320494000, 0.0481183000, 0.0856841000, 0.1750862000", \
+                        "0.0287282000, 0.0307799000, 0.0351099000, 0.0434321000, 0.0596523000, 0.0971493000, 0.1866508000", \
+                        "0.0376929000, 0.0409557000, 0.0476166000, 0.0611653000, 0.0845026000, 0.1238829000, 0.2131900000", \
+                        "0.0447543000, 0.0499651000, 0.0610246000, 0.0819721000, 0.1187796000, 0.1783979000, 0.2747998000", \
+                        "0.0405076000, 0.0483211000, 0.0663567000, 0.1000032000, 0.1575507000, 0.2520605000, 0.3989261000", \
+                        "-0.000384900, 0.0129002000, 0.0405654000, 0.0936333000, 0.1867645000, 0.3363437000, 0.5672157000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0585200000, 0.0669250000, 0.0865564000, 0.1321268000, 0.2380119000, 0.4859397000, 1.0782684000", \
+                        "0.0598769000, 0.0679902000, 0.0879869000, 0.1333030000, 0.2396777000, 0.4885050000, 1.0790221000", \
+                        "0.0697737000, 0.0773960000, 0.0962618000, 0.1409856000, 0.2492429000, 0.4975050000, 1.0885192000", \
+                        "0.0976371000, 0.1045972000, 0.1210865000, 0.1647992000, 0.2694617000, 0.5218974000, 1.1182378000", \
+                        "0.1443771000, 0.1556504000, 0.1792153000, 0.2272388000, 0.3286384000, 0.5789452000, 1.1678267000", \
+                        "0.2173730000, 0.2334118000, 0.2683050000, 0.3394845000, 0.4710013000, 0.7143550000, 1.3043402000", \
+                        "0.3404023000, 0.3623796000, 0.4110018000, 0.5112239000, 0.7004188000, 1.0347295000, 1.6241501000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0093026000, 0.0108646000, 0.0145066000, 0.0233681000, 0.0445808000, 0.0945522000, 0.2144443000", \
+                        "0.0099994000, 0.0113351000, 0.0146784000, 0.0234219000, 0.0442455000, 0.0949233000, 0.2158235000", \
+                        "0.0156944000, 0.0171827000, 0.0200155000, 0.0264418000, 0.0453795000, 0.0942715000, 0.2140308000", \
+                        "0.0262844000, 0.0283598000, 0.0328030000, 0.0410608000, 0.0572251000, 0.0983651000, 0.2146495000", \
+                        "0.0455990000, 0.0487671000, 0.0551348000, 0.0684368000, 0.0908972000, 0.1305008000, 0.2254242000", \
+                        "0.0810313000, 0.0859251000, 0.0973571000, 0.1163791000, 0.1514452000, 0.2091552000, 0.3043911000", \
+                        "0.1486753000, 0.1565375000, 0.1764466000, 0.2055809000, 0.2580053000, 0.3462731000, 0.4843387000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000");
+                    values("0.0775928000, 0.0889734000, 0.1146629000, 0.1756337000, 0.3172677000, 0.6556532000, 1.4628008000", \
+                        "0.0765637000, 0.0875773000, 0.1142167000, 0.1745212000, 0.3176377000, 0.6550397000, 1.4579350000", \
+                        "0.0740722000, 0.0855381000, 0.1125233000, 0.1740847000, 0.3182877000, 0.6553801000, 1.4592141000", \
+                        "0.0808659000, 0.0903725000, 0.1132441000, 0.1723522000, 0.3174746000, 0.6582961000, 1.4617477000", \
+                        "0.1063631000, 0.1180089000, 0.1410405000, 0.1892946000, 0.3187434000, 0.6568711000, 1.4580702000", \
+                        "0.1472233000, 0.1607485000, 0.1902894000, 0.2550368000, 0.3724421000, 0.6653958000, 1.4571947000", \
+                        "0.2190149000, 0.2376913000, 0.2766278000, 0.3636842000, 0.5158967000, 0.8065590000, 1.4918707000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor3_4") {
+        leakage_power () {
+            value : 0.0022547000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0063490000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0002338000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0021546000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0002616000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0022324000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0168094000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0040347000;
+            when : "A&B&!C";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__nor3";
+        cell_leakage_power : 0.0042912730;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0142969000, 0.0143097000, 0.0143392000, 0.0143519000, 0.0143812000, 0.0144486000, 0.0146039000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013326700, -0.013483800, -0.013845900, -0.013901100, -0.014028400, -0.014321800, -0.014998200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091280000;
+        }
+        pin ("B") {
+            capacitance : 0.0090040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083790000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0163574000, 0.0163542000, 0.0163467000, 0.0163500000, 0.0163575000, 0.0163747000, 0.0164143000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013661800, -0.013966800, -0.014670000, -0.014698300, -0.014763700, -0.014914300, -0.015261500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096290000;
+        }
+        pin ("C") {
+            capacitance : 0.0083840000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077610000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075804000, 0.0075711000, 0.0075497000, 0.0075769000, 0.0076396000, 0.0077840000, 0.0081169000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006788000, -0.006791100, -0.006798300, -0.006793500, -0.006782500, -0.006757000, -0.006698300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090080000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0199379000, 0.0186626000, 0.0153205000, 0.0065976000, -0.015967400, -0.074374600, -0.226809300", \
+                        "0.0196014000, 0.0183152000, 0.0149740000, 0.0062845000, -0.016262000, -0.074648500, -0.227148300", \
+                        "0.0193230000, 0.0180300000, 0.0146901000, 0.0061114000, -0.016482700, -0.074859800, -0.227308100", \
+                        "0.0184938000, 0.0172186000, 0.0139127000, 0.0055356000, -0.017009400, -0.075289800, -0.227694300", \
+                        "0.0183470000, 0.0171017000, 0.0137670000, 0.0052763000, -0.017156400, -0.075386800, -0.227853100", \
+                        "0.0208707000, 0.0195771000, 0.0156983000, 0.0069001000, -0.015753500, -0.074644600, -0.227468100", \
+                        "0.0258766000, 0.0245120000, 0.0210551000, 0.0119967000, -0.011104100, -0.071097600, -0.225411300");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0419332000, 0.0433019000, 0.0467233000, 0.0557576000, 0.0782246000, 0.1365093000, 0.2880104000", \
+                        "0.0411786000, 0.0425389000, 0.0459865000, 0.0549509000, 0.0778164000, 0.1364060000, 0.2879243000", \
+                        "0.0405733000, 0.0418956000, 0.0453065000, 0.0543634000, 0.0773700000, 0.1361863000, 0.2874550000", \
+                        "0.0401619000, 0.0414900000, 0.0448884000, 0.0536514000, 0.0767059000, 0.1356105000, 0.2870848000", \
+                        "0.0398210000, 0.0410637000, 0.0444403000, 0.0532134000, 0.0759599000, 0.1347622000, 0.2882585000", \
+                        "0.0397544000, 0.0410743000, 0.0444992000, 0.0532753000, 0.0755772000, 0.1343866000, 0.2858779000", \
+                        "0.0388516000, 0.0401609000, 0.0434223000, 0.0526392000, 0.0760440000, 0.1345737000, 0.2859439000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0142556000, 0.0129849000, 0.0096522000, 0.0010167000, -0.021434700, -0.080125200, -0.233151200", \
+                        "0.0143063000, 0.0130362000, 0.0097349000, 0.0011696000, -0.021232500, -0.079899600, -0.232886800", \
+                        "0.0145259000, 0.0131917000, 0.0099707000, 0.0015336000, -0.020778700, -0.079330800, -0.232229900", \
+                        "0.0135534000, 0.0123194000, 0.0091125000, 0.0007452000, -0.021302600, -0.079635200, -0.232389100", \
+                        "0.0135697000, 0.0123205000, 0.0091050000, 0.0006158000, -0.021710700, -0.080089300, -0.232568100", \
+                        "0.0147046000, 0.0134104000, 0.0101398000, 0.0014837000, -0.021296100, -0.079635500, -0.232641900", \
+                        "0.0194847000, 0.0180977000, 0.0145660000, 0.0053740000, -0.017976400, -0.077378900, -0.231021600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0314095000, 0.0328272000, 0.0364328000, 0.0455414000, 0.0682135000, 0.1269929000, 0.2780706000", \
+                        "0.0304710000, 0.0319002000, 0.0354974000, 0.0445383000, 0.0676230000, 0.1264213000, 0.2779885000", \
+                        "0.0297923000, 0.0311795000, 0.0346926000, 0.0436078000, 0.0669969000, 0.1260448000, 0.2775422000", \
+                        "0.0294258000, 0.0307273000, 0.0341787000, 0.0430974000, 0.0658411000, 0.1248810000, 0.2771386000", \
+                        "0.0289657000, 0.0302752000, 0.0336642000, 0.0424145000, 0.0652545000, 0.1239028000, 0.2761691000", \
+                        "0.0289157000, 0.0302567000, 0.0336725000, 0.0424800000, 0.0650870000, 0.1239872000, 0.2751327000", \
+                        "0.0306071000, 0.0318181000, 0.0350267000, 0.0434365000, 0.0657157000, 0.1235841000, 0.2764350000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0014765000, 0.0002150000, -0.003129700, -0.011829600, -0.034445400, -0.093324700, -0.246539700", \
+                        "0.0009819000, -0.000250400, -0.003471400, -0.011956900, -0.034359900, -0.093065100, -0.246197300", \
+                        "0.0001864000, -0.001003200, -0.004127800, -0.012373200, -0.034429500, -0.092879200, -0.245878400", \
+                        "-0.000619800, -0.001741000, -0.004796000, -0.012946800, -0.034882500, -0.092997000, -0.245715400", \
+                        "-0.000643700, -0.001880200, -0.005061700, -0.013256300, -0.035327200, -0.093434500, -0.245911400", \
+                        "0.0006571000, -0.000665300, -0.004055000, -0.012693300, -0.034746500, -0.092958100, -0.245984400", \
+                        "0.0058112000, 0.0043291000, 0.0005567000, -0.008775600, -0.032707200, -0.092377500, -0.245518400");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0300088000, 0.0314758000, 0.0351346000, 0.0443569000, 0.0673434000, 0.1257530000, 0.2773657000", \
+                        "0.0288508000, 0.0303594000, 0.0340765000, 0.0431939000, 0.0664739000, 0.1260338000, 0.2782887000", \
+                        "0.0282233000, 0.0295622000, 0.0330668000, 0.0420783000, 0.0655194000, 0.1246652000, 0.2767622000", \
+                        "0.0281496000, 0.0294557000, 0.0328368000, 0.0416365000, 0.0644769000, 0.1237586000, 0.2761190000", \
+                        "0.0281677000, 0.0294708000, 0.0327529000, 0.0414062000, 0.0639806000, 0.1226242000, 0.2748800000", \
+                        "0.0298666000, 0.0311652000, 0.0344254000, 0.0428357000, 0.0649640000, 0.1228135000, 0.2754221000", \
+                        "0.0349379000, 0.0357968000, 0.0388321000, 0.0469724000, 0.0683805000, 0.1253775000, 0.2758469000");
+                }
+            }
+            max_capacitance : 0.1538190000;
+            max_transition : 1.4951450000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0174855000, 0.0184340000, 0.0208644000, 0.0268790000, 0.0413733000, 0.0759522000, 0.1607760000", \
+                        "0.0228412000, 0.0237286000, 0.0260120000, 0.0317810000, 0.0460489000, 0.0804793000, 0.1652044000", \
+                        "0.0338757000, 0.0350339000, 0.0376741000, 0.0436949000, 0.0572489000, 0.0912593000, 0.1757439000", \
+                        "0.0488273000, 0.0504366000, 0.0543635000, 0.0634235000, 0.0817694000, 0.1171124000, 0.2004549000", \
+                        "0.0659919000, 0.0682947000, 0.0740620000, 0.0873439000, 0.1152536000, 0.1675864000, 0.2599356000", \
+                        "0.0757500000, 0.0792676000, 0.0881226000, 0.1083624000, 0.1509201000, 0.2309555000, 0.3720089000", \
+                        "0.0510694000, 0.0561829000, 0.0692785000, 0.1004629000, 0.1667459000, 0.2929524000, 0.5115211000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1298159000, 0.1355968000, 0.1501819000, 0.1872919000, 0.2819722000, 0.5220789000, 1.1467399000", \
+                        "0.1331755000, 0.1390662000, 0.1533607000, 0.1906723000, 0.2849966000, 0.5268181000, 1.1521017000", \
+                        "0.1451605000, 0.1509002000, 0.1650792000, 0.2025948000, 0.2982548000, 0.5447562000, 1.1666669000", \
+                        "0.1723862000, 0.1781808000, 0.1921589000, 0.2289811000, 0.3240281000, 0.5672227000, 1.1943477000", \
+                        "0.2262289000, 0.2321218000, 0.2472209000, 0.2847693000, 0.3784654000, 0.6209191000, 1.2532270000", \
+                        "0.3142628000, 0.3215296000, 0.3400843000, 0.3849722000, 0.4910379000, 0.7364828000, 1.3637210000", \
+                        "0.4568851000, 0.4693879000, 0.4948969000, 0.5562682000, 0.6914393000, 0.9795311000, 1.6215130000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0177636000, 0.0189838000, 0.0221398000, 0.0299893000, 0.0488784000, 0.0953409000, 0.2141622000", \
+                        "0.0180974000, 0.0191670000, 0.0219415000, 0.0292275000, 0.0484198000, 0.0951764000, 0.2139516000", \
+                        "0.0239326000, 0.0246984000, 0.0268349000, 0.0325979000, 0.0489265000, 0.0946129000, 0.2138524000", \
+                        "0.0362100000, 0.0373315000, 0.0400577000, 0.0468523000, 0.0611602000, 0.0993074000, 0.2135024000", \
+                        "0.0582597000, 0.0599486000, 0.0640821000, 0.0726279000, 0.0931257000, 0.1320733000, 0.2281107000", \
+                        "0.0947308000, 0.0974467000, 0.1044113000, 0.1177873000, 0.1462023000, 0.2026841000, 0.3063559000", \
+                        "0.1616153000, 0.1667246000, 0.1790753000, 0.2018979000, 0.2457702000, 0.3244041000, 0.4720148000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0878985000, 0.0951547000, 0.1140563000, 0.1634166000, 0.2900222000, 0.6166931000, 1.4705920000", \
+                        "0.0880411000, 0.0952453000, 0.1141172000, 0.1626418000, 0.2898620000, 0.6180101000, 1.4702175000", \
+                        "0.0881856000, 0.0953229000, 0.1141622000, 0.1634007000, 0.2904801000, 0.6214129000, 1.4663758000", \
+                        "0.0883323000, 0.0955404000, 0.1143988000, 0.1625666000, 0.2906717000, 0.6193145000, 1.4676541000", \
+                        "0.0965134000, 0.1029909000, 0.1205704000, 0.1668808000, 0.2902321000, 0.6186347000, 1.4799252000", \
+                        "0.1236126000, 0.1308136000, 0.1490097000, 0.1964444000, 0.3118961000, 0.6253068000, 1.4698887000", \
+                        "0.1882582000, 0.1963475000, 0.2163412000, 0.2653510000, 0.3898074000, 0.6904268000, 1.4880702000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0195721000, 0.0204865000, 0.0227717000, 0.0283697000, 0.0417606000, 0.0746293000, 0.1578625000", \
+                        "0.0244824000, 0.0253698000, 0.0275988000, 0.0330007000, 0.0463590000, 0.0791664000, 0.1624030000", \
+                        "0.0347018000, 0.0357575000, 0.0383862000, 0.0443344000, 0.0574041000, 0.0901648000, 0.1733458000", \
+                        "0.0475746000, 0.0491778000, 0.0531290000, 0.0620804000, 0.0805916000, 0.1153359000, 0.1984920000", \
+                        "0.0591916000, 0.0617303000, 0.0675750000, 0.0816729000, 0.1100720000, 0.1639329000, 0.2577930000", \
+                        "0.0582438000, 0.0621531000, 0.0715283000, 0.0939686000, 0.1395103000, 0.2241317000, 0.3678348000", \
+                        "0.0146769000, 0.0208107000, 0.0358447000, 0.0701333000, 0.1420067000, 0.2768593000, 0.5038059000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1142725000, 0.1202723000, 0.1354291000, 0.1730752000, 0.2683934000, 0.5105107000, 1.1349477000", \
+                        "0.1157988000, 0.1218348000, 0.1367999000, 0.1742820000, 0.2696984000, 0.5125184000, 1.1383716000", \
+                        "0.1262602000, 0.1317984000, 0.1466168000, 0.1841995000, 0.2801167000, 0.5246776000, 1.1507342000", \
+                        "0.1525392000, 0.1581648000, 0.1727031000, 0.2095677000, 0.3040389000, 0.5477452000, 1.1756628000", \
+                        "0.2048156000, 0.2116040000, 0.2281908000, 0.2672846000, 0.3620047000, 0.6047445000, 1.2323484000", \
+                        "0.2927722000, 0.3019903000, 0.3251388000, 0.3769600000, 0.4912363000, 0.7390542000, 1.3666539000", \
+                        "0.4408492000, 0.4550161000, 0.4885838000, 0.5659982000, 0.7243198000, 1.0342206000, 1.6829288000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0156992000, 0.0166459000, 0.0190882000, 0.0255265000, 0.0419842000, 0.0853736000, 0.1989443000", \
+                        "0.0153676000, 0.0162562000, 0.0186041000, 0.0250300000, 0.0418266000, 0.0853272000, 0.1993425000", \
+                        "0.0204189000, 0.0209392000, 0.0227567000, 0.0276932000, 0.0425135000, 0.0850439000, 0.1990770000", \
+                        "0.0309497000, 0.0320449000, 0.0349263000, 0.0414388000, 0.0549837000, 0.0903609000, 0.1990117000", \
+                        "0.0509184000, 0.0526848000, 0.0567675000, 0.0660789000, 0.0865589000, 0.1247088000, 0.2135362000", \
+                        "0.0861778000, 0.0890312000, 0.0961018000, 0.1110217000, 0.1413703000, 0.1965280000, 0.2977827000", \
+                        "0.1523646000, 0.1570169000, 0.1680824000, 0.1918130000, 0.2389067000, 0.3234140000, 0.4659654000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0878828000, 0.0950797000, 0.1141156000, 0.1633197000, 0.2900672000, 0.6191880000, 1.4675007000", \
+                        "0.0879162000, 0.0951389000, 0.1142852000, 0.1628861000, 0.2897843000, 0.6175445000, 1.4675549000", \
+                        "0.0883621000, 0.0953542000, 0.1143070000, 0.1628883000, 0.2906612000, 0.6192415000, 1.4668564000", \
+                        "0.0886925000, 0.0958294000, 0.1141918000, 0.1634327000, 0.2896006000, 0.6173739000, 1.4705618000", \
+                        "0.1058244000, 0.1120284000, 0.1275556000, 0.1718821000, 0.2914304000, 0.6183120000, 1.4674681000", \
+                        "0.1457064000, 0.1530166000, 0.1717830000, 0.2175987000, 0.3257934000, 0.6258756000, 1.4674465000", \
+                        "0.2336381000, 0.2417454000, 0.2635151000, 0.3158614000, 0.4377489000, 0.7156046000, 1.4900667000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0166630000, 0.0175123000, 0.0196659000, 0.0248849000, 0.0380139000, 0.0714376000, 0.1578602000", \
+                        "0.0211700000, 0.0220372000, 0.0242017000, 0.0295231000, 0.0427048000, 0.0761763000, 0.1626047000", \
+                        "0.0284252000, 0.0297743000, 0.0329602000, 0.0398711000, 0.0536753000, 0.0872085000, 0.1739171000", \
+                        "0.0355065000, 0.0376028000, 0.0425859000, 0.0536003000, 0.0749217000, 0.1126652000, 0.1985515000", \
+                        "0.0375934000, 0.0409943000, 0.0490976000, 0.0665096000, 0.1003095000, 0.1588000000, 0.2579170000", \
+                        "0.0209890000, 0.0264177000, 0.0390681000, 0.0667888000, 0.1202230000, 0.2140151000, 0.3645091000", \
+                        "-0.050968400, -0.042378700, -0.021936900, 0.0225795000, 0.1080176000, 0.2571367000, 0.4986430000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0693758000, 0.0754909000, 0.0905052000, 0.1288806000, 0.2237668000, 0.4655428000, 1.0908835000", \
+                        "0.0700857000, 0.0760378000, 0.0912834000, 0.1296351000, 0.2253014000, 0.4738527000, 1.0958655000", \
+                        "0.0792077000, 0.0847351000, 0.0989169000, 0.1367923000, 0.2336180000, 0.4771583000, 1.1044766000", \
+                        "0.1084151000, 0.1132198000, 0.1264000000, 0.1620965000, 0.2567785000, 0.5011897000, 1.1289453000", \
+                        "0.1650485000, 0.1721184000, 0.1895115000, 0.2288432000, 0.3199367000, 0.5620018000, 1.1960951000", \
+                        "0.2580751000, 0.2690016000, 0.2942368000, 0.3524377000, 0.4726861000, 0.7108291000, 1.3397407000", \
+                        "0.4224762000, 0.4373680000, 0.4731002000, 0.5571136000, 0.7286381000, 1.0571489000, 1.6824081000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0099043000, 0.0108621000, 0.0134194000, 0.0202097000, 0.0377014000, 0.0834049000, 0.2023953000", \
+                        "0.0106335000, 0.0114928000, 0.0137850000, 0.0202230000, 0.0377256000, 0.0835781000, 0.2020942000", \
+                        "0.0163442000, 0.0172148000, 0.0194349000, 0.0245176000, 0.0392715000, 0.0833790000, 0.2019923000", \
+                        "0.0267918000, 0.0281645000, 0.0313672000, 0.0387342000, 0.0532375000, 0.0892817000, 0.2029456000", \
+                        "0.0465941000, 0.0486352000, 0.0537363000, 0.0636149000, 0.0848939000, 0.1263257000, 0.2165589000", \
+                        "0.0830219000, 0.0853947000, 0.0931576000, 0.1097660000, 0.1420419000, 0.2004886000, 0.3014893000", \
+                        "0.1508091000, 0.1559392000, 0.1679303000, 0.1970926000, 0.2415479000, 0.3278302000, 0.4744952000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0856598000, 0.0931410000, 0.1128413000, 0.1627234000, 0.2900939000, 0.6192534000, 1.4673779000", \
+                        "0.0849465000, 0.0924107000, 0.1121060000, 0.1620091000, 0.2896744000, 0.6224912000, 1.4742988000", \
+                        "0.0826841000, 0.0905084000, 0.1107553000, 0.1614921000, 0.2899253000, 0.6175083000, 1.4683749000", \
+                        "0.0861134000, 0.0929247000, 0.1106008000, 0.1594168000, 0.2897711000, 0.6186904000, 1.4664878000", \
+                        "0.1132848000, 0.1212698000, 0.1357074000, 0.1750334000, 0.2905699000, 0.6179647000, 1.4708655000", \
+                        "0.1542560000, 0.1646399000, 0.1855475000, 0.2364153000, 0.3448199000, 0.6276140000, 1.4774657000", \
+                        "0.2299706000, 0.2397104000, 0.2713543000, 0.3402219000, 0.4781893000, 0.7599425000, 1.4951451000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor3b_1 */
+
+/* removed sky130_fd_sc_hd__nor3b_2 */
+
+/* removed sky130_fd_sc_hd__nor3b_4 */
+
+/* removed sky130_fd_sc_hd__nor4_1 */
+
+    cell ("sky130_fd_sc_hd__nor4_2") {
+        leakage_power () {
+            value : 9.0724479e-05;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001408000;
+            when : "A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0036845000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0030832000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0002442000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0022266000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0002444000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0021358000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001313000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002461000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0003365000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0021041000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001299000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002487000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001265000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0002583000;
+            when : "A&B&!C&!D";
+        }
+        area : 12.512000000;
+        cell_footprint : "sky130_fd_sc_hd__nor4";
+        cell_leakage_power : 0.0009644675;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0043590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041340000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0074710000, 0.0074665000, 0.0074563000, 0.0074596000, 0.0074672000, 0.0074847000, 0.0075251000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006628400, -0.006705100, -0.006881800, -0.006916400, -0.006996200, -0.007180000, -0.007603800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045830000;
+        }
+        pin ("B") {
+            capacitance : 0.0043070000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040060000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091253000, 0.0091242000, 0.0091217000, 0.0091198000, 0.0091154000, 0.0091052000, 0.0090817000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006762800, -0.006810900, -0.006921600, -0.006954900, -0.007031600, -0.007208600, -0.007616500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046080000;
+        }
+        pin ("C") {
+            capacitance : 0.0043000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082417000, 0.0082398000, 0.0082354000, 0.0082401000, 0.0082509000, 0.0082758000, 0.0083332000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006909900, -0.007055400, -0.007390800, -0.007408100, -0.007447900, -0.007539800, -0.007751500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046380000;
+        }
+        pin ("D") {
+            capacitance : 0.0042970000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039260000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0044731000, 0.0044659000, 0.0044493000, 0.0044699000, 0.0045173000, 0.0046267000, 0.0048787000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003418300, -0.003418300, -0.003418300, -0.003416900, -0.003413600, -0.003406000, -0.003388400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046680000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C&!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0130284000, 0.0120197000, 0.0097689000, 0.0047162000, -0.006651100, -0.032129900, -0.089100700", \
+                        "0.0128438000, 0.0118516000, 0.0095977000, 0.0045344000, -0.006823500, -0.032264500, -0.089255300", \
+                        "0.0127313000, 0.0117024000, 0.0094803000, 0.0044354000, -0.006966000, -0.032382400, -0.089363200", \
+                        "0.0124401000, 0.0114312000, 0.0091964000, 0.0041980000, -0.007210900, -0.032632400, -0.089601900", \
+                        "0.0121480000, 0.0111551000, 0.0089241000, 0.0039681000, -0.007296700, -0.032704600, -0.089605500", \
+                        "0.0137727000, 0.0127434000, 0.0104460000, 0.0052922000, -0.006110300, -0.032021800, -0.089372000", \
+                        "0.0166367000, 0.0155872000, 0.0132526000, 0.0081951000, -0.003491200, -0.029575700, -0.087639900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0287875000, 0.0298175000, 0.0321801000, 0.0372424000, 0.0486435000, 0.0740613000, 0.1307851000", \
+                        "0.0284711000, 0.0294753000, 0.0318289000, 0.0369529000, 0.0484236000, 0.0739263000, 0.1309251000", \
+                        "0.0281256000, 0.0291841000, 0.0314566000, 0.0366394000, 0.0481049000, 0.0738271000, 0.1311391000", \
+                        "0.0279422000, 0.0289971000, 0.0312730000, 0.0363411000, 0.0477663000, 0.0733794000, 0.1304999000", \
+                        "0.0277532000, 0.0287609000, 0.0310206000, 0.0361411000, 0.0475595000, 0.0729280000, 0.1301431000", \
+                        "0.0277163000, 0.0287145000, 0.0309642000, 0.0359990000, 0.0473639000, 0.0729720000, 0.1298406000", \
+                        "0.0273679000, 0.0285756000, 0.0308981000, 0.0359837000, 0.0475017000, 0.0725922000, 0.1298778000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0100157000, 0.0090120000, 0.0067623000, 0.0017005000, -0.009642100, -0.034994000, -0.092241800", \
+                        "0.0100179000, 0.0089974000, 0.0067469000, 0.0016838000, -0.009640200, -0.035004000, -0.092255300", \
+                        "0.0099416000, 0.0089481000, 0.0067072000, 0.0016771000, -0.009654100, -0.035013800, -0.092224500", \
+                        "0.0096152000, 0.0086280000, 0.0064091000, 0.0014305000, -0.009815700, -0.035097000, -0.092317100", \
+                        "0.0096692000, 0.0086541000, 0.0063838000, 0.0012875000, -0.009992500, -0.035220400, -0.092354100", \
+                        "0.0106974000, 0.0097364000, 0.0074863000, 0.0024766000, -0.008984500, -0.034708800, -0.092177800", \
+                        "0.0133206000, 0.0122639000, 0.0098848000, 0.0045972000, -0.006938000, -0.032910600, -0.090760800");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0225975000, 0.0236289000, 0.0259982000, 0.0310818000, 0.0425042000, 0.0679458000, 0.1255043000", \
+                        "0.0222309000, 0.0232462000, 0.0256109000, 0.0307767000, 0.0422472000, 0.0677990000, 0.1247980000", \
+                        "0.0218476000, 0.0228957000, 0.0252143000, 0.0304014000, 0.0419548000, 0.0675139000, 0.1245685000", \
+                        "0.0216468000, 0.0227051000, 0.0249418000, 0.0300889000, 0.0415880000, 0.0671324000, 0.1242576000", \
+                        "0.0214618000, 0.0224808000, 0.0247184000, 0.0298311000, 0.0413122000, 0.0666748000, 0.1241631000", \
+                        "0.0213697000, 0.0223914000, 0.0246852000, 0.0297651000, 0.0410355000, 0.0666059000, 0.1235866000", \
+                        "0.0215558000, 0.0225502000, 0.0248001000, 0.0298838000, 0.0415381000, 0.0666328000, 0.1238652000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0076335000, 0.0066295000, 0.0043907000, -0.000642900, -0.011968600, -0.037469700, -0.094917300", \
+                        "0.0076962000, 0.0067027000, 0.0044743000, -0.000529300, -0.011802300, -0.037296100, -0.094746400", \
+                        "0.0078558000, 0.0068779000, 0.0046771000, -0.000297300, -0.011508600, -0.036949500, -0.094312000", \
+                        "0.0075835000, 0.0065956000, 0.0044278000, -0.000492800, -0.011607500, -0.036976800, -0.094312900", \
+                        "0.0076475000, 0.0066783000, 0.0044629000, -0.000505900, -0.011917600, -0.037148100, -0.094338700", \
+                        "0.0082141000, 0.0072108000, 0.0049761000, 2.320000e-05, -0.011423300, -0.036887200, -0.094332600", \
+                        "0.0104270000, 0.0093551000, 0.0070069000, 0.0017269000, -0.010031900, -0.035761600, -0.093437300");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0191213000, 0.0201547000, 0.0224737000, 0.0276574000, 0.0391815000, 0.0645562000, 0.1218996000", \
+                        "0.0187518000, 0.0197560000, 0.0221517000, 0.0272985000, 0.0388998000, 0.0643722000, 0.1216919000", \
+                        "0.0184150000, 0.0194114000, 0.0217778000, 0.0269027000, 0.0384162000, 0.0640884000, 0.1211389000", \
+                        "0.0181649000, 0.0191547000, 0.0215042000, 0.0266030000, 0.0380941000, 0.0636854000, 0.1208614000", \
+                        "0.0179073000, 0.0189464000, 0.0211997000, 0.0263199000, 0.0376820000, 0.0632214000, 0.1203851000", \
+                        "0.0179127000, 0.0188916000, 0.0211581000, 0.0261939000, 0.0376541000, 0.0632177000, 0.1204888000", \
+                        "0.0184885000, 0.0194785000, 0.0216711000, 0.0266334000, 0.0379242000, 0.0631364000, 0.1205011000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0006149000, -0.000395700, -0.002676900, -0.007831600, -0.019354800, -0.045065300, -0.102640000", \
+                        "0.0003663000, -0.000628500, -0.002856700, -0.007898900, -0.019319200, -0.044916200, -0.102440000", \
+                        "-2.33000e-05, -0.000974600, -0.003155200, -0.008103100, -0.019365600, -0.044817000, -0.102252300", \
+                        "-0.000520400, -0.001401200, -0.003675800, -0.008466000, -0.019523400, -0.044841300, -0.102153600", \
+                        "-0.000576100, -0.001515600, -0.003656900, -0.008527500, -0.019618400, -0.045002400, -0.102195300", \
+                        "0.0001152000, -0.000874000, -0.003106700, -0.008411900, -0.019409000, -0.044749600, -0.102202300", \
+                        "0.0031988000, 0.0021786000, -0.000953500, -0.006409500, -0.018246400, -0.043900900, -0.101580900");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900");
+                    values("0.0178812000, 0.0189363000, 0.0213264000, 0.0266082000, 0.0380634000, 0.0637161000, 0.1208378000", \
+                        "0.0173390000, 0.0184400000, 0.0208636000, 0.0261402000, 0.0377266000, 0.0633700000, 0.1203746000", \
+                        "0.0170459000, 0.0181134000, 0.0204686000, 0.0256198000, 0.0373986000, 0.0630041000, 0.1202045000", \
+                        "0.0167815000, 0.0178156000, 0.0200932000, 0.0252549000, 0.0367066000, 0.0624425000, 0.1201492000", \
+                        "0.0169691000, 0.0179690000, 0.0201852000, 0.0252186000, 0.0365658000, 0.0620517000, 0.1195766000", \
+                        "0.0181963000, 0.0191985000, 0.0213997000, 0.0263195000, 0.0373943000, 0.0620773000, 0.1191686000", \
+                        "0.0205777000, 0.0215047000, 0.0235862000, 0.0283932000, 0.0392594000, 0.0640179000, 0.1198470000");
+                }
+            }
+            max_capacitance : 0.0640970000;
+            max_transition : 1.4876160000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0187713000, 0.0200900000, 0.0229711000, 0.0292571000, 0.0427578000, 0.0713906000, 0.1322000000", \
+                        "0.0242278000, 0.0254706000, 0.0282438000, 0.0343376000, 0.0476410000, 0.0760561000, 0.1367284000", \
+                        "0.0363310000, 0.0377925000, 0.0408142000, 0.0468365000, 0.0595051000, 0.0871717000, 0.1475017000", \
+                        "0.0542579000, 0.0564017000, 0.0609028000, 0.0697844000, 0.0857490000, 0.1143040000, 0.1737668000", \
+                        "0.0779258000, 0.0810440000, 0.0875971000, 0.1004099000, 0.1249966000, 0.1668213000, 0.2351737000", \
+                        "0.1005380000, 0.1052627000, 0.1152750000, 0.1350978000, 0.1713770000, 0.2362926000, 0.3406248000", \
+                        "0.1014119000, 0.1084063000, 0.1232528000, 0.1537416000, 0.2097768000, 0.3108372000, 0.4734844000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.2021592000, 0.2121610000, 0.2351810000, 0.2845141000, 0.3947034000, 0.6401782000, 1.1896456000", \
+                        "0.2050959000, 0.2153341000, 0.2379860000, 0.2879163000, 0.3988190000, 0.6451023000, 1.1950138000", \
+                        "0.2157930000, 0.2262210000, 0.2487763000, 0.2991486000, 0.4106315000, 0.6570174000, 1.2086372000", \
+                        "0.2420663000, 0.2525829000, 0.2748867000, 0.3246607000, 0.4346401000, 0.6815490000, 1.2336163000", \
+                        "0.2957066000, 0.3060008000, 0.3284738000, 0.3779119000, 0.4887682000, 0.7336964000, 1.2851961000", \
+                        "0.3877532000, 0.4000050000, 0.4246726000, 0.4793793000, 0.5930212000, 0.8400822000, 1.3896378000", \
+                        "0.5328565000, 0.5489976000, 0.5797829000, 0.6458979000, 0.7802328000, 1.0533104000, 1.6110900000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0182040000, 0.0199483000, 0.0238064000, 0.0320937000, 0.0495666000, 0.0867199000, 0.1658401000", \
+                        "0.0186333000, 0.0201621000, 0.0236441000, 0.0316034000, 0.0492148000, 0.0861039000, 0.1651121000", \
+                        "0.0245480000, 0.0255077000, 0.0279948000, 0.0343272000, 0.0496870000, 0.0855214000, 0.1650973000", \
+                        "0.0385216000, 0.0400451000, 0.0431988000, 0.0495000000, 0.0613917000, 0.0903246000, 0.1645469000", \
+                        "0.0631187000, 0.0652830000, 0.0697092000, 0.0788308000, 0.0956127000, 0.1242121000, 0.1829912000", \
+                        "0.1047386000, 0.1079451000, 0.1150845000, 0.1290019000, 0.1540257000, 0.1964730000, 0.2669154000", \
+                        "0.1787154000, 0.1842280000, 0.1957056000, 0.2174704000, 0.2566652000, 0.3201756000, 0.4228498000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1377540000, 0.1511649000, 0.1801583000, 0.2454214000, 0.3921349000, 0.7213515000, 1.4663045000", \
+                        "0.1377585000, 0.1511589000, 0.1802224000, 0.2454536000, 0.3922334000, 0.7210312000, 1.4586964000", \
+                        "0.1383394000, 0.1507140000, 0.1799706000, 0.2460100000, 0.3929798000, 0.7224180000, 1.4637810000", \
+                        "0.1379630000, 0.1509495000, 0.1802046000, 0.2454580000, 0.3920489000, 0.7218117000, 1.4621455000", \
+                        "0.1398204000, 0.1527884000, 0.1812334000, 0.2456763000, 0.3927944000, 0.7253197000, 1.4576160000", \
+                        "0.1628577000, 0.1754280000, 0.2034959000, 0.2652080000, 0.4030000000, 0.7252889000, 1.4585184000", \
+                        "0.2149677000, 0.2288669000, 0.2576873000, 0.3230915000, 0.4660621000, 0.7710041000, 1.4758222000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0205020000, 0.0218853000, 0.0249181000, 0.0313845000, 0.0449763000, 0.0734497000, 0.1342683000", \
+                        "0.0259000000, 0.0272146000, 0.0301221000, 0.0364345000, 0.0497432000, 0.0781231000, 0.1389796000", \
+                        "0.0379640000, 0.0394073000, 0.0424105000, 0.0484528000, 0.0613532000, 0.0891981000, 0.1499283000", \
+                        "0.0557492000, 0.0578314000, 0.0622166000, 0.0710625000, 0.0872769000, 0.1156249000, 0.1755679000", \
+                        "0.0769549000, 0.0800970000, 0.0867486000, 0.1001722000, 0.1246736000, 0.1674124000, 0.2366232000", \
+                        "0.0940972000, 0.0988874000, 0.1089853000, 0.1286616000, 0.1679591000, 0.2343822000, 0.3413634000", \
+                        "0.0837898000, 0.0912886000, 0.1071710000, 0.1388587000, 0.1986557000, 0.3032246000, 0.4711492000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1856914000, 0.1956623000, 0.2188012000, 0.2682820000, 0.3786415000, 0.6242029000, 1.1805115000", \
+                        "0.1872077000, 0.1974765000, 0.2203062000, 0.2705217000, 0.3816650000, 0.6280952000, 1.1785686000", \
+                        "0.1961708000, 0.2070160000, 0.2291097000, 0.2801662000, 0.3910944000, 0.6383251000, 1.1900632000", \
+                        "0.2206270000, 0.2310880000, 0.2532929000, 0.3035385000, 0.4137592000, 0.6610622000, 1.2139987000", \
+                        "0.2724156000, 0.2828647000, 0.3055573000, 0.3553229000, 0.4658849000, 0.7142763000, 1.2637343000", \
+                        "0.3610418000, 0.3731640000, 0.4004991000, 0.4574758000, 0.5758299000, 0.8222859000, 1.3731029000", \
+                        "0.5063613000, 0.5229732000, 0.5596443000, 0.6372055000, 0.7840609000, 1.0646799000, 1.6258637000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0193990000, 0.0211193000, 0.0247596000, 0.0325912000, 0.0492847000, 0.0852555000, 0.1650886000", \
+                        "0.0191599000, 0.0207267000, 0.0241798000, 0.0319687000, 0.0488397000, 0.0849134000, 0.1647702000", \
+                        "0.0237732000, 0.0247912000, 0.0273543000, 0.0336639000, 0.0487530000, 0.0845155000, 0.1646243000", \
+                        "0.0367554000, 0.0383124000, 0.0415527000, 0.0475787000, 0.0594834000, 0.0889983000, 0.1642646000", \
+                        "0.0591660000, 0.0614879000, 0.0661688000, 0.0762613000, 0.0921304000, 0.1230434000, 0.1821868000", \
+                        "0.0991136000, 0.1023327000, 0.1096919000, 0.1243890000, 0.1503944000, 0.1933836000, 0.2670345000", \
+                        "0.1711792000, 0.1768957000, 0.1884156000, 0.2117673000, 0.2516994000, 0.3179647000, 0.4275300000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1377950000, 0.1511312000, 0.1801559000, 0.2454065000, 0.3920954000, 0.7216397000, 1.4705931000", \
+                        "0.1377130000, 0.1511071000, 0.1801956000, 0.2453330000, 0.3919896000, 0.7222701000, 1.4617636000", \
+                        "0.1382779000, 0.1513673000, 0.1798752000, 0.2459108000, 0.3930725000, 0.7216129000, 1.4591206000", \
+                        "0.1380029000, 0.1509413000, 0.1800626000, 0.2459331000, 0.3932014000, 0.7216006000, 1.4588118000", \
+                        "0.1439691000, 0.1565999000, 0.1836523000, 0.2483049000, 0.3936408000, 0.7231271000, 1.4612626000", \
+                        "0.1762492000, 0.1892497000, 0.2174323000, 0.2770474000, 0.4104662000, 0.7261110000, 1.4612552000", \
+                        "0.2529504000, 0.2657997000, 0.2944827000, 0.3596138000, 0.4964409000, 0.7882297000, 1.4812508000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0216120000, 0.0228902000, 0.0255837000, 0.0314185000, 0.0438818000, 0.0707258000, 0.1296757000", \
+                        "0.0265603000, 0.0277878000, 0.0304701000, 0.0362375000, 0.0485719000, 0.0753766000, 0.1344037000", \
+                        "0.0375941000, 0.0390060000, 0.0419489000, 0.0477379000, 0.0599892000, 0.0865145000, 0.1455928000", \
+                        "0.0527664000, 0.0548507000, 0.0593239000, 0.0683520000, 0.0847373000, 0.1129640000, 0.1712950000", \
+                        "0.0688405000, 0.0722905000, 0.0794599000, 0.0933890000, 0.1188772000, 0.1624653000, 0.2322647000", \
+                        "0.0772723000, 0.0825109000, 0.0936068000, 0.1146846000, 0.1560001000, 0.2248066000, 0.3342603000", \
+                        "0.0512867000, 0.0596190000, 0.0771141000, 0.1117583000, 0.1762825000, 0.2857898000, 0.4588651000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1514140000, 0.1617506000, 0.1841764000, 0.2351577000, 0.3455518000, 0.5911918000, 1.1415748000", \
+                        "0.1527708000, 0.1629572000, 0.1860523000, 0.2360069000, 0.3476505000, 0.5943790000, 1.1465996000", \
+                        "0.1615051000, 0.1717103000, 0.1944209000, 0.2442186000, 0.3556051000, 0.6029989000, 1.1555557000", \
+                        "0.1862479000, 0.1965148000, 0.2189169000, 0.2688501000, 0.3795274000, 0.6260668000, 1.1784441000", \
+                        "0.2402174000, 0.2508022000, 0.2735293000, 0.3233146000, 0.4343078000, 0.6803584000, 1.2319756000", \
+                        "0.3344003000, 0.3485386000, 0.3786665000, 0.4402838000, 0.5633601000, 0.8108374000, 1.3668833000", \
+                        "0.4856856000, 0.5065109000, 0.5517989000, 0.6402815000, 0.8063080000, 1.1029881000, 1.6666297000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0169181000, 0.0183546000, 0.0214442000, 0.0283262000, 0.0438264000, 0.0786185000, 0.1570244000", \
+                        "0.0165705000, 0.0179177000, 0.0210230000, 0.0280774000, 0.0436480000, 0.0784455000, 0.1570508000", \
+                        "0.0206743000, 0.0216923000, 0.0240572000, 0.0298291000, 0.0440414000, 0.0784080000, 0.1566564000", \
+                        "0.0326995000, 0.0340038000, 0.0370449000, 0.0435538000, 0.0553304000, 0.0834811000, 0.1569914000", \
+                        "0.0533300000, 0.0555703000, 0.0603298000, 0.0703329000, 0.0873846000, 0.1188899000, 0.1764350000", \
+                        "0.0912437000, 0.0951218000, 0.1030981000, 0.1182261000, 0.1442502000, 0.1886292000, 0.2621644000", \
+                        "0.1622568000, 0.1682467000, 0.1805942000, 0.2041181000, 0.2468874000, 0.3134864000, 0.4211128000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1374286000, 0.1511236000, 0.1796196000, 0.2451614000, 0.3931216000, 0.7215971000, 1.4639783000", \
+                        "0.1375069000, 0.1510421000, 0.1801442000, 0.2453879000, 0.3932103000, 0.7215713000, 1.4641449000", \
+                        "0.1374815000, 0.1511065000, 0.1801194000, 0.2452506000, 0.3919482000, 0.7221174000, 1.4591633000", \
+                        "0.1373100000, 0.1509710000, 0.1800423000, 0.2460734000, 0.3930876000, 0.7218736000, 1.4611710000", \
+                        "0.1471619000, 0.1598649000, 0.1868355000, 0.2491729000, 0.3929941000, 0.7212250000, 1.4603294000", \
+                        "0.1911271000, 0.2042599000, 0.2322105000, 0.2898376000, 0.4188097000, 0.7279390000, 1.4661065000", \
+                        "0.2869661000, 0.3017702000, 0.3329722000, 0.4009302000, 0.5366951000, 0.8121874000, 1.4830173000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0169959000, 0.0181058000, 0.0205276000, 0.0257937000, 0.0373433000, 0.0630078000, 0.1203365000", \
+                        "0.0217372000, 0.0228528000, 0.0253060000, 0.0305594000, 0.0422276000, 0.0679012000, 0.1252925000", \
+                        "0.0300306000, 0.0317050000, 0.0351282000, 0.0416667000, 0.0535804000, 0.0793001000, 0.1364757000", \
+                        "0.0396244000, 0.0422153000, 0.0479001000, 0.0582509000, 0.0761745000, 0.1057016000, 0.1630036000", \
+                        "0.0472996000, 0.0515440000, 0.0601235000, 0.0766317000, 0.1053158000, 0.1516068000, 0.2235958000", \
+                        "0.0407721000, 0.0475951000, 0.0616035000, 0.0880176000, 0.1330436000, 0.2076655000, 0.3200743000", \
+                        "-0.009724200, 0.0008977000, 0.0228811000, 0.0659229000, 0.1400355000, 0.2590225000, 0.4370896000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0804117000, 0.0904259000, 0.1132455000, 0.1638580000, 0.2760722000, 0.5216027000, 1.0728735000", \
+                        "0.0806463000, 0.0904732000, 0.1138423000, 0.1641829000, 0.2763835000, 0.5238729000, 1.0759535000", \
+                        "0.0898037000, 0.0991102000, 0.1208590000, 0.1705456000, 0.2838461000, 0.5314615000, 1.0838892000", \
+                        "0.1169143000, 0.1252247000, 0.1453078000, 0.1934513000, 0.3040330000, 0.5540701000, 1.1056482000", \
+                        "0.1792698000, 0.1890038000, 0.2110261000, 0.2552701000, 0.3620110000, 0.6088967000, 1.1719743000", \
+                        "0.2767812000, 0.2923296000, 0.3247462000, 0.3883180000, 0.5075718000, 0.7424387000, 1.2969893000", \
+                        "0.4403280000, 0.4620364000, 0.5073551000, 0.5990093000, 0.7709046000, 1.0725857000, 1.6123999000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.0109336000, 0.0122294000, 0.0152554000, 0.0220382000, 0.0373313000, 0.0716860000, 0.1493370000", \
+                        "0.0115174000, 0.0127082000, 0.0154696000, 0.0220214000, 0.0370662000, 0.0710881000, 0.1476030000", \
+                        "0.0174120000, 0.0186324000, 0.0208857000, 0.0256597000, 0.0387168000, 0.0711522000, 0.1477194000", \
+                        "0.0286262000, 0.0307237000, 0.0335920000, 0.0401526000, 0.0532302000, 0.0784603000, 0.1496304000", \
+                        "0.0492103000, 0.0510806000, 0.0563373000, 0.0664338000, 0.0850067000, 0.1146736000, 0.1697201000", \
+                        "0.0865155000, 0.0905923000, 0.0995723000, 0.1156436000, 0.1414324000, 0.1860490000, 0.2580700000", \
+                        "0.1581574000, 0.1660289000, 0.1798481000, 0.2021549000, 0.2429249000, 0.3107040000, 0.4144504000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000");
+                    values("0.1280252000, 0.1418451000, 0.1737747000, 0.2431621000, 0.3923805000, 0.7227355000, 1.4632247000", \
+                        "0.1259774000, 0.1401429000, 0.1724934000, 0.2422378000, 0.3923987000, 0.7216677000, 1.4588630000", \
+                        "0.1227664000, 0.1376401000, 0.1696940000, 0.2406568000, 0.3926013000, 0.7211817000, 1.4614154000", \
+                        "0.1219119000, 0.1349427000, 0.1654521000, 0.2359255000, 0.3902280000, 0.7221867000, 1.4617676000", \
+                        "0.1454235000, 0.1568292000, 0.1827375000, 0.2423129000, 0.3876195000, 0.7203104000, 1.4687728000", \
+                        "0.1874968000, 0.2026039000, 0.2343778000, 0.3018862000, 0.4231266000, 0.7254627000, 1.4648500000", \
+                        "0.2683465000, 0.2865665000, 0.3270896000, 0.4068787000, 0.5633975000, 0.8439540000, 1.4876161000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__nor4_4") {
+        leakage_power () {
+            value : 0.0041413000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0089600000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0002945000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0026775000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0002967000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0025928000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001617000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0003091000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0002871000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0024317000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0001565000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0002989000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0001561000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0003195000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0169857000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0001781000;
+            when : "A&B&C&!D";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__nor4";
+        cell_leakage_power : 0.0025154460;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086290000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0081520000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0139736000, 0.0139653000, 0.0139464000, 0.0139425000, 0.0139336000, 0.0139130000, 0.0138654000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013126100, -0.013268400, -0.013596500, -0.013663900, -0.013819400, -0.014177600, -0.015003400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091060000;
+        }
+        pin ("B") {
+            capacitance : 0.0085660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0205394000, 0.0205346000, 0.0205236000, 0.0205256000, 0.0205301000, 0.0205404000, 0.0205641000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013206000, -0.013288200, -0.013477800, -0.013550800, -0.013719100, -0.014107000, -0.015001200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091700000;
+        }
+        pin ("C") {
+            capacitance : 0.0083640000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0076970000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0165717000, 0.0165649000, 0.0165492000, 0.0165512000, 0.0165559000, 0.0165667000, 0.0165917000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.013817000, -0.014080300, -0.014687200, -0.014716200, -0.014782900, -0.014936700, -0.015291100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090310000;
+        }
+        pin ("D") {
+            capacitance : 0.0085430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0071704000, 0.0071577000, 0.0071283000, 0.0071537000, 0.0072123000, 0.0073472000, 0.0076583000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.006809800, -0.006818000, -0.006836900, -0.006834000, -0.006827200, -0.006811600, -0.006775700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0092980000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B&!C&!D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0258536000, 0.0246675000, 0.0217951000, 0.0145956000, -0.003172300, -0.046845800, -0.154350300", \
+                        "0.0255606000, 0.0243752000, 0.0214335000, 0.0142787000, -0.003480400, -0.047186700, -0.154671200", \
+                        "0.0253191000, 0.0241583000, 0.0213516000, 0.0140476000, -0.003739200, -0.047392000, -0.154894000", \
+                        "0.0246075000, 0.0234827000, 0.0206176000, 0.0135902000, -0.004173900, -0.047836700, -0.155355600", \
+                        "0.0242454000, 0.0230725000, 0.0201942000, 0.0130485000, -0.004343300, -0.048061600, -0.155483400", \
+                        "0.0258922000, 0.0246844000, 0.0217390000, 0.0144945000, -0.003275400, -0.047504900, -0.154733300", \
+                        "0.0316230000, 0.0303862000, 0.0273510000, 0.0200466000, 0.0021243000, -0.042851700, -0.152236800");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0568994000, 0.0581136000, 0.0610980000, 0.0683895000, 0.0861611000, 0.1297799000, 0.2364492000", \
+                        "0.0561970000, 0.0574093000, 0.0604668000, 0.0677914000, 0.0856868000, 0.1295917000, 0.2364734000", \
+                        "0.0556635000, 0.0567906000, 0.0598544000, 0.0672150000, 0.0851368000, 0.1289659000, 0.2369291000", \
+                        "0.0552329000, 0.0564561000, 0.0593433000, 0.0666809000, 0.0846081000, 0.1287856000, 0.2359779000", \
+                        "0.0548319000, 0.0560244000, 0.0589427000, 0.0662090000, 0.0839013000, 0.1276851000, 0.2353038000", \
+                        "0.0546776000, 0.0558880000, 0.0587411000, 0.0659636000, 0.0836877000, 0.1276639000, 0.2347430000", \
+                        "0.0547155000, 0.0559366000, 0.0588459000, 0.0660513000, 0.0841257000, 0.1271616000, 0.2347138000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0201957000, 0.0190150000, 0.0161015000, 0.0088895000, -0.008836400, -0.052396900, -0.160228400", \
+                        "0.0201400000, 0.0189480000, 0.0160408000, 0.0088509000, -0.008871200, -0.052406800, -0.160160000", \
+                        "0.0200465000, 0.0188703000, 0.0159483000, 0.0087606000, -0.008963600, -0.052492400, -0.160263600", \
+                        "0.0193000000, 0.0181201000, 0.0153573000, 0.0082912000, -0.009390900, -0.052747400, -0.160502300", \
+                        "0.0188840000, 0.0177187000, 0.0148436000, 0.0076942000, -0.009857300, -0.052986900, -0.160555000", \
+                        "0.0208977000, 0.0196907000, 0.0168061000, 0.0097550000, -0.008386900, -0.051843500, -0.160062000", \
+                        "0.0257932000, 0.0244995000, 0.0214592000, 0.0141110000, -0.004139400, -0.048523000, -0.157560600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0418712000, 0.0431004000, 0.0461302000, 0.0534739000, 0.0712791000, 0.1149089000, 0.2221098000", \
+                        "0.0410878000, 0.0423052000, 0.0453518000, 0.0529086000, 0.0710503000, 0.1150721000, 0.2219309000", \
+                        "0.0403595000, 0.0416194000, 0.0445829000, 0.0519048000, 0.0700102000, 0.1140205000, 0.2217857000", \
+                        "0.0398668000, 0.0411129000, 0.0440833000, 0.0513871000, 0.0692065000, 0.1132726000, 0.2210306000", \
+                        "0.0394266000, 0.0406540000, 0.0435935000, 0.0507979000, 0.0688461000, 0.1123686000, 0.2201341000", \
+                        "0.0393214000, 0.0405284000, 0.0435511000, 0.0506692000, 0.0683765000, 0.1122321000, 0.2195484000", \
+                        "0.0394760000, 0.0406609000, 0.0435315000, 0.0508074000, 0.0687894000, 0.1121211000, 0.2193161000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0151695000, 0.0139835000, 0.0110700000, 0.0038854000, -0.013791000, -0.057472300, -0.165693800", \
+                        "0.0153108000, 0.0141387000, 0.0112399000, 0.0040888000, -0.013503500, -0.057156000, -0.165345600", \
+                        "0.0156310000, 0.0144686000, 0.0116086000, 0.0045541000, -0.012963000, -0.056504200, -0.164545000", \
+                        "0.0150195000, 0.0139297000, 0.0111226000, 0.0041533000, -0.013153700, -0.056518100, -0.164483300", \
+                        "0.0153607000, 0.0142155000, 0.0113771000, 0.0042743000, -0.013485400, -0.056781400, -0.164544000", \
+                        "0.0164597000, 0.0152759000, 0.0123571000, 0.0053862000, -0.012897800, -0.055857900, -0.164305900", \
+                        "0.0204215000, 0.0191836000, 0.0160917000, 0.0085952000, -0.009594900, -0.053851300, -0.162710700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0364060000, 0.0376655000, 0.0407342000, 0.0481260000, 0.0660603000, 0.1097205000, 0.2168759000", \
+                        "0.0355367000, 0.0367814000, 0.0398679000, 0.0473158000, 0.0654474000, 0.1093261000, 0.2167118000", \
+                        "0.0348295000, 0.0360504000, 0.0391555000, 0.0464541000, 0.0645920000, 0.1086825000, 0.2164088000", \
+                        "0.0344173000, 0.0356609000, 0.0385694000, 0.0458242000, 0.0637399000, 0.1079265000, 0.2158656000", \
+                        "0.0339125000, 0.0351212000, 0.0381331000, 0.0453186000, 0.0633486000, 0.1069773000, 0.2148989000", \
+                        "0.0339676000, 0.0350744000, 0.0380269000, 0.0452673000, 0.0630572000, 0.1067641000, 0.2148413000", \
+                        "0.0352447000, 0.0363975000, 0.0392781000, 0.0462103000, 0.0639357000, 0.1068490000, 0.2147592000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0020474000, 0.0008672000, -0.002068500, -0.009367400, -0.027435800, -0.071628900, -0.180092500", \
+                        "0.0015703000, 0.0004395000, -0.002438400, -0.009592900, -0.027414900, -0.071374100, -0.179722000", \
+                        "0.0007928000, -0.000329300, -0.003133800, -0.010131100, -0.027610300, -0.071204900, -0.179324100", \
+                        "-0.000324800, -0.001421200, -0.004120600, -0.010896900, -0.028061800, -0.071341400, -0.179173800", \
+                        "-0.000270800, -0.001399500, -0.004199400, -0.010879400, -0.028057000, -0.071574700, -0.179231400", \
+                        "0.0009318000, -0.000168100, -0.002994400, -0.010832800, -0.028448600, -0.071758400, -0.179181100", \
+                        "0.0063932000, 0.0039648000, 0.0008123000, -0.007008900, -0.025627700, -0.069590000, -0.177931800");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
+                    values("0.0363275000, 0.0376833000, 0.0408159000, 0.0484411000, 0.0665670000, 0.1104309000, 0.2181026000", \
+                        "0.0353127000, 0.0365789000, 0.0397452000, 0.0473135000, 0.0658773000, 0.1098842000, 0.2176014000", \
+                        "0.0345309000, 0.0357975000, 0.0388462000, 0.0464790000, 0.0647453000, 0.1090096000, 0.2180210000", \
+                        "0.0343549000, 0.0355494000, 0.0385228000, 0.0458748000, 0.0638758000, 0.1081298000, 0.2158983000", \
+                        "0.0345560000, 0.0356289000, 0.0384902000, 0.0459951000, 0.0633223000, 0.1071781000, 0.2150072000", \
+                        "0.0373415000, 0.0385124000, 0.0413760000, 0.0476575000, 0.0650933000, 0.1077903000, 0.2150446000", \
+                        "0.0409639000, 0.0420970000, 0.0448032000, 0.0514045000, 0.0683057000, 0.1103649000, 0.2158137000");
+                }
+            }
+            max_capacitance : 0.1126200000;
+            max_transition : 1.4888180000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0193964000, 0.0202370000, 0.0223514000, 0.0274228000, 0.0392381000, 0.0667027000, 0.1301931000", \
+                        "0.0247133000, 0.0255499000, 0.0275593000, 0.0324276000, 0.0440852000, 0.0712996000, 0.1345911000", \
+                        "0.0364115000, 0.0374181000, 0.0397948000, 0.0446742000, 0.0556589000, 0.0823060000, 0.1451904000", \
+                        "0.0534250000, 0.0548221000, 0.0582012000, 0.0655158000, 0.0805144000, 0.1087747000, 0.1707596000", \
+                        "0.0747592000, 0.0767650000, 0.0814943000, 0.0923522000, 0.1146586000, 0.1563677000, 0.2298305000", \
+                        "0.0935944000, 0.0965953000, 0.1036819000, 0.1196564000, 0.1530284000, 0.2158915000, 0.3271649000", \
+                        "0.0828202000, 0.0873171000, 0.0979523000, 0.1220692000, 0.1718244000, 0.2709675000, 0.4434555000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.2157051000, 0.2223377000, 0.2387324000, 0.2797581000, 0.3770114000, 0.6151051000, 1.2015151000", \
+                        "0.2181038000, 0.2248109000, 0.2418281000, 0.2828819000, 0.3817732000, 0.6210371000, 1.2076589000", \
+                        "0.2290101000, 0.2355684000, 0.2524831000, 0.2931838000, 0.3916164000, 0.6350207000, 1.2220954000", \
+                        "0.2549880000, 0.2620093000, 0.2788463000, 0.3189234000, 0.4177814000, 0.6575551000, 1.2473347000", \
+                        "0.3099524000, 0.3166008000, 0.3324913000, 0.3727015000, 0.4708845000, 0.7103442000, 1.2991488000", \
+                        "0.4066977000, 0.4137463000, 0.4321690000, 0.4768357000, 0.5783118000, 0.8183057000, 1.4111032000", \
+                        "0.5637575000, 0.5730733000, 0.5953374000, 0.6484591000, 0.7682323000, 1.0355933000, 1.6304902000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0204699000, 0.0216928000, 0.0247275000, 0.0318782000, 0.0488783000, 0.0879051000, 0.1778832000", \
+                        "0.0206207000, 0.0218017000, 0.0245538000, 0.0314307000, 0.0483457000, 0.0873167000, 0.1779467000", \
+                        "0.0262347000, 0.0271475000, 0.0294873000, 0.0348189000, 0.0492424000, 0.0864460000, 0.1775064000", \
+                        "0.0398617000, 0.0409330000, 0.0434214000, 0.0496515000, 0.0617239000, 0.0925948000, 0.1772074000", \
+                        "0.0640157000, 0.0655679000, 0.0691402000, 0.0767606000, 0.0932471000, 0.1252015000, 0.1965112000", \
+                        "0.1058031000, 0.1081279000, 0.1135441000, 0.1241585000, 0.1468419000, 0.1911147000, 0.2757500000", \
+                        "0.1784763000, 0.1819669000, 0.1903398000, 0.2086242000, 0.2441687000, 0.3096373000, 0.4254224000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1471014000, 0.1557794000, 0.1760432000, 0.2293268000, 0.3595388000, 0.6792555000, 1.4712923000", \
+                        "0.1472028000, 0.1559224000, 0.1772182000, 0.2293676000, 0.3595126000, 0.6793623000, 1.4629895000", \
+                        "0.1468696000, 0.1560581000, 0.1766923000, 0.2296580000, 0.3590153000, 0.6801698000, 1.4687057000", \
+                        "0.1470615000, 0.1556080000, 0.1775881000, 0.2297089000, 0.3600041000, 0.6793510000, 1.4642157000", \
+                        "0.1483388000, 0.1569298000, 0.1777967000, 0.2301762000, 0.3592500000, 0.6785599000, 1.4652298000", \
+                        "0.1703348000, 0.1787398000, 0.1990188000, 0.2486714000, 0.3701452000, 0.6820695000, 1.4680837000", \
+                        "0.2190299000, 0.2276419000, 0.2478266000, 0.3005848000, 0.4281617000, 0.7278557000, 1.4819532000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0212197000, 0.0221721000, 0.0243960000, 0.0296707000, 0.0417172000, 0.0692400000, 0.1328612000", \
+                        "0.0264733000, 0.0273474000, 0.0295051000, 0.0346107000, 0.0465360000, 0.0738662000, 0.1373183000", \
+                        "0.0382906000, 0.0392549000, 0.0414879000, 0.0464551000, 0.0577554000, 0.0846028000, 0.1480137000", \
+                        "0.0548283000, 0.0562301000, 0.0594764000, 0.0668769000, 0.0819777000, 0.1101630000, 0.1725815000", \
+                        "0.0731851000, 0.0752699000, 0.0801991000, 0.0913982000, 0.1135221000, 0.1565475000, 0.2312242000", \
+                        "0.0831566000, 0.0862820000, 0.0936078000, 0.1096746000, 0.1454118000, 0.2107256000, 0.3255069000", \
+                        "0.0561493000, 0.0606212000, 0.0720514000, 0.0979217000, 0.1522031000, 0.2552490000, 0.4348051000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.2007191000, 0.2074685000, 0.2240368000, 0.2654947000, 0.3633754000, 0.6015873000, 1.1882382000", \
+                        "0.2014680000, 0.2085743000, 0.2251251000, 0.2667724000, 0.3665485000, 0.6078115000, 1.1919701000", \
+                        "0.2105524000, 0.2171880000, 0.2339913000, 0.2746164000, 0.3737511000, 0.6145652000, 1.2082482000", \
+                        "0.2352427000, 0.2421349000, 0.2587085000, 0.2992333000, 0.3981374000, 0.6383643000, 1.2278650000", \
+                        "0.2887728000, 0.2957519000, 0.3124322000, 0.3527074000, 0.4514963000, 0.6907956000, 1.2801494000", \
+                        "0.3835336000, 0.3915073000, 0.4112180000, 0.4583033000, 0.5644271000, 0.8048086000, 1.3932882000", \
+                        "0.5409192000, 0.5520773000, 0.5788349000, 0.6419716000, 0.7730525000, 1.0507950000, 1.6502183000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0214002000, 0.0225405000, 0.0252722000, 0.0319387000, 0.0473617000, 0.0835541000, 0.1716677000", \
+                        "0.0209750000, 0.0220265000, 0.0246250000, 0.0311299000, 0.0467703000, 0.0832944000, 0.1714104000", \
+                        "0.0254215000, 0.0262074000, 0.0280637000, 0.0333234000, 0.0471840000, 0.0826224000, 0.1712895000", \
+                        "0.0376065000, 0.0386838000, 0.0414738000, 0.0469998000, 0.0589988000, 0.0884555000, 0.1708772000", \
+                        "0.0599613000, 0.0612903000, 0.0660632000, 0.0728643000, 0.0891194000, 0.1211973000, 0.1908433000", \
+                        "0.0994359000, 0.1015590000, 0.1070380000, 0.1190263000, 0.1431604000, 0.1888305000, 0.2715039000", \
+                        "0.1703333000, 0.1750433000, 0.1835578000, 0.2020261000, 0.2391993000, 0.3061655000, 0.4259288000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1470063000, 0.1557003000, 0.1760016000, 0.2292738000, 0.3594780000, 0.6787073000, 1.4625005000", \
+                        "0.1466805000, 0.1557131000, 0.1771308000, 0.2299596000, 0.3600965000, 0.6822220000, 1.4648662000", \
+                        "0.1468064000, 0.1554268000, 0.1765428000, 0.2294096000, 0.3590177000, 0.6782149000, 1.4682039000", \
+                        "0.1470143000, 0.1556046000, 0.1768987000, 0.2297196000, 0.3594739000, 0.6786770000, 1.4640581000", \
+                        "0.1514297000, 0.1594034000, 0.1798394000, 0.2311494000, 0.3600773000, 0.6783745000, 1.4641387000", \
+                        "0.1835499000, 0.1921497000, 0.2125530000, 0.2597398000, 0.3777193000, 0.6835392000, 1.4641912000", \
+                        "0.2568987000, 0.2657791000, 0.2866783000, 0.3385827000, 0.4605740000, 0.7464262000, 1.4870626000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0216729000, 0.0225063000, 0.0244658000, 0.0291382000, 0.0399077000, 0.0651272000, 0.1256967000", \
+                        "0.0264791000, 0.0272791000, 0.0292420000, 0.0338567000, 0.0445712000, 0.0697247000, 0.1303019000", \
+                        "0.0370599000, 0.0380074000, 0.0402478000, 0.0450609000, 0.0555991000, 0.0805266000, 0.1411087000", \
+                        "0.0510705000, 0.0525640000, 0.0559145000, 0.0632026000, 0.0780945000, 0.1061548000, 0.1660976000", \
+                        "0.0642806000, 0.0664421000, 0.0715440000, 0.0830597000, 0.1063718000, 0.1498335000, 0.2243730000", \
+                        "0.0649452000, 0.0683427000, 0.0761926000, 0.0930474000, 0.1311225000, 0.1987648000, 0.3155671000", \
+                        "0.0214212000, 0.0267248000, 0.0394508000, 0.0673107000, 0.1257935000, 0.2345920000, 0.4183102000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1606854000, 0.1674417000, 0.1841279000, 0.2257041000, 0.3243719000, 0.5628746000, 1.1496002000", \
+                        "0.1607507000, 0.1674475000, 0.1845295000, 0.2258134000, 0.3251469000, 0.5655953000, 1.1537217000", \
+                        "0.1687135000, 0.1753466000, 0.1925603000, 0.2332726000, 0.3324687000, 0.5739989000, 1.1632834000", \
+                        "0.1935081000, 0.2002329000, 0.2164628000, 0.2573327000, 0.3571456000, 0.5963337000, 1.1863973000", \
+                        "0.2484653000, 0.2554921000, 0.2722799000, 0.3128889000, 0.4118929000, 0.6506989000, 1.2401521000", \
+                        "0.3469006000, 0.3561340000, 0.3779904000, 0.4289335000, 0.5402631000, 0.7832817000, 1.3783603000", \
+                        "0.5134955000, 0.5273993000, 0.5592642000, 0.6312767000, 0.7802052000, 1.0730298000, 1.6782256000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0174449000, 0.0183922000, 0.0206117000, 0.0261409000, 0.0395629000, 0.0728496000, 0.1556640000", \
+                        "0.0171149000, 0.0179869000, 0.0201753000, 0.0257964000, 0.0393944000, 0.0728523000, 0.1557998000", \
+                        "0.0212648000, 0.0219881000, 0.0236047000, 0.0281575000, 0.0402865000, 0.0726890000, 0.1554258000", \
+                        "0.0326310000, 0.0335113000, 0.0358816000, 0.0413183000, 0.0531065000, 0.0796627000, 0.1560818000", \
+                        "0.0530578000, 0.0546040000, 0.0582501000, 0.0666165000, 0.0826685000, 0.1148760000, 0.1777592000", \
+                        "0.0906204000, 0.0931699000, 0.0990344000, 0.1117756000, 0.1360147000, 0.1820826000, 0.2615011000", \
+                        "0.1603888000, 0.1643540000, 0.1733663000, 0.1927346000, 0.2323397000, 0.3002042000, 0.4172471000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1468896000, 0.1555396000, 0.1759198000, 0.2292294000, 0.3595376000, 0.6786939000, 1.4673825000", \
+                        "0.1468821000, 0.1553313000, 0.1770245000, 0.2288603000, 0.3589142000, 0.6778590000, 1.4659506000", \
+                        "0.1468382000, 0.1553025000, 0.1766459000, 0.2292690000, 0.3585940000, 0.6778250000, 1.4624771000", \
+                        "0.1463126000, 0.1549603000, 0.1768179000, 0.2292344000, 0.3595745000, 0.6794166000, 1.4629861000", \
+                        "0.1560615000, 0.1643192000, 0.1839754000, 0.2333342000, 0.3606122000, 0.6779409000, 1.4631959000", \
+                        "0.1979907000, 0.2062877000, 0.2276539000, 0.2752711000, 0.3864913000, 0.6871141000, 1.4698061000", \
+                        "0.2918360000, 0.3011892000, 0.3235449000, 0.3778484000, 0.4986511000, 0.7686763000, 1.4848925000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0164159000, 0.0171596000, 0.0188569000, 0.0229135000, 0.0326080000, 0.0558092000, 0.1123402000", \
+                        "0.0210773000, 0.0218084000, 0.0235560000, 0.0276065000, 0.0373197000, 0.0605084000, 0.1175268000", \
+                        "0.0289974000, 0.0301058000, 0.0326460000, 0.0380456000, 0.0485006000, 0.0715594000, 0.1284588000", \
+                        "0.0376951000, 0.0394226000, 0.0433678000, 0.0518780000, 0.0684435000, 0.0974589000, 0.1543048000", \
+                        "0.0429256000, 0.0455104000, 0.0518244000, 0.0651084000, 0.0913644000, 0.1371303000, 0.2129550000", \
+                        "0.0308211000, 0.0351600000, 0.0450799000, 0.0670899000, 0.1089847000, 0.1824462000, 0.2995009000", \
+                        "-0.032950500, -0.026249000, -0.010231100, 0.0249926000, 0.0926665000, 0.2101262000, 0.3988873000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0869582000, 0.0936298000, 0.1108970000, 0.1522951000, 0.2524986000, 0.4921450000, 1.0837348000", \
+                        "0.0866143000, 0.0933467000, 0.1099740000, 0.1510310000, 0.2525602000, 0.4943553000, 1.0828911000", \
+                        "0.0948040000, 0.1011967000, 0.1173059000, 0.1579510000, 0.2576952000, 0.5004837000, 1.0980566000", \
+                        "0.1227608000, 0.1282550000, 0.1427657000, 0.1813988000, 0.2794211000, 0.5239660000, 1.1120217000", \
+                        "0.1881298000, 0.1950101000, 0.2102194000, 0.2459316000, 0.3373394000, 0.5763717000, 1.1675954000", \
+                        "0.2933446000, 0.3034437000, 0.3272358000, 0.3789323000, 0.4876655000, 0.7161398000, 1.3117915000", \
+                        "0.4714776000, 0.4862213000, 0.5195100000, 0.5942608000, 0.7505704000, 1.0471086000, 1.6296657000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.0107609000, 0.0115777000, 0.0136564000, 0.0187260000, 0.0311013000, 0.0620105000, 0.1391110000", \
+                        "0.0113974000, 0.0120853000, 0.0139551000, 0.0187453000, 0.0311910000, 0.0624330000, 0.1380273000", \
+                        "0.0172111000, 0.0179458000, 0.0196500000, 0.0232600000, 0.0333000000, 0.0625213000, 0.1393195000", \
+                        "0.0283588000, 0.0294997000, 0.0316299000, 0.0370721000, 0.0484861000, 0.0709437000, 0.1396297000", \
+                        "0.0483265000, 0.0495506000, 0.0531997000, 0.0615611000, 0.0779724000, 0.1077036000, 0.1633238000", \
+                        "0.0854376000, 0.0881445000, 0.0941730000, 0.1070071000, 0.1321546000, 0.1740435000, 0.2517621000", \
+                        "0.1572322000, 0.1608975000, 0.1717755000, 0.1919131000, 0.2270973000, 0.2934396000, 0.4047209000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
+                    values("0.1369178000, 0.1460602000, 0.1696259000, 0.2252919000, 0.3581626000, 0.6784678000, 1.4686953000", \
+                        "0.1345313000, 0.1443000000, 0.1675846000, 0.2243238000, 0.3587755000, 0.6783206000, 1.4641419000", \
+                        "0.1310881000, 0.1409326000, 0.1644384000, 0.2220676000, 0.3578731000, 0.6782066000, 1.4767428000", \
+                        "0.1289892000, 0.1380813000, 0.1609174000, 0.2169620000, 0.3562587000, 0.6807450000, 1.4711325000", \
+                        "0.1516510000, 0.1586596000, 0.1781801000, 0.2257350000, 0.3515012000, 0.6788178000, 1.4637934000", \
+                        "0.1933094000, 0.2037902000, 0.2275826000, 0.2841264000, 0.3920864000, 0.6827688000, 1.4695545000", \
+                        "0.2750249000, 0.2872869000, 0.3156812000, 0.3820756000, 0.5217672000, 0.8019726000, 1.4888180000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__nor4b_1 */
+
+/* removed sky130_fd_sc_hd__nor4b_2 */
+
+/* removed sky130_fd_sc_hd__nor4b_4 */
+
+/* removed sky130_fd_sc_hd__nor4bb_1 */
+
+/* removed sky130_fd_sc_hd__nor4bb_2 */
+
+/* removed sky130_fd_sc_hd__nor4bb_4 */
+
+/* removed sky130_fd_sc_hd__o2111a_1 */
+
+/* removed sky130_fd_sc_hd__o2111a_2 */
+
+/* removed sky130_fd_sc_hd__o2111a_4 */
+
+/* removed sky130_fd_sc_hd__o2111ai_1 */
+
+/* removed sky130_fd_sc_hd__o2111ai_2 */
+
+/* removed sky130_fd_sc_hd__o2111ai_4 */
+
+/* removed sky130_fd_sc_hd__o211a_1 */
+
+/* removed sky130_fd_sc_hd__o211a_2 */
+
+/* removed sky130_fd_sc_hd__o211a_4 */
+
+/* removed sky130_fd_sc_hd__o211ai_1 */
+
+/* removed sky130_fd_sc_hd__o211ai_2 */
+
+/* removed sky130_fd_sc_hd__o211ai_4 */
+
+/* removed sky130_fd_sc_hd__o21a_1 */
+
+/* removed sky130_fd_sc_hd__o21a_2 */
+
+    cell ("sky130_fd_sc_hd__o21a_4") {
+        leakage_power () {
+            value : 0.0051880000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0039272000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0037499000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0039146000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0026220000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0046044000;
+            when : "A1&A2&!B1";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__o21a";
+        cell_leakage_power : 0.0041518770;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0048480000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046190000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0081779000, 0.0081694000, 0.0081499000, 0.0081509000, 0.0081531000, 0.0081583000, 0.0081702000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008139400, -0.008136500, -0.008129700, -0.008131200, -0.008134600, -0.008142400, -0.008160400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050770000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044330000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041180000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075832000, 0.0075840000, 0.0075857000, 0.0075877000, 0.0075922000, 0.0076026000, 0.0076266000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007574500, -0.007573700, -0.007571700, -0.007573300, -0.007577100, -0.007585900, -0.007606200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047490000;
+        }
+        pin ("B1") {
+            capacitance : 0.0045100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043320000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0093113000, 0.0093097000, 0.0093062000, 0.0093028000, 0.0092949000, 0.0092768000, 0.0092351000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.004740500, -0.004749600, -0.004770600, -0.004747300, -0.004693700, -0.004570200, -0.004285500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046890000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0349028000, 0.0331402000, 0.0279697000, 0.0133849000, -0.038846400, -0.215411900, -0.780559600", \
+                        "0.0343731000, 0.0328957000, 0.0278629000, 0.0131828000, -0.039086500, -0.215625100, -0.780835900", \
+                        "0.0343512000, 0.0325940000, 0.0274996000, 0.0128293000, -0.039413500, -0.215928400, -0.781125400", \
+                        "0.0338105000, 0.0321305000, 0.0271300000, 0.0122806000, -0.039942800, -0.216308200, -0.781469200", \
+                        "0.0336993000, 0.0319363000, 0.0268497000, 0.0119352000, -0.040334100, -0.216731800, -0.781724400", \
+                        "0.0328575000, 0.0310351000, 0.0254072000, 0.0108969000, -0.040757900, -0.216987400, -0.781936400", \
+                        "0.0405978000, 0.0386626000, 0.0328660000, 0.0151461000, -0.040474700, -0.217009500, -0.781222000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0344488000, 0.0363426000, 0.0424278000, 0.0610558000, 0.1178451000, 0.2949718000, 0.8542011000", \
+                        "0.0341556000, 0.0361253000, 0.0422117000, 0.0608381000, 0.1176249000, 0.2948226000, 0.8540360000", \
+                        "0.0338866000, 0.0358399000, 0.0419299000, 0.0605367000, 0.1173418000, 0.2944585000, 0.8539235000", \
+                        "0.0336399000, 0.0355600000, 0.0415777000, 0.0601399000, 0.1168501000, 0.2940188000, 0.8535667000", \
+                        "0.0332854000, 0.0352010000, 0.0411113000, 0.0595469000, 0.1162195000, 0.2935417000, 0.8529137000", \
+                        "0.0340778000, 0.0358745000, 0.0415579000, 0.0595313000, 0.1155557000, 0.2921790000, 0.8515688000", \
+                        "0.0356085000, 0.0373480000, 0.0428863000, 0.0606354000, 0.1171346000, 0.2940427000, 0.8513857000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0305294000, 0.0287249000, 0.0236549000, 0.0087595000, -0.043442400, -0.219717000, -0.784948000", \
+                        "0.0299549000, 0.0283017000, 0.0231859000, 0.0084876000, -0.043691600, -0.220044300, -0.785196600", \
+                        "0.0297775000, 0.0279660000, 0.0228584000, 0.0081665000, -0.044066300, -0.220469500, -0.785549800", \
+                        "0.0293841000, 0.0276790000, 0.0225608000, 0.0078522000, -0.044409000, -0.220781500, -0.785836000", \
+                        "0.0291426000, 0.0273972000, 0.0222902000, 0.0073664000, -0.044507000, -0.221106900, -0.786117800", \
+                        "0.0292481000, 0.0275666000, 0.0221533000, 0.0071859000, -0.044924500, -0.221091700, -0.785780000", \
+                        "0.0393491000, 0.0373496000, 0.0311361000, 0.0131061000, -0.042714600, -0.218760900, -0.783506900");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0312794000, 0.0331467000, 0.0392206000, 0.0579581000, 0.1148050000, 0.2915096000, 0.8511920000", \
+                        "0.0311978000, 0.0331274000, 0.0391970000, 0.0579636000, 0.1147774000, 0.2915987000, 0.8478284000", \
+                        "0.0309205000, 0.0328365000, 0.0389439000, 0.0576620000, 0.1145166000, 0.2918043000, 0.8483428000", \
+                        "0.0304083000, 0.0323401000, 0.0383697000, 0.0569140000, 0.1137636000, 0.2910374000, 0.8469606000", \
+                        "0.0300034000, 0.0318251000, 0.0378375000, 0.0562372000, 0.1126580000, 0.2902981000, 0.8516763000", \
+                        "0.0305780000, 0.0323726000, 0.0380586000, 0.0560480000, 0.1121007000, 0.2887010000, 0.8500587000", \
+                        "0.0323767000, 0.0341036000, 0.0396439000, 0.0573750000, 0.1134528000, 0.2903706000, 0.8473345000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0247369000, 0.0235843000, 0.0195587000, 0.0055707000, -0.047762100, -0.225504900, -0.791192600", \
+                        "0.0243170000, 0.0231739000, 0.0192000000, 0.0051222000, -0.048084200, -0.225828100, -0.791494100", \
+                        "0.0238650000, 0.0226560000, 0.0186428000, 0.0045288000, -0.048637200, -0.226316800, -0.792003500", \
+                        "0.0232073000, 0.0219989000, 0.0179122000, 0.0036897000, -0.049367400, -0.226890300, -0.792496900", \
+                        "0.0231594000, 0.0217689000, 0.0174918000, 0.0031894000, -0.049670300, -0.226773300, -0.792312900", \
+                        "0.0284098000, 0.0265827000, 0.0210866000, 0.0036738000, -0.049671400, -0.226627100, -0.791915200", \
+                        "0.0333419000, 0.0314218000, 0.0255302000, 0.0076895000, -0.048017900, -0.225374300, -0.790040200");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
+                    values("0.0314506000, 0.0333302000, 0.0394235000, 0.0580362000, 0.1149053000, 0.2921032000, 0.8513946000", \
+                        "0.0312377000, 0.0332098000, 0.0391923000, 0.0578483000, 0.1147157000, 0.2918835000, 0.8519878000", \
+                        "0.0309177000, 0.0328472000, 0.0389526000, 0.0575845000, 0.1143825000, 0.2916041000, 0.8509636000", \
+                        "0.0306130000, 0.0324885000, 0.0384521000, 0.0568931000, 0.1136168000, 0.2907188000, 0.8510610000", \
+                        "0.0303449000, 0.0322732000, 0.0381762000, 0.0560965000, 0.1124882000, 0.2901295000, 0.8503830000", \
+                        "0.0311608000, 0.0329620000, 0.0386072000, 0.0563998000, 0.1120234000, 0.2888569000, 0.8520636000", \
+                        "0.0333226000, 0.0350483000, 0.0405597000, 0.0580158000, 0.1141196000, 0.2907186000, 0.8485613000");
+                }
+            }
+            max_capacitance : 0.5099590000;
+            max_transition : 1.5044210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.1794242000, 0.1833074000, 0.1932474000, 0.2152595000, 0.2612872000, 0.3675630000, 0.6715705000", \
+                        "0.1845851000, 0.1884811000, 0.1984325000, 0.2203748000, 0.2662723000, 0.3727826000, 0.6763995000", \
+                        "0.1971462000, 0.2010235000, 0.2110096000, 0.2330001000, 0.2790358000, 0.3853597000, 0.6888844000", \
+                        "0.2242279000, 0.2281207000, 0.2380838000, 0.2600631000, 0.3061917000, 0.4127133000, 0.7165839000", \
+                        "0.2855375000, 0.2894339000, 0.2993225000, 0.3212597000, 0.3672074000, 0.4740194000, 0.7775355000", \
+                        "0.4067305000, 0.4110992000, 0.4221781000, 0.4461673000, 0.4956328000, 0.6044996000, 0.9090384000", \
+                        "0.6166190000, 0.6219832000, 0.6356252000, 0.6643857000, 0.7202821000, 0.8368277000, 1.1410498000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0918284000, 0.0956384000, 0.1060552000, 0.1326806000, 0.2057754000, 0.4299661000, 1.1327927000", \
+                        "0.0962322000, 0.1000703000, 0.1104946000, 0.1371346000, 0.2102197000, 0.4344053000, 1.1371009000", \
+                        "0.1055927000, 0.1094960000, 0.1198841000, 0.1465217000, 0.2195638000, 0.4436351000, 1.1467938000", \
+                        "0.1256645000, 0.1294807000, 0.1398490000, 0.1664581000, 0.2394696000, 0.4634778000, 1.1668773000", \
+                        "0.1614973000, 0.1656273000, 0.1765301000, 0.2039067000, 0.2773710000, 0.5018066000, 1.2047752000", \
+                        "0.2073992000, 0.2122812000, 0.2246534000, 0.2539609000, 0.3286876000, 0.5519538000, 1.2585022000", \
+                        "0.2420341000, 0.2485088000, 0.2644797000, 0.2996433000, 0.3777444000, 0.6013403000, 1.3048087000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0270151000, 0.0292594000, 0.0352753000, 0.0502974000, 0.0903679000, 0.2103345000, 0.6138639000", \
+                        "0.0273606000, 0.0293203000, 0.0358181000, 0.0502917000, 0.0904944000, 0.2104127000, 0.6114365000", \
+                        "0.0270136000, 0.0292738000, 0.0354196000, 0.0504199000, 0.0903610000, 0.2103981000, 0.6112896000", \
+                        "0.0272046000, 0.0294401000, 0.0355469000, 0.0504219000, 0.0903436000, 0.2103777000, 0.6118739000", \
+                        "0.0271586000, 0.0293896000, 0.0353721000, 0.0508849000, 0.0904274000, 0.2104373000, 0.6106762000", \
+                        "0.0327694000, 0.0352907000, 0.0410132000, 0.0568550000, 0.0956348000, 0.2131264000, 0.6138424000", \
+                        "0.0453802000, 0.0476535000, 0.0548346000, 0.0708017000, 0.1101975000, 0.2243486000, 0.6139343000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0210057000, 0.0244143000, 0.0342651000, 0.0643234000, 0.1626311000, 0.4838848000, 1.5011893000", \
+                        "0.0210205000, 0.0244197000, 0.0342405000, 0.0642629000, 0.1626285000, 0.4838946000, 1.5010634000", \
+                        "0.0210512000, 0.0244120000, 0.0342425000, 0.0643404000, 0.1625084000, 0.4838784000, 1.5011247000", \
+                        "0.0211060000, 0.0244514000, 0.0342654000, 0.0644369000, 0.1625435000, 0.4838359000, 1.5011641000", \
+                        "0.0236412000, 0.0269859000, 0.0367336000, 0.0662502000, 0.1635368000, 0.4837685000, 1.5011568000", \
+                        "0.0296821000, 0.0330465000, 0.0432511000, 0.0709208000, 0.1653566000, 0.4819817000, 1.5003772000", \
+                        "0.0419511000, 0.0458914000, 0.0565707000, 0.0835526000, 0.1702403000, 0.4835568000, 1.4945181000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.1629001000, 0.1667835000, 0.1767070000, 0.1988171000, 0.2447848000, 0.3509430000, 0.6547117000", \
+                        "0.1669428000, 0.1708396000, 0.1807852000, 0.2028017000, 0.2488832000, 0.3551968000, 0.6587268000", \
+                        "0.1777025000, 0.1815534000, 0.1914972000, 0.2135167000, 0.2596185000, 0.3659711000, 0.6697596000", \
+                        "0.2061578000, 0.2100685000, 0.2200166000, 0.2420078000, 0.2879404000, 0.3945712000, 0.6987067000", \
+                        "0.2751042000, 0.2790180000, 0.2889020000, 0.3107205000, 0.3564044000, 0.4633104000, 0.7669959000", \
+                        "0.4145607000, 0.4192351000, 0.4309026000, 0.4552873000, 0.5038420000, 0.6126850000, 0.9161629000", \
+                        "0.6408246000, 0.6468981000, 0.6622714000, 0.6944760000, 0.7523265000, 0.8662150000, 1.1725843000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0856212000, 0.0895050000, 0.1001278000, 0.1272672000, 0.2004477000, 0.4236371000, 1.1304541000", \
+                        "0.0901740000, 0.0941451000, 0.1047548000, 0.1318821000, 0.2052042000, 0.4282820000, 1.1327043000", \
+                        "0.0988489000, 0.1027721000, 0.1134161000, 0.1405163000, 0.2137782000, 0.4367532000, 1.1409593000", \
+                        "0.1165524000, 0.1204852000, 0.1311069000, 0.1581384000, 0.2314838000, 0.4553698000, 1.1569835000", \
+                        "0.1457895000, 0.1500709000, 0.1613796000, 0.1893901000, 0.2632160000, 0.4868777000, 1.1932122000", \
+                        "0.1798477000, 0.1849600000, 0.1978663000, 0.2281626000, 0.3035026000, 0.5274052000, 1.2312900000", \
+                        "0.1928019000, 0.1996217000, 0.2167523000, 0.2536663000, 0.3330297000, 0.5567531000, 1.2600262000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0270509000, 0.0292771000, 0.0354355000, 0.0504688000, 0.0904032000, 0.2100605000, 0.6132257000", \
+                        "0.0272395000, 0.0294727000, 0.0354614000, 0.0503279000, 0.0903970000, 0.2103326000, 0.6132346000", \
+                        "0.0273040000, 0.0294473000, 0.0354679000, 0.0502804000, 0.0903407000, 0.2104927000, 0.6113732000", \
+                        "0.0270869000, 0.0293886000, 0.0355313000, 0.0502944000, 0.0904686000, 0.2105812000, 0.6127315000", \
+                        "0.0270877000, 0.0293902000, 0.0354704000, 0.0502345000, 0.0907141000, 0.2106436000, 0.6118317000", \
+                        "0.0366343000, 0.0391440000, 0.0455119000, 0.0588236000, 0.0956770000, 0.2133078000, 0.6119781000", \
+                        "0.0538691000, 0.0569584000, 0.0646356000, 0.0803821000, 0.1146534000, 0.2242430000, 0.6151942000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0212409000, 0.0246061000, 0.0346018000, 0.0648250000, 0.1626774000, 0.4837908000, 1.5018164000", \
+                        "0.0213390000, 0.0247041000, 0.0346230000, 0.0647600000, 0.1629866000, 0.4830731000, 1.4990253000", \
+                        "0.0213363000, 0.0247184000, 0.0346251000, 0.0647693000, 0.1628629000, 0.4827345000, 1.4998432000", \
+                        "0.0217105000, 0.0250423000, 0.0350719000, 0.0649471000, 0.1630079000, 0.4837510000, 1.4995671000", \
+                        "0.0245645000, 0.0279725000, 0.0376876000, 0.0672699000, 0.1636668000, 0.4827794000, 1.5044208000", \
+                        "0.0313618000, 0.0347590000, 0.0447659000, 0.0728697000, 0.1662895000, 0.4820174000, 1.4990331000", \
+                        "0.0447700000, 0.0489775000, 0.0598782000, 0.0867364000, 0.1728573000, 0.4842042000, 1.4982131000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0855756000, 0.0886027000, 0.0964216000, 0.1149069000, 0.1572844000, 0.2597968000, 0.5614166000", \
+                        "0.0908521000, 0.0937988000, 0.1016540000, 0.1201948000, 0.1626319000, 0.2651681000, 0.5668795000", \
+                        "0.1040908000, 0.1069987000, 0.1148100000, 0.1333453000, 0.1758474000, 0.2783760000, 0.5807209000", \
+                        "0.1363760000, 0.1393249000, 0.1471042000, 0.1655543000, 0.2081372000, 0.3108306000, 0.6130395000", \
+                        "0.2052914000, 0.2086408000, 0.2172657000, 0.2370345000, 0.2807059000, 0.3829769000, 0.6852152000", \
+                        "0.3201192000, 0.3244230000, 0.3356884000, 0.3603286000, 0.4115334000, 0.5202398000, 0.8219033000", \
+                        "0.5077440000, 0.5133734000, 0.5273012000, 0.5596177000, 0.6254853000, 0.7485467000, 1.0535538000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0848856000, 0.0886865000, 0.0991085000, 0.1257663000, 0.1989082000, 0.4231330000, 1.1259795000", \
+                        "0.0889653000, 0.0928212000, 0.1031696000, 0.1299097000, 0.2032000000, 0.4264813000, 1.1300901000", \
+                        "0.0987954000, 0.1026995000, 0.1131106000, 0.1397295000, 0.2128038000, 0.4370223000, 1.1400469000", \
+                        "0.1228097000, 0.1265942000, 0.1369288000, 0.1633827000, 0.2365166000, 0.4596065000, 1.1643687000", \
+                        "0.1609926000, 0.1650354000, 0.1758623000, 0.2030252000, 0.2763443000, 0.4998935000, 1.2046936000", \
+                        "0.2049994000, 0.2099421000, 0.2224707000, 0.2510384000, 0.3249547000, 0.5493651000, 1.2530784000", \
+                        "0.2327299000, 0.2393742000, 0.2556191000, 0.2904473000, 0.3664199000, 0.5894520000, 1.2936808000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0172841000, 0.0191860000, 0.0251101000, 0.0411605000, 0.0828023000, 0.2033193000, 0.6088952000", \
+                        "0.0172002000, 0.0193430000, 0.0251820000, 0.0411585000, 0.0827912000, 0.2032008000, 0.6081589000", \
+                        "0.0171893000, 0.0193170000, 0.0249370000, 0.0411120000, 0.0826956000, 0.2032227000, 0.6080784000", \
+                        "0.0171680000, 0.0194030000, 0.0250454000, 0.0411802000, 0.0826515000, 0.2029703000, 0.6078531000", \
+                        "0.0216619000, 0.0237078000, 0.0293331000, 0.0443694000, 0.0844567000, 0.2041599000, 0.6075128000", \
+                        "0.0323305000, 0.0345872000, 0.0415477000, 0.0577726000, 0.0979837000, 0.2102534000, 0.6082649000", \
+                        "0.0487041000, 0.0518258000, 0.0605436000, 0.0806460000, 0.1264223000, 0.2298852000, 0.6118550000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
+                    values("0.0210194000, 0.0244297000, 0.0342620000, 0.0643472000, 0.1626461000, 0.4838970000, 1.5011384000", \
+                        "0.0209664000, 0.0242673000, 0.0342460000, 0.0643709000, 0.1628384000, 0.4833194000, 1.4978221000", \
+                        "0.0210599000, 0.0243856000, 0.0342183000, 0.0643046000, 0.1625693000, 0.4839160000, 1.5011040000", \
+                        "0.0212124000, 0.0245385000, 0.0343286000, 0.0644579000, 0.1627965000, 0.4822261000, 1.4999936000", \
+                        "0.0241496000, 0.0272303000, 0.0369356000, 0.0666993000, 0.1634964000, 0.4828467000, 1.5006046000", \
+                        "0.0316699000, 0.0349237000, 0.0435945000, 0.0710406000, 0.1655318000, 0.4821830000, 1.4992791000", \
+                        "0.0449280000, 0.0489921000, 0.0589535000, 0.0842841000, 0.1706999000, 0.4841962000, 1.4951113000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ai_0 */
+
+/* removed sky130_fd_sc_hd__o21ai_1 */
+
+/* removed sky130_fd_sc_hd__o21ai_2 */
+
+    cell ("sky130_fd_sc_hd__o21ai_4") {
+        leakage_power () {
+            value : 0.0033032000;
+            when : "!A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0003379000;
+            when : "!A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0055610000;
+            when : "!A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0020090000;
+            when : "!A1&A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0060341000;
+            when : "A1&!A2&B1";
+        }
+        leakage_power () {
+            value : 0.0019958000;
+            when : "A1&!A2&!B1";
+        }
+        leakage_power () {
+            value : 0.0039633000;
+            when : "A1&A2&B1";
+        }
+        leakage_power () {
+            value : 0.0020126000;
+            when : "A1&A2&!B1";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__o21ai";
+        cell_leakage_power : 0.0031521180;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0091440000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0086780000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0159853000, 0.0159759000, 0.0159543000, 0.0159531000, 0.0159504000, 0.0159442000, 0.0159297000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015936800, -0.015925700, -0.015900200, -0.015894200, -0.015880200, -0.015848000, -0.015773900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0096110000;
+        }
+        pin ("A2") {
+            capacitance : 0.0084740000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078830000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0151335000, 0.0151232000, 0.0150996000, 0.0150990000, 0.0150977000, 0.0150948000, 0.0150879000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015094100, -0.015094700, -0.015096200, -0.015095400, -0.015093800, -0.015090000, -0.015081200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090640000;
+        }
+        pin ("B1") {
+            capacitance : 0.0086920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0183625000, 0.0183591000, 0.0183515000, 0.0183575000, 0.0183713000, 0.0184031000, 0.0184764000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.009988700, -0.010021200, -0.010096200, -0.010048000, -0.009936600, -0.009680100, -0.009088600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089930000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0198567000, 0.0184379000, 0.0145406000, 0.0036896000, -0.026417700, -0.110016700, -0.341819100", \
+                        "0.0193277000, 0.0179346000, 0.0140290000, 0.0032380000, -0.026839000, -0.110465500, -0.342231200", \
+                        "0.0186186000, 0.0172210000, 0.0133816000, 0.0026657000, -0.027302200, -0.110840900, -0.342521900", \
+                        "0.0177382000, 0.0163835000, 0.0126388000, 0.0020367000, -0.027807300, -0.111236500, -0.342747600", \
+                        "0.0178248000, 0.0164199000, 0.0125143000, 0.0014983000, -0.028204700, -0.111380300, -0.342859300", \
+                        "0.0180236000, 0.0166111000, 0.0125930000, 0.0017153000, -0.028383300, -0.111994000, -0.343325000", \
+                        "0.0207343000, 0.0193630000, 0.0152204000, 0.0039568000, -0.026816500, -0.110951600, -0.343149300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0375294000, 0.0389800000, 0.0429471000, 0.0540202000, 0.0842378000, 0.1673311000, 0.3964341000", \
+                        "0.0368062000, 0.0382830000, 0.0423065000, 0.0534856000, 0.0839196000, 0.1669254000, 0.3962280000", \
+                        "0.0360370000, 0.0375554000, 0.0416340000, 0.0528213000, 0.0833851000, 0.1666621000, 0.3960475000", \
+                        "0.0355080000, 0.0369404000, 0.0409951000, 0.0521038000, 0.0827333000, 0.1660710000, 0.3957360000", \
+                        "0.0350694000, 0.0365372000, 0.0405848000, 0.0516003000, 0.0819388000, 0.1654224000, 0.3952675000", \
+                        "0.0349743000, 0.0364155000, 0.0403696000, 0.0515763000, 0.0819511000, 0.1652728000, 0.3947931000", \
+                        "0.0359171000, 0.0373066000, 0.0410647000, 0.0516545000, 0.0825219000, 0.1663649000, 0.3951909000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0130528000, 0.0117167000, 0.0079095000, -0.002826200, -0.033009800, -0.116827400, -0.348823200", \
+                        "0.0124754000, 0.0112210000, 0.0075921000, -0.002924400, -0.032876000, -0.116583800, -0.348546000", \
+                        "0.0115637000, 0.0103112000, 0.0067716000, -0.003419900, -0.033037100, -0.116493700, -0.348339200", \
+                        "0.0104974000, 0.0092569000, 0.0056897000, -0.004461700, -0.033686600, -0.116730100, -0.348356200", \
+                        "0.0105889000, 0.0092430000, 0.0054671000, -0.005108600, -0.034617800, -0.117288100, -0.348512800", \
+                        "0.0108225000, 0.0093814000, 0.0054624000, -0.005347800, -0.035111700, -0.118232300, -0.349117400", \
+                        "0.0143662000, 0.0127120000, 0.0084355000, -0.003074600, -0.033952600, -0.117821100, -0.349499500");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0285894000, 0.0300678000, 0.0340754000, 0.0451522000, 0.0753900000, 0.1584686000, 0.3874950000", \
+                        "0.0277337000, 0.0292123000, 0.0333278000, 0.0445479000, 0.0749813000, 0.1581746000, 0.3873588000", \
+                        "0.0268622000, 0.0283082000, 0.0324520000, 0.0437338000, 0.0743148000, 0.1577178000, 0.3870611000", \
+                        "0.0262646000, 0.0277460000, 0.0318726000, 0.0429547000, 0.0735726000, 0.1573816000, 0.3868761000", \
+                        "0.0262932000, 0.0277355000, 0.0316658000, 0.0427235000, 0.0730024000, 0.1564933000, 0.3863418000", \
+                        "0.0286772000, 0.0300672000, 0.0339801000, 0.0447559000, 0.0746524000, 0.1568866000, 0.3846276000", \
+                        "0.0334104000, 0.0350448000, 0.0388090000, 0.0488631000, 0.0777342000, 0.1595000000, 0.3864958000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0136968000, 0.0123635000, 0.0086711000, -0.001806700, -0.031658500, -0.115108100, -0.346844800", \
+                        "0.0131097000, 0.0118073000, 0.0081701000, -0.002242800, -0.031864800, -0.115141800, -0.346754100", \
+                        "0.0124934000, 0.0111805000, 0.0074595000, -0.002876400, -0.032294900, -0.115355000, -0.346929700", \
+                        "0.0117042000, 0.0103759000, 0.0067285000, -0.003712400, -0.033130000, -0.115908300, -0.347092600", \
+                        "0.0116757000, 0.0102637000, 0.0063666000, -0.004325800, -0.033881600, -0.116782600, -0.347502500", \
+                        "0.0139444000, 0.0125770000, 0.0087520000, -0.002509100, -0.033672700, -0.117010300, -0.348111200", \
+                        "0.0190410000, 0.0174023000, 0.0129922000, 0.0011417000, -0.030255200, -0.115330700, -0.348173900");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
+                    values("0.0181989000, 0.0200062000, 0.0246691000, 0.0365772000, 0.0674511000, 0.1505339000, 0.3795280000", \
+                        "0.0172663000, 0.0189542000, 0.0234684000, 0.0356349000, 0.0667807000, 0.1504597000, 0.3796086000", \
+                        "0.0165928000, 0.0181578000, 0.0225441000, 0.0344036000, 0.0655030000, 0.1499659000, 0.3798025000", \
+                        "0.0168151000, 0.0182569000, 0.0219413000, 0.0334912000, 0.0646330000, 0.1485199000, 0.3783599000", \
+                        "0.0174958000, 0.0187294000, 0.0225190000, 0.0333222000, 0.0638333000, 0.1477859000, 0.3775810000", \
+                        "0.0198439000, 0.0211351000, 0.0248243000, 0.0354356000, 0.0658961000, 0.1474220000, 0.3739525000", \
+                        "0.0264546000, 0.0275616000, 0.0307605000, 0.0428123000, 0.0687302000, 0.1518231000, 0.3774925000");
+                }
+            }
+            max_capacitance : 0.2243140000;
+            max_transition : 1.4943390000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0341694000, 0.0357726000, 0.0401979000, 0.0514408000, 0.0808595000, 0.1590864000, 0.3728817000", \
+                        "0.0383389000, 0.0400060000, 0.0443702000, 0.0557198000, 0.0850638000, 0.1633668000, 0.3768873000", \
+                        "0.0469476000, 0.0486026000, 0.0529413000, 0.0642507000, 0.0936581000, 0.1719120000, 0.3856913000", \
+                        "0.0608769000, 0.0628951000, 0.0683591000, 0.0814181000, 0.1122911000, 0.1908625000, 0.4048147000", \
+                        "0.0783892000, 0.0813325000, 0.0891538000, 0.1071802000, 0.1468141000, 0.2333676000, 0.4484930000", \
+                        "0.0889602000, 0.0934999000, 0.1053196000, 0.1327943000, 0.1929517000, 0.3092364000, 0.5470426000", \
+                        "0.0619597000, 0.0692746000, 0.0881530000, 0.1328153000, 0.2284248000, 0.4078190000, 0.7277438000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.1003323000, 0.1045961000, 0.1163993000, 0.1479843000, 0.2335145000, 0.4678911000, 1.1167905000", \
+                        "0.1045577000, 0.1090350000, 0.1207176000, 0.1527927000, 0.2386732000, 0.4737271000, 1.1194455000", \
+                        "0.1163351000, 0.1210212000, 0.1327891000, 0.1650161000, 0.2516572000, 0.4868996000, 1.1329389000", \
+                        "0.1435823000, 0.1477670000, 0.1596073000, 0.1916122000, 0.2779779000, 0.5136339000, 1.1600039000", \
+                        "0.1974026000, 0.2022735000, 0.2159943000, 0.2502936000, 0.3364303000, 0.5720435000, 1.2193372000", \
+                        "0.2916447000, 0.2982642000, 0.3156072000, 0.3604048000, 0.4647673000, 0.7066279000, 1.3550692000", \
+                        "0.4518075000, 0.4620334000, 0.4888832000, 0.5539021000, 0.6975475000, 1.0023979000, 1.6678967000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0248376000, 0.0265352000, 0.0312712000, 0.0447122000, 0.0825021000, 0.1881129000, 0.4816426000", \
+                        "0.0246349000, 0.0263442000, 0.0312032000, 0.0446635000, 0.0824659000, 0.1881151000, 0.4817008000", \
+                        "0.0254089000, 0.0269940000, 0.0316061000, 0.0447636000, 0.0823877000, 0.1881472000, 0.4811362000", \
+                        "0.0319613000, 0.0335619000, 0.0381648000, 0.0503605000, 0.0848552000, 0.1882185000, 0.4811554000", \
+                        "0.0482819000, 0.0501802000, 0.0554483000, 0.0690068000, 0.1037211000, 0.1972017000, 0.4818376000", \
+                        "0.0809816000, 0.0839376000, 0.0910628000, 0.1091840000, 0.1507977000, 0.2462794000, 0.5021340000", \
+                        "0.1424125000, 0.1467481000, 0.1578898000, 0.1855008000, 0.2438027000, 0.3603943000, 0.6180320000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0712219000, 0.0768922000, 0.0923835000, 0.1357977000, 0.2535419000, 0.5781401000, 1.4785918000", \
+                        "0.0711968000, 0.0768112000, 0.0925288000, 0.1357988000, 0.2534997000, 0.5794961000, 1.4805310000", \
+                        "0.0712527000, 0.0769249000, 0.0926642000, 0.1357819000, 0.2549503000, 0.5796232000, 1.4805505000", \
+                        "0.0715915000, 0.0771026000, 0.0925965000, 0.1355664000, 0.2533746000, 0.5790159000, 1.4769895000", \
+                        "0.0870297000, 0.0920774000, 0.1056263000, 0.1439173000, 0.2559129000, 0.5784745000, 1.4788840000", \
+                        "0.1222318000, 0.1286375000, 0.1440239000, 0.1855596000, 0.2898668000, 0.5878960000, 1.4809189000", \
+                        "0.1994151000, 0.2069881000, 0.2263395000, 0.2748045000, 0.3910973000, 0.6767196000, 1.4932955000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0280072000, 0.0295461000, 0.0335594000, 0.0444858000, 0.0739375000, 0.1554548000, 0.3780100000", \
+                        "0.0320494000, 0.0336314000, 0.0378587000, 0.0488544000, 0.0785241000, 0.1592009000, 0.3821911000", \
+                        "0.0390645000, 0.0409414000, 0.0455713000, 0.0571004000, 0.0872889000, 0.1683377000, 0.3914664000", \
+                        "0.0483930000, 0.0508283000, 0.0569545000, 0.0714429000, 0.1044099000, 0.1860021000, 0.4096711000", \
+                        "0.0550642000, 0.0588121000, 0.0683213000, 0.0901613000, 0.1344108000, 0.2261607000, 0.4518472000", \
+                        "0.0458952000, 0.0514218000, 0.0666574000, 0.1020145000, 0.1708357000, 0.2961164000, 0.5457395000", \
+                        "-0.018259800, -0.007830900, 0.0181535000, 0.0757855000, 0.1868152000, 0.3816133000, 0.7152793000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0809942000, 0.0851180000, 0.0968628000, 0.1286109000, 0.2144106000, 0.4487218000, 1.0941149000", \
+                        "0.0839757000, 0.0882662000, 0.1000463000, 0.1322937000, 0.2184165000, 0.4530866000, 1.0988620000", \
+                        "0.0938002000, 0.0980872000, 0.1102753000, 0.1425253000, 0.2287812000, 0.4641668000, 1.1102106000", \
+                        "0.1221292000, 0.1264555000, 0.1382112000, 0.1694495000, 0.2559851000, 0.4918345000, 1.1381432000", \
+                        "0.1857744000, 0.1911097000, 0.2048040000, 0.2390225000, 0.3239434000, 0.5589635000, 1.2087839000", \
+                        "0.2918167000, 0.2999071000, 0.3209723000, 0.3714707000, 0.4815919000, 0.7172781000, 1.3584690000", \
+                        "0.4712327000, 0.4837261000, 0.5142463000, 0.5885430000, 0.7546582000, 1.0789938000, 1.7284796000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0151399000, 0.0170417000, 0.0222124000, 0.0369683000, 0.0773120000, 0.1894418000, 0.5004201000", \
+                        "0.0151697000, 0.0170653000, 0.0223144000, 0.0369674000, 0.0774802000, 0.1891781000, 0.4985138000", \
+                        "0.0172727000, 0.0188491000, 0.0235780000, 0.0373395000, 0.0774886000, 0.1894945000, 0.5003991000", \
+                        "0.0246024000, 0.0263318000, 0.0310976000, 0.0444883000, 0.0804673000, 0.1891732000, 0.4983581000", \
+                        "0.0417217000, 0.0439134000, 0.0497962000, 0.0642389000, 0.1002596000, 0.1987887000, 0.4985591000", \
+                        "0.0749543000, 0.0785231000, 0.0866960000, 0.1058591000, 0.1488864000, 0.2474977000, 0.5176258000", \
+                        "0.1422240000, 0.1462398000, 0.1574384000, 0.1849700000, 0.2442120000, 0.3641032000, 0.6320210000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0710834000, 0.0768147000, 0.0925858000, 0.1354576000, 0.2536767000, 0.5782630000, 1.4763843000", \
+                        "0.0713316000, 0.0769620000, 0.0924520000, 0.1354318000, 0.2536487000, 0.5782950000, 1.4777517000", \
+                        "0.0712379000, 0.0767689000, 0.0925094000, 0.1353815000, 0.2535794000, 0.5783640000, 1.4764886000", \
+                        "0.0730897000, 0.0782811000, 0.0931792000, 0.1351159000, 0.2534334000, 0.5790052000, 1.4758105000", \
+                        "0.0984298000, 0.1040615000, 0.1162663000, 0.1506047000, 0.2569069000, 0.5784232000, 1.4791952000", \
+                        "0.1446964000, 0.1521369000, 0.1714505000, 0.2147365000, 0.3102775000, 0.5900521000, 1.4761424000", \
+                        "0.2196438000, 0.2319243000, 0.2601366000, 0.3241244000, 0.4587456000, 0.7199569000, 1.4943392000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0268924000, 0.0285883000, 0.0331602000, 0.0447837000, 0.0744197000, 0.1526743000, 0.3667182000", \
+                        "0.0307669000, 0.0325205000, 0.0370520000, 0.0487408000, 0.0784958000, 0.1570224000, 0.3708949000", \
+                        "0.0412525000, 0.0429358000, 0.0471777000, 0.0584628000, 0.0883949000, 0.1670163000, 0.3809805000", \
+                        "0.0568080000, 0.0591756000, 0.0655417000, 0.0804963000, 0.1117964000, 0.1903845000, 0.4044485000", \
+                        "0.0727300000, 0.0762373000, 0.0858414000, 0.1080148000, 0.1550945000, 0.2454053000, 0.4595437000", \
+                        "0.0791271000, 0.0846019000, 0.0988493000, 0.1323774000, 0.2040172000, 0.3415962000, 0.5867895000", \
+                        "0.0509830000, 0.0591293000, 0.0803043000, 0.1315119000, 0.2410677000, 0.4512840000, 0.8214778000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0262463000, 0.0286122000, 0.0347495000, 0.0504813000, 0.0911037000, 0.2008829000, 0.5028712000", \
+                        "0.0311709000, 0.0334262000, 0.0394469000, 0.0552869000, 0.0963862000, 0.2070279000, 0.5105821000", \
+                        "0.0446820000, 0.0467849000, 0.0524819000, 0.0678634000, 0.1086067000, 0.2204906000, 0.5246265000", \
+                        "0.0676343000, 0.0712773000, 0.0800668000, 0.0992125000, 0.1397415000, 0.2513532000, 0.5527575000", \
+                        "0.1048718000, 0.1107573000, 0.1245562000, 0.1549045000, 0.2128023000, 0.3244017000, 0.6262394000", \
+                        "0.1676070000, 0.1762069000, 0.1976046000, 0.2456275000, 0.3368510000, 0.4952342000, 0.7930516000", \
+                        "0.2844502000, 0.2968476000, 0.3278376000, 0.3979799000, 0.5397457000, 0.7907355000, 1.1985344000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0248096000, 0.0265626000, 0.0312374000, 0.0446303000, 0.0824516000, 0.1881089000, 0.4816876000", \
+                        "0.0238526000, 0.0257068000, 0.0309175000, 0.0445300000, 0.0824011000, 0.1878784000, 0.4809093000", \
+                        "0.0262272000, 0.0276889000, 0.0318985000, 0.0445189000, 0.0822033000, 0.1879746000, 0.4824167000", \
+                        "0.0361592000, 0.0382037000, 0.0439570000, 0.0562034000, 0.0868976000, 0.1879226000, 0.4819619000", \
+                        "0.0554302000, 0.0584629000, 0.0659080000, 0.0831305000, 0.1199788000, 0.2032903000, 0.4813021000", \
+                        "0.0898670000, 0.0944214000, 0.1061864000, 0.1328061000, 0.1839803000, 0.2843639000, 0.5171772000", \
+                        "0.1511542000, 0.1583000000, 0.1760443000, 0.2154980000, 0.2945386000, 0.4392601000, 0.7041171000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
+                    values("0.0258049000, 0.0287961000, 0.0372633000, 0.0601138000, 0.1212455000, 0.2800027000, 0.7095466000", \
+                        "0.0257874000, 0.0289506000, 0.0370638000, 0.0600273000, 0.1212707000, 0.2802889000, 0.7105490000", \
+                        "0.0293723000, 0.0318699000, 0.0385561000, 0.0601383000, 0.1212897000, 0.2801169000, 0.7112838000", \
+                        "0.0490444000, 0.0506590000, 0.0542409000, 0.0694612000, 0.1227241000, 0.2800868000, 0.7111312000", \
+                        "0.0844502000, 0.0866605000, 0.0923319000, 0.1085408000, 0.1484248000, 0.2839644000, 0.7109633000", \
+                        "0.1464882000, 0.1493994000, 0.1578119000, 0.1806522000, 0.2316862000, 0.3408435000, 0.7146481000", \
+                        "0.2450864000, 0.2492935000, 0.2617000000, 0.2957463000, 0.3747755000, 0.5244851000, 0.8320422000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o21ba_1 */
+
+/* removed sky130_fd_sc_hd__o21ba_2 */
+
+/* removed sky130_fd_sc_hd__o21ba_4 */
+
+/* removed sky130_fd_sc_hd__o21bai_1 */
+
+/* removed sky130_fd_sc_hd__o21bai_2 */
+
+/* removed sky130_fd_sc_hd__o21bai_4 */
+
+/* removed sky130_fd_sc_hd__o221a_1 */
+
+/* removed sky130_fd_sc_hd__o221a_2 */
+
+/* removed sky130_fd_sc_hd__o221a_4 */
+
+/* removed sky130_fd_sc_hd__o221ai_1 */
+
+/* removed sky130_fd_sc_hd__o221ai_2 */
+
+/* removed sky130_fd_sc_hd__o221ai_4 */
+
+/* removed sky130_fd_sc_hd__o22a_1 */
+
+/* removed sky130_fd_sc_hd__o22a_2 */
+
+    cell ("sky130_fd_sc_hd__o22a_4") {
+        leakage_power () {
+            value : 0.0050025000;
+            when : "!A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0037075000;
+            when : "!A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0050073000;
+            when : "!A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0049961000;
+            when : "!A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0036430000;
+            when : "!A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054476000;
+            when : "!A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0028449000;
+            when : "!A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0033494000;
+            when : "!A1&A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0038034000;
+            when : "A1&!A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054365000;
+            when : "A1&!A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0030054000;
+            when : "A1&!A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0035098000;
+            when : "A1&!A2&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0025429000;
+            when : "A1&A2&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0054417000;
+            when : "A1&A2&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0017447000;
+            when : "A1&A2&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0022492000;
+            when : "A1&A2&B1&!B2";
+        }
+        area : 17.516800000;
+        cell_footprint : "sky130_fd_sc_hd__o22a";
+        cell_leakage_power : 0.0038582540;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0047950000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0045550000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080550000, 0.0080421000, 0.0080123000, 0.0080096000, 0.0080033000, 0.0079887000, 0.0079552000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007985000, -0.007981300, -0.007972600, -0.007974500, -0.007978800, -0.007988700, -0.008011600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050350000;
+        }
+        pin ("A2") {
+            capacitance : 0.0043350000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040270000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0075522000, 0.0075517000, 0.0075505000, 0.0075518000, 0.0075549000, 0.0075621000, 0.0075785000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007529000, -0.007528000, -0.007525800, -0.007527400, -0.007531100, -0.007539600, -0.007559100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046440000;
+        }
+        pin ("B1") {
+            capacitance : 0.0048190000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0046150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0095412000, 0.0095350000, 0.0095207000, 0.0095234000, 0.0095297000, 0.0095442000, 0.0095776000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003298400, -0.003309200, -0.003334100, -0.003301000, -0.003224800, -0.003049100, -0.002644100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0050240000;
+        }
+        pin ("B2") {
+            capacitance : 0.0043130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0088068000, 0.0088065000, 0.0088061000, 0.0088034000, 0.0087973000, 0.0087833000, 0.0087508000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002505000, -0.002516800, -0.002544000, -0.002511900, -0.002437900, -0.002267300, -0.001874100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045610000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0369371000, 0.0351447000, 0.0299084000, 0.0148925000, -0.038303800, -0.220741100, -0.809786600", \
+                        "0.0364942000, 0.0348167000, 0.0295989000, 0.0147418000, -0.038739800, -0.220973600, -0.810070400", \
+                        "0.0364389000, 0.0346691000, 0.0293792000, 0.0143038000, -0.038985700, -0.221474400, -0.810414000", \
+                        "0.0358994000, 0.0341665000, 0.0289320000, 0.0137488000, -0.039530300, -0.221791000, -0.810942800", \
+                        "0.0355372000, 0.0337958000, 0.0285325000, 0.0134469000, -0.040053000, -0.222311400, -0.811188000", \
+                        "0.0362431000, 0.0344504000, 0.0291009000, 0.0141126000, -0.039630500, -0.221976900, -0.810745600", \
+                        "0.0462291000, 0.0441772000, 0.0380405000, 0.0196019000, -0.037941500, -0.220771900, -0.809163200");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0344612000, 0.0363977000, 0.0425981000, 0.0615304000, 0.1201462000, 0.3050391000, 0.8864905000", \
+                        "0.0344759000, 0.0364213000, 0.0425108000, 0.0615671000, 0.1201862000, 0.3036672000, 0.8872135000", \
+                        "0.0343372000, 0.0362882000, 0.0423867000, 0.0614484000, 0.1200136000, 0.3034343000, 0.8874666000", \
+                        "0.0340779000, 0.0359885000, 0.0421467000, 0.0611299000, 0.1195629000, 0.3034907000, 0.8903197000", \
+                        "0.0337238000, 0.0356455000, 0.0416627000, 0.0602423000, 0.1186496000, 0.3027571000, 0.8859493000", \
+                        "0.0343746000, 0.0362035000, 0.0420911000, 0.0604944000, 0.1179095000, 0.3006603000, 0.8899084000", \
+                        "0.0356145000, 0.0373557000, 0.0430783000, 0.0609732000, 0.1192055000, 0.3027149000, 0.8841320000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0268516000, 0.0252333000, 0.0207557000, 0.0073273000, -0.044481700, -0.226819300, -0.816395200", \
+                        "0.0265584000, 0.0250744000, 0.0205046000, 0.0071573000, -0.044878900, -0.227113900, -0.816670700", \
+                        "0.0262112000, 0.0246107000, 0.0200107000, 0.0066869000, -0.045388300, -0.227596500, -0.817148800", \
+                        "0.0255209000, 0.0239339000, 0.0193788000, 0.0058746000, -0.046017300, -0.228202400, -0.817725100", \
+                        "0.0251633000, 0.0235286000, 0.0188956000, 0.0052476000, -0.046626500, -0.228707800, -0.818118600", \
+                        "0.0252668000, 0.0234020000, 0.0176291000, 0.0042334000, -0.047305900, -0.228955100, -0.818184700", \
+                        "0.0371943000, 0.0352302000, 0.0294160000, 0.0111422000, -0.045392400, -0.228486900, -0.817691000");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0331761000, 0.0351847000, 0.0413112000, 0.0603631000, 0.1189868000, 0.3030172000, 0.8902141000", \
+                        "0.0330383000, 0.0349983000, 0.0411504000, 0.0600540000, 0.1187426000, 0.3024646000, 0.8865269000", \
+                        "0.0326672000, 0.0346442000, 0.0408159000, 0.0598503000, 0.1184439000, 0.3036287000, 0.8858063000", \
+                        "0.0324777000, 0.0344720000, 0.0405761000, 0.0595369000, 0.1179869000, 0.3021044000, 0.8894655000", \
+                        "0.0322807000, 0.0341673000, 0.0401477000, 0.0583862000, 0.1167183000, 0.3009164000, 0.8852024000", \
+                        "0.0329937000, 0.0347904000, 0.0405669000, 0.0589475000, 0.1163289000, 0.2992103000, 0.8877744000", \
+                        "0.0346544000, 0.0363816000, 0.0420467000, 0.0599841000, 0.1177207000, 0.3014606000, 0.8829927000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0227629000, 0.0211309000, 0.0166697000, 0.0032615000, -0.048596100, -0.230635200, -0.820039500", \
+                        "0.0224612000, 0.0208848000, 0.0164432000, 0.0029942000, -0.048818900, -0.230917000, -0.820336400", \
+                        "0.0219371000, 0.0202954000, 0.0157876000, 0.0023537000, -0.049436800, -0.231461400, -0.820854600", \
+                        "0.0213963000, 0.0197801000, 0.0152460000, 0.0017206000, -0.050105700, -0.232093700, -0.821446800", \
+                        "0.0210137000, 0.0194040000, 0.0148088000, 0.0009965000, -0.050760200, -0.232588000, -0.821807700", \
+                        "0.0234534000, 0.0214261000, 0.0154423000, 0.0012311000, -0.050188700, -0.231995000, -0.821201000", \
+                        "0.0367487000, 0.0346790000, 0.0287815000, 0.0099758000, -0.047678300, -0.230188200, -0.819266200");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0294303000, 0.0313940000, 0.0375623000, 0.0566547000, 0.1152695000, 0.2991847000, 0.8862554000", \
+                        "0.0294985000, 0.0314658000, 0.0375546000, 0.0566752000, 0.1151963000, 0.2991228000, 0.8859734000", \
+                        "0.0293261000, 0.0313245000, 0.0374796000, 0.0564969000, 0.1151583000, 0.2988207000, 0.8817462000", \
+                        "0.0292224000, 0.0311598000, 0.0372277000, 0.0561619000, 0.1144919000, 0.2984184000, 0.8851732000", \
+                        "0.0286709000, 0.0305388000, 0.0365649000, 0.0550475000, 0.1133536000, 0.2975362000, 0.8813778000", \
+                        "0.0300040000, 0.0317721000, 0.0374964000, 0.0558447000, 0.1128650000, 0.2961650000, 0.8814172000", \
+                        "0.0311869000, 0.0328782000, 0.0384781000, 0.0566277000, 0.1144346000, 0.2975070000, 0.8780664000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0414133000, 0.0396274000, 0.0344584000, 0.0193498000, -0.033874900, -0.216335800, -0.805344800", \
+                        "0.0413175000, 0.0396719000, 0.0342825000, 0.0192780000, -0.033881500, -0.216545000, -0.805569100", \
+                        "0.0407871000, 0.0389720000, 0.0338822000, 0.0187423000, -0.034439000, -0.216887000, -0.805924700", \
+                        "0.0403729000, 0.0386166000, 0.0334200000, 0.0183330000, -0.034973800, -0.217268800, -0.806203300", \
+                        "0.0400114000, 0.0382009000, 0.0330704000, 0.0178637000, -0.035428400, -0.217802500, -0.806707400", \
+                        "0.0395713000, 0.0377461000, 0.0324779000, 0.0174932000, -0.035670900, -0.218170000, -0.806932000", \
+                        "0.0480374000, 0.0460874000, 0.0401276000, 0.0219641000, -0.035301700, -0.218103000, -0.806351100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
+                    values("0.0377647000, 0.0396964000, 0.0457728000, 0.0648574000, 0.1233898000, 0.3071191000, 0.8906275000", \
+                        "0.0375306000, 0.0394895000, 0.0455554000, 0.0646409000, 0.1231736000, 0.3070670000, 0.8939375000", \
+                        "0.0372526000, 0.0392364000, 0.0453518000, 0.0643709000, 0.1230020000, 0.3069444000, 0.8941316000", \
+                        "0.0369299000, 0.0389032000, 0.0450205000, 0.0640090000, 0.1225929000, 0.3065167000, 0.8896844000", \
+                        "0.0370424000, 0.0389597000, 0.0450337000, 0.0634639000, 0.1220068000, 0.3058141000, 0.8898175000", \
+                        "0.0376638000, 0.0394281000, 0.0452774000, 0.0636670000, 0.1214548000, 0.3046629000, 0.8888077000", \
+                        "0.0391950000, 0.0409377000, 0.0466037000, 0.0645557000, 0.1228226000, 0.3064150000, 0.8870449000");
+                }
+            }
+            max_capacitance : 0.5301000000;
+            max_transition : 1.5014620000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.2093929000, 0.2137404000, 0.2248173000, 0.2488763000, 0.2988721000, 0.4125689000, 0.7338822000", \
+                        "0.2145612000, 0.2189154000, 0.2299540000, 0.2541224000, 0.3034984000, 0.4176702000, 0.7397274000", \
+                        "0.2270176000, 0.2313635000, 0.2423806000, 0.2665031000, 0.3162714000, 0.4301897000, 0.7523147000", \
+                        "0.2540733000, 0.2584028000, 0.2694237000, 0.2935680000, 0.3433605000, 0.4569344000, 0.7784421000", \
+                        "0.3151698000, 0.3194976000, 0.3304731000, 0.3545826000, 0.4043248000, 0.5184190000, 0.8405291000", \
+                        "0.4431795000, 0.4478469000, 0.4596463000, 0.4848917000, 0.5365600000, 0.6524004000, 0.9743016000", \
+                        "0.6661068000, 0.6719179000, 0.6864280000, 0.7170421000, 0.7757245000, 0.8985466000, 1.2195877000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1057784000, 0.1096749000, 0.1202656000, 0.1472490000, 0.2209285000, 0.4461737000, 1.1589165000", \
+                        "0.1103004000, 0.1142133000, 0.1247655000, 0.1517727000, 0.2254658000, 0.4507684000, 1.1665658000", \
+                        "0.1201044000, 0.1240316000, 0.1345962000, 0.1615717000, 0.2352146000, 0.4601628000, 1.1738093000", \
+                        "0.1408617000, 0.1447802000, 0.1553082000, 0.1822469000, 0.2558065000, 0.4809775000, 1.1937081000", \
+                        "0.1810772000, 0.1852018000, 0.1961945000, 0.2236995000, 0.2973241000, 0.5225455000, 1.2365038000", \
+                        "0.2369407000, 0.2416374000, 0.2541434000, 0.2835536000, 0.3584901000, 0.5836948000, 1.2974231000", \
+                        "0.2882988000, 0.2947109000, 0.3105690000, 0.3453560000, 0.4234553000, 0.6489100000, 1.3620668000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0304603000, 0.0330848000, 0.0392633000, 0.0548422000, 0.0965496000, 0.2212327000, 0.6427952000", \
+                        "0.0304523000, 0.0330339000, 0.0391815000, 0.0551707000, 0.0968004000, 0.2213730000, 0.6421518000", \
+                        "0.0305505000, 0.0330640000, 0.0395025000, 0.0553314000, 0.0969240000, 0.2211878000, 0.6426983000", \
+                        "0.0308235000, 0.0328867000, 0.0398184000, 0.0552330000, 0.0966467000, 0.2211493000, 0.6428325000", \
+                        "0.0304570000, 0.0330232000, 0.0392699000, 0.0551496000, 0.0967673000, 0.2211932000, 0.6426020000", \
+                        "0.0350499000, 0.0377338000, 0.0441451000, 0.0597365000, 0.1002876000, 0.2225213000, 0.6404342000", \
+                        "0.0466463000, 0.0496797000, 0.0570942000, 0.0734654000, 0.1140620000, 0.2336643000, 0.6436526000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0216665000, 0.0248922000, 0.0347423000, 0.0642662000, 0.1612612000, 0.4794264000, 1.4986217000", \
+                        "0.0216087000, 0.0248938000, 0.0346784000, 0.0643370000, 0.1611478000, 0.4798824000, 1.4987231000", \
+                        "0.0217111000, 0.0249680000, 0.0346050000, 0.0642603000, 0.1611394000, 0.4804044000, 1.4993213000", \
+                        "0.0216623000, 0.0249517000, 0.0345761000, 0.0642485000, 0.1611636000, 0.4800366000, 1.4970289000", \
+                        "0.0236069000, 0.0269232000, 0.0366083000, 0.0656069000, 0.1615305000, 0.4802459000, 1.4996491000", \
+                        "0.0290692000, 0.0327338000, 0.0423330000, 0.0700568000, 0.1633452000, 0.4788258000, 1.4984815000", \
+                        "0.0405737000, 0.0446660000, 0.0548550000, 0.0820366000, 0.1683581000, 0.4811870000, 1.4966253000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1920340000, 0.1963445000, 0.2073777000, 0.2314677000, 0.2811950000, 0.3951749000, 0.7172739000", \
+                        "0.1960273000, 0.2003567000, 0.2114364000, 0.2354174000, 0.2853798000, 0.3987851000, 0.7208638000", \
+                        "0.2065200000, 0.2108674000, 0.2218423000, 0.2460093000, 0.2959055000, 0.4097450000, 0.7318102000", \
+                        "0.2345372000, 0.2388783000, 0.2499185000, 0.2739944000, 0.3239596000, 0.4376099000, 0.7592690000", \
+                        "0.3032123000, 0.3075610000, 0.3185786000, 0.3425789000, 0.3924510000, 0.5064739000, 0.8285181000", \
+                        "0.4511455000, 0.4560653000, 0.4687186000, 0.4946010000, 0.5459791000, 0.6613515000, 0.9836868000", \
+                        "0.6943732000, 0.7008210000, 0.7170893000, 0.7509479000, 0.8114657000, 0.9326636000, 1.2576459000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0987718000, 0.1027221000, 0.1135002000, 0.1407258000, 0.2144802000, 0.4397588000, 1.1519286000", \
+                        "0.1036435000, 0.1075690000, 0.1182925000, 0.1455481000, 0.2192468000, 0.4439252000, 1.1575240000", \
+                        "0.1130605000, 0.1169900000, 0.1277169000, 0.1549940000, 0.2287682000, 0.4535868000, 1.1668752000", \
+                        "0.1323001000, 0.1362406000, 0.1469292000, 0.1740325000, 0.2478021000, 0.4729083000, 1.1877636000", \
+                        "0.1673128000, 0.1715369000, 0.1827120000, 0.2106112000, 0.2847466000, 0.5102124000, 1.2225285000", \
+                        "0.2127535000, 0.2177177000, 0.2305582000, 0.2605774000, 0.3359287000, 0.5611321000, 1.2786635000", \
+                        "0.2444585000, 0.2510607000, 0.2679000000, 0.3038701000, 0.3833627000, 0.6087065000, 1.3215057000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0304273000, 0.0331289000, 0.0394669000, 0.0548376000, 0.0968177000, 0.2212661000, 0.6424578000", \
+                        "0.0306237000, 0.0331720000, 0.0396732000, 0.0551831000, 0.0966320000, 0.2212540000, 0.6415518000", \
+                        "0.0305183000, 0.0329273000, 0.0392362000, 0.0550738000, 0.0966455000, 0.2211534000, 0.6423163000", \
+                        "0.0304094000, 0.0328812000, 0.0399351000, 0.0548268000, 0.0966354000, 0.2212367000, 0.6409628000", \
+                        "0.0304886000, 0.0329688000, 0.0395922000, 0.0549244000, 0.0966529000, 0.2212447000, 0.6419448000", \
+                        "0.0378499000, 0.0403181000, 0.0465204000, 0.0612851000, 0.1001859000, 0.2227501000, 0.6429771000", \
+                        "0.0558580000, 0.0591843000, 0.0670548000, 0.0827920000, 0.1184294000, 0.2335157000, 0.6438121000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0218397000, 0.0251885000, 0.0349429000, 0.0645445000, 0.1611789000, 0.4806799000, 1.4970548000", \
+                        "0.0218400000, 0.0250943000, 0.0349466000, 0.0644674000, 0.1610907000, 0.4802534000, 1.4994435000", \
+                        "0.0218082000, 0.0251317000, 0.0349387000, 0.0644587000, 0.1611418000, 0.4788276000, 1.5009325000", \
+                        "0.0218698000, 0.0251759000, 0.0349365000, 0.0644277000, 0.1609022000, 0.4801960000, 1.5013237000", \
+                        "0.0241776000, 0.0275240000, 0.0372358000, 0.0664038000, 0.1618110000, 0.4799612000, 1.4983770000", \
+                        "0.0302489000, 0.0335865000, 0.0435846000, 0.0712545000, 0.1640588000, 0.4777278000, 1.5007726000", \
+                        "0.0426502000, 0.0466582000, 0.0574680000, 0.0840786000, 0.1697513000, 0.4813022000, 1.4933533000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1721674000, 0.1763763000, 0.1874817000, 0.2131912000, 0.2701460000, 0.3946634000, 0.7209769000", \
+                        "0.1771224000, 0.1813217000, 0.1923661000, 0.2180662000, 0.2751591000, 0.3995614000, 0.7258814000", \
+                        "0.1891849000, 0.1933683000, 0.2043779000, 0.2300166000, 0.2871371000, 0.4115162000, 0.7378695000", \
+                        "0.2166495000, 0.2208183000, 0.2318684000, 0.2573614000, 0.3146513000, 0.4390650000, 0.7654534000", \
+                        "0.2797769000, 0.2839498000, 0.2949061000, 0.3205141000, 0.3778396000, 0.5024074000, 0.8287303000", \
+                        "0.4032484000, 0.4079363000, 0.4201576000, 0.4482276000, 0.5092115000, 0.6368326000, 0.9639790000", \
+                        "0.6192064000, 0.6248779000, 0.6396504000, 0.6726917000, 0.7420063000, 0.8822598000, 1.2163761000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0968901000, 0.1007574000, 0.1113371000, 0.1383468000, 0.2120576000, 0.4373285000, 1.1518552000", \
+                        "0.1009144000, 0.1048873000, 0.1154281000, 0.1423643000, 0.2159582000, 0.4410584000, 1.1551805000", \
+                        "0.1107984000, 0.1146963000, 0.1253053000, 0.1522544000, 0.2258521000, 0.4516548000, 1.1646903000", \
+                        "0.1349186000, 0.1388134000, 0.1493084000, 0.1761977000, 0.2496927000, 0.4748290000, 1.1887450000", \
+                        "0.1790161000, 0.1830691000, 0.1938798000, 0.2209087000, 0.2947473000, 0.5198604000, 1.2342107000", \
+                        "0.2326831000, 0.2375195000, 0.2498145000, 0.2785462000, 0.3527470000, 0.5787452000, 1.2955661000", \
+                        "0.2739361000, 0.2804067000, 0.2962604000, 0.3304713000, 0.4069727000, 0.6312123000, 1.3455812000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0283245000, 0.0310572000, 0.0389354000, 0.0586921000, 0.1090360000, 0.2342711000, 0.6449170000", \
+                        "0.0283408000, 0.0312781000, 0.0388299000, 0.0588600000, 0.1089282000, 0.2344302000, 0.6449146000", \
+                        "0.0284120000, 0.0312907000, 0.0388301000, 0.0588941000, 0.1089564000, 0.2343145000, 0.6449520000", \
+                        "0.0283103000, 0.0313018000, 0.0389763000, 0.0588358000, 0.1087803000, 0.2343064000, 0.6439930000", \
+                        "0.0286930000, 0.0313516000, 0.0391572000, 0.0587688000, 0.1089459000, 0.2340393000, 0.6448737000", \
+                        "0.0348110000, 0.0377092000, 0.0456124000, 0.0661651000, 0.1155296000, 0.2381973000, 0.6449993000", \
+                        "0.0483418000, 0.0516172000, 0.0599450000, 0.0820045000, 0.1356217000, 0.2572834000, 0.6507659000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0216270000, 0.0250628000, 0.0346872000, 0.0642370000, 0.1609093000, 0.4803836000, 1.5014622000", \
+                        "0.0216693000, 0.0250440000, 0.0346347000, 0.0642085000, 0.1611289000, 0.4802177000, 1.5003234000", \
+                        "0.0216793000, 0.0250076000, 0.0347330000, 0.0641239000, 0.1611187000, 0.4808680000, 1.4984654000", \
+                        "0.0215205000, 0.0248071000, 0.0346679000, 0.0641520000, 0.1610653000, 0.4805456000, 1.5002151000", \
+                        "0.0238705000, 0.0270769000, 0.0366262000, 0.0660914000, 0.1617612000, 0.4803832000, 1.5000311000", \
+                        "0.0305929000, 0.0335590000, 0.0425731000, 0.0698504000, 0.1634324000, 0.4784561000, 1.4996046000", \
+                        "0.0433540000, 0.0470412000, 0.0568450000, 0.0815963000, 0.1679801000, 0.4809712000, 1.4937941000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.1532577000, 0.1574764000, 0.1685609000, 0.1943561000, 0.2512436000, 0.3757085000, 0.7020176000", \
+                        "0.1568785000, 0.1610586000, 0.1720526000, 0.1976962000, 0.2548786000, 0.3794879000, 0.7057009000", \
+                        "0.1669148000, 0.1710972000, 0.1821429000, 0.2078019000, 0.2648960000, 0.3894965000, 0.7158347000", \
+                        "0.1941771000, 0.1983961000, 0.2092782000, 0.2346667000, 0.2918680000, 0.4163039000, 0.7427592000", \
+                        "0.2630154000, 0.2671723000, 0.2780700000, 0.3035941000, 0.3607014000, 0.4852557000, 0.8112860000", \
+                        "0.3970722000, 0.4020726000, 0.4149619000, 0.4438053000, 0.5052286000, 0.6334053000, 0.9605577000", \
+                        "0.6151275000, 0.6214599000, 0.6380013000, 0.6743121000, 0.7490785000, 0.8909181000, 1.2251584000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0910817000, 0.0950513000, 0.1058560000, 0.1332759000, 0.2072243000, 0.4318584000, 1.1449078000", \
+                        "0.0954199000, 0.0993799000, 0.1101716000, 0.1375806000, 0.2115239000, 0.4365628000, 1.1512413000", \
+                        "0.1056978000, 0.1096739000, 0.1204742000, 0.1478398000, 0.2217548000, 0.4477658000, 1.1588041000", \
+                        "0.1294809000, 0.1334236000, 0.1441143000, 0.1713270000, 0.2450009000, 0.4701868000, 1.1853889000", \
+                        "0.1696524000, 0.1738074000, 0.1849478000, 0.2128330000, 0.2868427000, 0.5123804000, 1.2247777000", \
+                        "0.2149076000, 0.2199523000, 0.2329249000, 0.2622985000, 0.3366593000, 0.5621806000, 1.2766408000", \
+                        "0.2416499000, 0.2484086000, 0.2651533000, 0.3009606000, 0.3787040000, 0.6028382000, 1.3155081000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0285543000, 0.0309944000, 0.0389186000, 0.0587149000, 0.1088505000, 0.2342501000, 0.6449822000", \
+                        "0.0284312000, 0.0312480000, 0.0386513000, 0.0588452000, 0.1091932000, 0.2344262000, 0.6449801000", \
+                        "0.0283151000, 0.0310223000, 0.0389199000, 0.0587852000, 0.1089013000, 0.2343694000, 0.6450179000", \
+                        "0.0285593000, 0.0313199000, 0.0389545000, 0.0589204000, 0.1085704000, 0.2343549000, 0.6449605000", \
+                        "0.0287921000, 0.0312924000, 0.0392255000, 0.0590531000, 0.1091777000, 0.2344197000, 0.6450845000", \
+                        "0.0394570000, 0.0423168000, 0.0500475000, 0.0697746000, 0.1175543000, 0.2394475000, 0.6453221000", \
+                        "0.0578941000, 0.0612897000, 0.0704420000, 0.0941046000, 0.1457911000, 0.2614662000, 0.6521572000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
+                    values("0.0220681000, 0.0253973000, 0.0352041000, 0.0647436000, 0.1609829000, 0.4801778000, 1.4990500000", \
+                        "0.0219959000, 0.0253597000, 0.0351672000, 0.0648012000, 0.1613494000, 0.4796840000, 1.4994103000", \
+                        "0.0221123000, 0.0254060000, 0.0352232000, 0.0647895000, 0.1612474000, 0.4798414000, 1.4971623000", \
+                        "0.0219887000, 0.0253646000, 0.0352338000, 0.0648978000, 0.1613825000, 0.4795478000, 1.4962090000", \
+                        "0.0249097000, 0.0281120000, 0.0376916000, 0.0669411000, 0.1621684000, 0.4796576000, 1.4990738000", \
+                        "0.0332104000, 0.0361791000, 0.0453592000, 0.0716375000, 0.1644540000, 0.4795321000, 1.5003191000", \
+                        "0.0471276000, 0.0507739000, 0.0608882000, 0.0872101000, 0.1703108000, 0.4817594000, 1.4948887000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o22ai_1 */
+
+/* removed sky130_fd_sc_hd__o22ai_2 */
+
+/* removed sky130_fd_sc_hd__o22ai_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2a_4 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_1 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_2 */
+
+/* removed sky130_fd_sc_hd__o2bb2ai_4 */
+
+/* removed sky130_fd_sc_hd__o311a_1 */
+
+/* removed sky130_fd_sc_hd__o311a_2 */
+
+/* removed sky130_fd_sc_hd__o311a_4 */
+
+/* removed sky130_fd_sc_hd__o311ai_0 */
+
+/* removed sky130_fd_sc_hd__o311ai_1 */
+
+/* removed sky130_fd_sc_hd__o311ai_2 */
+
+/* removed sky130_fd_sc_hd__o311ai_4 */
+
+/* removed sky130_fd_sc_hd__o31a_1 */
+
+/* removed sky130_fd_sc_hd__o31a_2 */
+
+/* removed sky130_fd_sc_hd__o31a_4 */
+
+/* removed sky130_fd_sc_hd__o31ai_1 */
+
+/* removed sky130_fd_sc_hd__o31ai_2 */
+
+/* removed sky130_fd_sc_hd__o31ai_4 */
+
+/* removed sky130_fd_sc_hd__o32a_1 */
+
+/* removed sky130_fd_sc_hd__o32a_2 */
+
+    cell ("sky130_fd_sc_hd__o32a_4") {
+        leakage_power () {
+            value : 0.0093865000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0076254000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0094194000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0093876000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0131066000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089315000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0097119000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0118297000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0076435000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089322000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0042486000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0063664000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054414000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089321000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020465000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041643000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0075086000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089331000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0041137000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0062315000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054449000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089322000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020496000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041674000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054330000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089341000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0020380000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0041558000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0053047000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0089319000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0019098000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0040277000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 22.521600000;
+        cell_footprint : "sky130_fd_sc_hd__o32a";
+        cell_leakage_power : 0.0067278040;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0043510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041450000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079952000, 0.0079865000, 0.0079664000, 0.0079692000, 0.0079757000, 0.0079907000, 0.0080251000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007876900, -0.007874100, -0.007867600, -0.007870800, -0.007878200, -0.007895300, -0.007934800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045570000;
+        }
+        pin ("A2") {
+            capacitance : 0.0042450000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039640000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079386000, 0.0079291000, 0.0079070000, 0.0079097000, 0.0079160000, 0.0079303000, 0.0079635000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007857200, -0.007856300, -0.007854300, -0.007851200, -0.007844200, -0.007828100, -0.007790900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045270000;
+        }
+        pin ("A3") {
+            capacitance : 0.0042940000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039360000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0082388000, 0.0082310000, 0.0082130000, 0.0082112000, 0.0082068000, 0.0081969000, 0.0081739000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008189200, -0.008184200, -0.008172500, -0.008169400, -0.008162100, -0.008145300, -0.008106600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046510000;
+        }
+        pin ("B1") {
+            capacitance : 0.0042390000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0040740000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0091941000, 0.0091903000, 0.0091814000, 0.0091843000, 0.0091909000, 0.0092062000, 0.0092415000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001430600, -0.001472900, -0.001570300, -0.001516400, -0.001392100, -0.001105600, -0.000445400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044050000;
+        }
+        pin ("B2") {
+            capacitance : 0.0042170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0039750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0092649000, 0.0092642000, 0.0092625000, 0.0092649000, 0.0092702000, 0.0092825000, 0.0093109000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001418900, -0.001448700, -0.001517400, -0.001469900, -0.001360400, -0.001108100, -0.000526400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0044600000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0457043000, 0.0437829000, 0.0383290000, 0.0228184000, -0.031390600, -0.220139800, -0.840718700", \
+                        "0.0455581000, 0.0436117000, 0.0382151000, 0.0227530000, -0.031443700, -0.220549600, -0.840979900", \
+                        "0.0451734000, 0.0432983000, 0.0377997000, 0.0223906000, -0.031857500, -0.220628100, -0.841197700", \
+                        "0.0451173000, 0.0432260000, 0.0376090000, 0.0221566000, -0.032030100, -0.221173800, -0.841613400", \
+                        "0.0444085000, 0.0425881000, 0.0370578000, 0.0217008000, -0.032543000, -0.221515400, -0.841871200", \
+                        "0.0447732000, 0.0429314000, 0.0373133000, 0.0218052000, -0.032720300, -0.221877400, -0.842115400", \
+                        "0.0545601000, 0.0523635000, 0.0461041000, 0.0271263000, -0.032011600, -0.221837800, -0.842127800");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0429197000, 0.0447870000, 0.0509854000, 0.0708763000, 0.1319595000, 0.3246019000, 0.9400425000", \
+                        "0.0425347000, 0.0445133000, 0.0507383000, 0.0706265000, 0.1316929000, 0.3241994000, 0.9401735000", \
+                        "0.0423565000, 0.0442514000, 0.0505158000, 0.0702281000, 0.1313851000, 0.3242199000, 0.9386433000", \
+                        "0.0419108000, 0.0438219000, 0.0501038000, 0.0699286000, 0.1309796000, 0.3234774000, 0.9390490000", \
+                        "0.0418846000, 0.0440303000, 0.0501184000, 0.0696816000, 0.1306588000, 0.3233008000, 0.9423967000", \
+                        "0.0438381000, 0.0457128000, 0.0514949000, 0.0701667000, 0.1300364000, 0.3220577000, 0.9420025000", \
+                        "0.0452001000, 0.0469321000, 0.0527784000, 0.0715651000, 0.1314235000, 0.3240090000, 0.9368697000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0409297000, 0.0390522000, 0.0335384000, 0.0181844000, -0.035828200, -0.224988100, -0.845331700", \
+                        "0.0407685000, 0.0388473000, 0.0333872000, 0.0179295000, -0.036235400, -0.225180500, -0.845421600", \
+                        "0.0405229000, 0.0385752000, 0.0331596000, 0.0177079000, -0.036497100, -0.225594100, -0.845969100", \
+                        "0.0400729000, 0.0381882000, 0.0327353000, 0.0172431000, -0.036984200, -0.225729200, -0.846293000", \
+                        "0.0397067000, 0.0378519000, 0.0323258000, 0.0169244000, -0.037277600, -0.226350600, -0.846747800", \
+                        "0.0401084000, 0.0380745000, 0.0324493000, 0.0169242000, -0.037364100, -0.226619200, -0.846807000", \
+                        "0.0502909000, 0.0481688000, 0.0417167000, 0.0224864000, -0.037641800, -0.226684600, -0.846623100");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0395613000, 0.0415751000, 0.0477936000, 0.0672979000, 0.1280675000, 0.3205658000, 0.9349088000", \
+                        "0.0396479000, 0.0415302000, 0.0476371000, 0.0672571000, 0.1281309000, 0.3201915000, 0.9342857000", \
+                        "0.0395153000, 0.0414037000, 0.0476438000, 0.0671509000, 0.1279228000, 0.3204590000, 0.9348257000", \
+                        "0.0391068000, 0.0410134000, 0.0472676000, 0.0668522000, 0.1276982000, 0.3200455000, 0.9351994000", \
+                        "0.0390553000, 0.0409748000, 0.0471969000, 0.0665343000, 0.1271950000, 0.3192372000, 0.9355423000", \
+                        "0.0398778000, 0.0416927000, 0.0476057000, 0.0663883000, 0.1265581000, 0.3181038000, 0.9334557000", \
+                        "0.0416282000, 0.0434252000, 0.0491869000, 0.0674288000, 0.1276963000, 0.3192591000, 0.9327795000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0362418000, 0.0343806000, 0.0289794000, 0.0134635000, -0.040812400, -0.229896300, -0.850265700", \
+                        "0.0358080000, 0.0339242000, 0.0284324000, 0.0129968000, -0.041208000, -0.230159200, -0.850552200", \
+                        "0.0354411000, 0.0335238000, 0.0280614000, 0.0126045000, -0.041617000, -0.230400800, -0.850932000", \
+                        "0.0349191000, 0.0330562000, 0.0275643000, 0.0122281000, -0.041958300, -0.231023000, -0.851347400", \
+                        "0.0347406000, 0.0328281000, 0.0273896000, 0.0118894000, -0.042338800, -0.231426600, -0.851742900", \
+                        "0.0358484000, 0.0338972000, 0.0282465000, 0.0125436000, -0.041937100, -0.231069300, -0.851126500", \
+                        "0.0506431000, 0.0484517000, 0.0418505000, 0.0223977000, -0.036971600, -0.227118600, -0.847431300");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0359283000, 0.0378282000, 0.0440853000, 0.0636111000, 0.1244188000, 0.3160895000, 0.9299288000", \
+                        "0.0360349000, 0.0379312000, 0.0441767000, 0.0637181000, 0.1244008000, 0.3166103000, 0.9306387000", \
+                        "0.0359663000, 0.0379126000, 0.0440536000, 0.0636657000, 0.1245127000, 0.3164734000, 0.9314713000", \
+                        "0.0356441000, 0.0375328000, 0.0438203000, 0.0634009000, 0.1241192000, 0.3160873000, 0.9304755000", \
+                        "0.0354905000, 0.0374160000, 0.0435212000, 0.0626111000, 0.1231999000, 0.3156737000, 0.9342956000", \
+                        "0.0361116000, 0.0379285000, 0.0437941000, 0.0627099000, 0.1225578000, 0.3138935000, 0.9298413000", \
+                        "0.0379849000, 0.0397639000, 0.0455313000, 0.0638384000, 0.1241133000, 0.3159176000, 0.9270070000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0278589000, 0.0263467000, 0.0219733000, 0.0080621000, -0.043384400, -0.231043200, -0.852024700", \
+                        "0.0276590000, 0.0260941000, 0.0216974000, 0.0077267000, -0.043592600, -0.231256400, -0.852232800", \
+                        "0.0274330000, 0.0257901000, 0.0214789000, 0.0074665000, -0.044013300, -0.231684700, -0.852642300", \
+                        "0.0267282000, 0.0252244000, 0.0207782000, 0.0068774000, -0.044632200, -0.232274100, -0.853176800", \
+                        "0.0263487000, 0.0248079000, 0.0203911000, 0.0060983000, -0.045424200, -0.232861900, -0.853665000", \
+                        "0.0275805000, 0.0256809000, 0.0198554000, 0.0050089000, -0.046034000, -0.233183400, -0.853773000", \
+                        "0.0436586000, 0.0416433000, 0.0354669000, 0.0165787000, -0.042631200, -0.232947800, -0.853243100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0366708000, 0.0385962000, 0.0448635000, 0.0645450000, 0.1257367000, 0.3185193000, 0.9320236000", \
+                        "0.0365077000, 0.0384045000, 0.0445746000, 0.0643913000, 0.1255210000, 0.3181312000, 0.9337654000", \
+                        "0.0361695000, 0.0380667000, 0.0443517000, 0.0642335000, 0.1252918000, 0.3179270000, 0.9331048000", \
+                        "0.0357545000, 0.0377131000, 0.0439389000, 0.0637006000, 0.1248928000, 0.3174280000, 0.9324048000", \
+                        "0.0359368000, 0.0378343000, 0.0439429000, 0.0634860000, 0.1236328000, 0.3164707000, 0.9328664000", \
+                        "0.0372811000, 0.0390876000, 0.0450124000, 0.0632649000, 0.1238305000, 0.3156721000, 0.9316596000", \
+                        "0.0402745000, 0.0419672000, 0.0477163000, 0.0663875000, 0.1257456000, 0.3175765000, 0.9309257000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0231539000, 0.0215249000, 0.0170558000, 0.0032606000, -0.048117600, -0.235562700, -0.856450200", \
+                        "0.0228792000, 0.0214161000, 0.0169640000, 0.0030321000, -0.048312500, -0.235841900, -0.856717500", \
+                        "0.0223473000, 0.0208159000, 0.0163494000, 0.0024457000, -0.048825600, -0.236352200, -0.857184300", \
+                        "0.0217210000, 0.0201595000, 0.0158205000, 0.0017925000, -0.049562400, -0.237061100, -0.857858400", \
+                        "0.0214075000, 0.0198167000, 0.0152800000, 0.0010485000, -0.050382300, -0.237589100, -0.858233500", \
+                        "0.0246294000, 0.0226291000, 0.0165657000, 0.0009267000, -0.049950900, -0.236954500, -0.857518800", \
+                        "0.0424356000, 0.0402689000, 0.0338257000, 0.0145207000, -0.044712700, -0.234914400, -0.855270600");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
+                    values("0.0325260000, 0.0345066000, 0.0407062000, 0.0604844000, 0.1217914000, 0.3139711000, 0.9285386000", \
+                        "0.0325844000, 0.0344574000, 0.0407607000, 0.0606841000, 0.1217277000, 0.3139776000, 0.9293349000", \
+                        "0.0326142000, 0.0345176000, 0.0407081000, 0.0605783000, 0.1217242000, 0.3141654000, 0.9293893000", \
+                        "0.0322859000, 0.0342293000, 0.0405124000, 0.0602799000, 0.1213277000, 0.3136986000, 0.9328106000", \
+                        "0.0324342000, 0.0343929000, 0.0401279000, 0.0599424000, 0.1199661000, 0.3129087000, 0.9283573000", \
+                        "0.0336791000, 0.0354730000, 0.0412382000, 0.0597827000, 0.1198403000, 0.3112314000, 0.9285972000", \
+                        "0.0359831000, 0.0376815000, 0.0434298000, 0.0617937000, 0.1214178000, 0.3132171000, 0.9262779000");
+                }
+            }
+            max_capacitance : 0.5566500000;
+            max_transition : 1.5033630000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.3374238000, 0.3428658000, 0.3570133000, 0.3880938000, 0.4517398000, 0.5867798000, 0.9378868000", \
+                        "0.3420642000, 0.3475130000, 0.3615453000, 0.3928944000, 0.4562940000, 0.5920468000, 0.9429860000", \
+                        "0.3539506000, 0.3593208000, 0.3734780000, 0.4046737000, 0.4683490000, 0.6034151000, 0.9544638000", \
+                        "0.3797618000, 0.3850454000, 0.3994484000, 0.4307207000, 0.4941276000, 0.6298845000, 0.9810073000", \
+                        "0.4335429000, 0.4389742000, 0.4530707000, 0.4840254000, 0.5474997000, 0.6832127000, 1.0346962000", \
+                        "0.5455875000, 0.5510737000, 0.5653810000, 0.5968788000, 0.6604858000, 0.7958005000, 1.1468563000", \
+                        "0.7457549000, 0.7517569000, 0.7680133000, 0.8027854000, 0.8725596000, 1.0155393000, 1.3706132000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1339664000, 0.1383595000, 0.1502299000, 0.1797273000, 0.2557103000, 0.4823635000, 1.1973705000", \
+                        "0.1382342000, 0.1426572000, 0.1546236000, 0.1841018000, 0.2600593000, 0.4867325000, 1.2022336000", \
+                        "0.1479599000, 0.1523643000, 0.1642214000, 0.1936406000, 0.2697735000, 0.4957367000, 1.2107753000", \
+                        "0.1671702000, 0.1715728000, 0.1834578000, 0.2128964000, 0.2889281000, 0.5153538000, 1.2333328000", \
+                        "0.2078375000, 0.2123729000, 0.2243302000, 0.2539014000, 0.3298582000, 0.5558492000, 1.2743534000", \
+                        "0.2728726000, 0.2778618000, 0.2909908000, 0.3220975000, 0.3988670000, 0.6245442000, 1.3434680000", \
+                        "0.3463795000, 0.3526182000, 0.3689148000, 0.4044361000, 0.4846256000, 0.7111969000, 1.4263811000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0427416000, 0.0461229000, 0.0544953000, 0.0735815000, 0.1209224000, 0.2546715000, 0.6858198000", \
+                        "0.0426790000, 0.0457757000, 0.0549672000, 0.0741827000, 0.1225941000, 0.2542200000, 0.6870736000", \
+                        "0.0428332000, 0.0462222000, 0.0548912000, 0.0735872000, 0.1217855000, 0.2543784000, 0.6862417000", \
+                        "0.0428623000, 0.0462503000, 0.0549384000, 0.0747263000, 0.1225272000, 0.2539292000, 0.6857357000", \
+                        "0.0429356000, 0.0461523000, 0.0540487000, 0.0741470000, 0.1223739000, 0.2548940000, 0.6860744000", \
+                        "0.0445207000, 0.0473970000, 0.0556573000, 0.0753475000, 0.1219967000, 0.2549539000, 0.6868994000", \
+                        "0.0529111000, 0.0560639000, 0.0651716000, 0.0854348000, 0.1344779000, 0.2658163000, 0.6913922000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0256959000, 0.0292613000, 0.0394948000, 0.0690069000, 0.1633822000, 0.4784313000, 1.5027217000", \
+                        "0.0258626000, 0.0292779000, 0.0393795000, 0.0690924000, 0.1635075000, 0.4788874000, 1.5030456000", \
+                        "0.0255769000, 0.0290827000, 0.0393281000, 0.0690117000, 0.1634756000, 0.4788092000, 1.5010977000", \
+                        "0.0256756000, 0.0291940000, 0.0392303000, 0.0689749000, 0.1635637000, 0.4794485000, 1.5026074000", \
+                        "0.0263550000, 0.0301670000, 0.0400725000, 0.0694416000, 0.1634271000, 0.4786597000, 1.5018601000", \
+                        "0.0307179000, 0.0345627000, 0.0445329000, 0.0730720000, 0.1651770000, 0.4776780000, 1.5033631000", \
+                        "0.0405955000, 0.0445930000, 0.0557744000, 0.0831907000, 0.1698283000, 0.4801947000, 1.5010774000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.3183661000, 0.3237971000, 0.3378986000, 0.3691115000, 0.4322769000, 0.5680018000, 0.9192411000", \
+                        "0.3220856000, 0.3273768000, 0.3416451000, 0.3727365000, 0.4362056000, 0.5716361000, 0.9227775000", \
+                        "0.3324488000, 0.3379017000, 0.3519418000, 0.3832314000, 0.4466967000, 0.5824448000, 0.9333617000", \
+                        "0.3571660000, 0.3626117000, 0.3768160000, 0.4079182000, 0.4715260000, 0.6066248000, 0.9577322000", \
+                        "0.4132117000, 0.4185360000, 0.4326273000, 0.4638146000, 0.5272028000, 0.6628985000, 1.0141087000", \
+                        "0.5403712000, 0.5459728000, 0.5602422000, 0.5922895000, 0.6554853000, 0.7916747000, 1.1427179000", \
+                        "0.7737040000, 0.7800448000, 0.7970892000, 0.8329969000, 0.9032699000, 1.0464302000, 1.4023775000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1234323000, 0.1276451000, 0.1388782000, 0.1671259000, 0.2413519000, 0.4659013000, 1.1817889000", \
+                        "0.1282702000, 0.1324166000, 0.1436412000, 0.1719099000, 0.2462965000, 0.4709902000, 1.1845077000", \
+                        "0.1378971000, 0.1420649000, 0.1533673000, 0.1815577000, 0.2558067000, 0.4803765000, 1.1964174000", \
+                        "0.1567299000, 0.1609433000, 0.1721916000, 0.2003875000, 0.2747726000, 0.4990674000, 1.2147833000", \
+                        "0.1945604000, 0.1988391000, 0.2103682000, 0.2389335000, 0.3134756000, 0.5379799000, 1.2536427000", \
+                        "0.2503916000, 0.2552684000, 0.2681369000, 0.2986444000, 0.3745037000, 0.5991342000, 1.3142215000", \
+                        "0.3034725000, 0.3097957000, 0.3260977000, 0.3617076000, 0.4412667000, 0.6664227000, 1.3811023000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0429938000, 0.0462168000, 0.0540798000, 0.0736395000, 0.1222221000, 0.2546751000, 0.6866215000", \
+                        "0.0428160000, 0.0461840000, 0.0544970000, 0.0735851000, 0.1211185000, 0.2552474000, 0.6863130000", \
+                        "0.0426376000, 0.0458086000, 0.0549568000, 0.0740209000, 0.1226275000, 0.2542165000, 0.6868658000", \
+                        "0.0429655000, 0.0462480000, 0.0544612000, 0.0735675000, 0.1210600000, 0.2551038000, 0.6854565000", \
+                        "0.0428341000, 0.0460941000, 0.0541903000, 0.0737531000, 0.1216580000, 0.2550197000, 0.6864096000", \
+                        "0.0450817000, 0.0481145000, 0.0563018000, 0.0761587000, 0.1240651000, 0.2550036000, 0.6865623000", \
+                        "0.0564374000, 0.0594934000, 0.0681679000, 0.0887337000, 0.1360408000, 0.2664476000, 0.6918377000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0239128000, 0.0271534000, 0.0370699000, 0.0663926000, 0.1610106000, 0.4770273000, 1.5025268000", \
+                        "0.0238435000, 0.0272504000, 0.0372421000, 0.0665200000, 0.1608374000, 0.4781932000, 1.4985306000", \
+                        "0.0237399000, 0.0271589000, 0.0372292000, 0.0664106000, 0.1610395000, 0.4770642000, 1.5031868000", \
+                        "0.0238023000, 0.0272425000, 0.0370813000, 0.0662854000, 0.1610316000, 0.4780263000, 1.5017524000", \
+                        "0.0253283000, 0.0287259000, 0.0384883000, 0.0672638000, 0.1613656000, 0.4770109000, 1.5028326000", \
+                        "0.0299458000, 0.0337452000, 0.0434875000, 0.0716147000, 0.1635923000, 0.4762973000, 1.5007138000", \
+                        "0.0407747000, 0.0446348000, 0.0556323000, 0.0830951000, 0.1692307000, 0.4782402000, 1.4959580000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.2773952000, 0.2828373000, 0.2969399000, 0.3281382000, 0.3915401000, 0.5273045000, 0.8785163000", \
+                        "0.2799952000, 0.2854280000, 0.2995680000, 0.3306826000, 0.3942691000, 0.5301882000, 0.8804502000", \
+                        "0.2878505000, 0.2932872000, 0.3074740000, 0.3385169000, 0.4021811000, 0.5375013000, 0.8884460000", \
+                        "0.3099519000, 0.3153711000, 0.3295237000, 0.3604971000, 0.4239220000, 0.5596689000, 0.9111476000", \
+                        "0.3693253000, 0.3747245000, 0.3889580000, 0.4200360000, 0.4834543000, 0.6192335000, 0.9704134000", \
+                        "0.5098268000, 0.5153938000, 0.5295176000, 0.5607911000, 0.6239672000, 0.7603168000, 1.1117149000", \
+                        "0.7529789000, 0.7599040000, 0.7773030000, 0.8153439000, 0.8841055000, 1.0242200000, 1.3800142000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1110479000, 0.1151638000, 0.1262837000, 0.1543039000, 0.2282925000, 0.4524888000, 1.1652799000", \
+                        "0.1160951000, 0.1201941000, 0.1313545000, 0.1593354000, 0.2332095000, 0.4570912000, 1.1728721000", \
+                        "0.1262949000, 0.1304098000, 0.1415213000, 0.1695305000, 0.2435278000, 0.4669676000, 1.1822991000", \
+                        "0.1460656000, 0.1501523000, 0.1613155000, 0.1892686000, 0.2632102000, 0.4872155000, 1.2013244000", \
+                        "0.1836185000, 0.1879610000, 0.1994961000, 0.2280943000, 0.3021857000, 0.5264020000, 1.2438087000", \
+                        "0.2365001000, 0.2415490000, 0.2545920000, 0.2853955000, 0.3614726000, 0.5856539000, 1.3021361000", \
+                        "0.2854872000, 0.2920827000, 0.3090902000, 0.3461616000, 0.4267094000, 0.6513443000, 1.3658724000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0427516000, 0.0459540000, 0.0540473000, 0.0748921000, 0.1215321000, 0.2547279000, 0.6868898000", \
+                        "0.0427922000, 0.0459956000, 0.0547697000, 0.0736522000, 0.1217978000, 0.2549178000, 0.6863154000", \
+                        "0.0427830000, 0.0459485000, 0.0546447000, 0.0736137000, 0.1218787000, 0.2549397000, 0.6854326000", \
+                        "0.0428576000, 0.0461286000, 0.0539916000, 0.0741412000, 0.1215688000, 0.2549921000, 0.6870848000", \
+                        "0.0430956000, 0.0463428000, 0.0547220000, 0.0735574000, 0.1215049000, 0.2545957000, 0.6866476000", \
+                        "0.0454232000, 0.0485037000, 0.0565395000, 0.0748300000, 0.1222023000, 0.2554178000, 0.6869203000", \
+                        "0.0641246000, 0.0678637000, 0.0780255000, 0.0946842000, 0.1386974000, 0.2648934000, 0.6924227000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0231624000, 0.0265384000, 0.0364289000, 0.0657385000, 0.1599573000, 0.4775295000, 1.4982469000", \
+                        "0.0232164000, 0.0266241000, 0.0365010000, 0.0656866000, 0.1603002000, 0.4765651000, 1.5030409000", \
+                        "0.0232900000, 0.0266516000, 0.0365303000, 0.0655934000, 0.1602909000, 0.4769416000, 1.5015771000", \
+                        "0.0231642000, 0.0266019000, 0.0364050000, 0.0655667000, 0.1602243000, 0.4780247000, 1.4998736000", \
+                        "0.0251638000, 0.0285734000, 0.0384667000, 0.0671093000, 0.1607044000, 0.4768108000, 1.5019355000", \
+                        "0.0310325000, 0.0343431000, 0.0449412000, 0.0723698000, 0.1634560000, 0.4760773000, 1.5010372000", \
+                        "0.0428709000, 0.0471168000, 0.0585563000, 0.0855852000, 0.1704072000, 0.4785917000, 1.4977196000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1798884000, 0.1842811000, 0.1958874000, 0.2235323000, 0.2875499000, 0.4363642000, 0.7980317000", \
+                        "0.1847473000, 0.1891439000, 0.2007564000, 0.2286807000, 0.2924379000, 0.4411611000, 0.8030360000", \
+                        "0.1965174000, 0.2008173000, 0.2124604000, 0.2402093000, 0.3041265000, 0.4528170000, 0.8145651000", \
+                        "0.2225968000, 0.2269761000, 0.2385611000, 0.2662559000, 0.3301646000, 0.4789126000, 0.8406479000", \
+                        "0.2811233000, 0.2854791000, 0.2970047000, 0.3246641000, 0.3885965000, 0.5375727000, 0.8994000000", \
+                        "0.3927419000, 0.3975580000, 0.4105565000, 0.4407682000, 0.5086535000, 0.6609721000, 1.0239646000", \
+                        "0.5804688000, 0.5861794000, 0.6015243000, 0.6370933000, 0.7150790000, 0.8824114000, 1.2560522000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1228419000, 0.1272559000, 0.1390930000, 0.1685002000, 0.2446634000, 0.4704938000, 1.1866442000", \
+                        "0.1268247000, 0.1312255000, 0.1430589000, 0.1725172000, 0.2485434000, 0.4752195000, 1.1904326000", \
+                        "0.1366578000, 0.1410508000, 0.1529261000, 0.1823712000, 0.2584787000, 0.4847660000, 1.2031407000", \
+                        "0.1610592000, 0.1654460000, 0.1773060000, 0.2066955000, 0.2827238000, 0.5088786000, 1.2273812000", \
+                        "0.2145764000, 0.2190063000, 0.2308226000, 0.2600239000, 0.3355853000, 0.5619346000, 1.2779251000", \
+                        "0.2894196000, 0.2944544000, 0.3072686000, 0.3375853000, 0.4139136000, 0.6397413000, 1.3594158000", \
+                        "0.3682289000, 0.3746690000, 0.3912481000, 0.4260677000, 0.5038518000, 0.7291139000, 1.4452093000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0295786000, 0.0325736000, 0.0405721000, 0.0637195000, 0.1229063000, 0.2704127000, 0.6930111000", \
+                        "0.0293428000, 0.0323095000, 0.0406151000, 0.0632609000, 0.1229018000, 0.2702811000, 0.6926913000", \
+                        "0.0295602000, 0.0325387000, 0.0410767000, 0.0636086000, 0.1229147000, 0.2701370000, 0.6928036000", \
+                        "0.0296441000, 0.0323526000, 0.0410456000, 0.0636636000, 0.1228861000, 0.2702635000, 0.6929461000", \
+                        "0.0296198000, 0.0325670000, 0.0413529000, 0.0635578000, 0.1230838000, 0.2704930000, 0.6929465000", \
+                        "0.0358784000, 0.0390061000, 0.0476344000, 0.0710666000, 0.1302490000, 0.2750111000, 0.6934493000", \
+                        "0.0495209000, 0.0530483000, 0.0624386000, 0.0880169000, 0.1505446000, 0.2969490000, 0.7026522000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0254994000, 0.0290467000, 0.0392715000, 0.0689834000, 0.1634745000, 0.4789511000, 1.4984879000", \
+                        "0.0256075000, 0.0290736000, 0.0394356000, 0.0688962000, 0.1632227000, 0.4782173000, 1.5028874000", \
+                        "0.0256901000, 0.0291805000, 0.0392800000, 0.0689555000, 0.1635447000, 0.4791726000, 1.5003232000", \
+                        "0.0253880000, 0.0290047000, 0.0391418000, 0.0687133000, 0.1632940000, 0.4788765000, 1.5002158000", \
+                        "0.0263353000, 0.0296940000, 0.0396035000, 0.0690251000, 0.1634426000, 0.4792951000, 1.5030384000", \
+                        "0.0323501000, 0.0356116000, 0.0448838000, 0.0727960000, 0.1652831000, 0.4787433000, 1.5007050000", \
+                        "0.0440457000, 0.0481102000, 0.0582553000, 0.0837788000, 0.1693355000, 0.4808101000, 1.4985173000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1563498000, 0.1607132000, 0.1723696000, 0.2002537000, 0.2641203000, 0.4131478000, 0.7752374000", \
+                        "0.1597902000, 0.1641771000, 0.1758182000, 0.2036868000, 0.2677792000, 0.4167975000, 0.7787206000", \
+                        "0.1691352000, 0.1733856000, 0.1849535000, 0.2129602000, 0.2769606000, 0.4260820000, 0.7880583000", \
+                        "0.1943542000, 0.1986614000, 0.2107449000, 0.2385334000, 0.3027572000, 0.4520086000, 0.8138941000", \
+                        "0.2595313000, 0.2638225000, 0.2752859000, 0.3029345000, 0.3668527000, 0.5160483000, 0.8779824000", \
+                        "0.3823531000, 0.3874468000, 0.4008861000, 0.4321576000, 0.4997004000, 0.6533893000, 1.0170251000", \
+                        "0.5750908000, 0.5813734000, 0.5982075000, 0.6365936000, 0.7182769000, 0.8893892000, 1.2645140000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.1096369000, 0.1140901000, 0.1260426000, 0.1554752000, 0.2317209000, 0.4569075000, 1.1735680000", \
+                        "0.1140660000, 0.1184828000, 0.1303928000, 0.1599480000, 0.2360141000, 0.4621647000, 1.1795187000", \
+                        "0.1247170000, 0.1291329000, 0.1409516000, 0.1704706000, 0.2465801000, 0.4728312000, 1.1874501000", \
+                        "0.1493424000, 0.1537138000, 0.1656153000, 0.1948892000, 0.2707764000, 0.4964736000, 1.2140217000", \
+                        "0.2002453000, 0.2046982000, 0.2165936000, 0.2458956000, 0.3209036000, 0.5470814000, 1.2619231000", \
+                        "0.2668666000, 0.2720933000, 0.2852117000, 0.3157513000, 0.3917823000, 0.6176832000, 1.3341687000", \
+                        "0.3312898000, 0.3380530000, 0.3549651000, 0.3914100000, 0.4697485000, 0.6948718000, 1.4109246000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0295097000, 0.0323250000, 0.0407369000, 0.0634212000, 0.1231931000, 0.2707848000, 0.6923234000", \
+                        "0.0294355000, 0.0325973000, 0.0410636000, 0.0636316000, 0.1232522000, 0.2708736000, 0.6931063000", \
+                        "0.0295571000, 0.0324043000, 0.0410426000, 0.0634039000, 0.1230552000, 0.2706134000, 0.6930100000", \
+                        "0.0294336000, 0.0323898000, 0.0410711000, 0.0634420000, 0.1232523000, 0.2706007000, 0.6920777000", \
+                        "0.0298633000, 0.0325331000, 0.0408954000, 0.0638586000, 0.1236296000, 0.2710591000, 0.6932042000", \
+                        "0.0404869000, 0.0436052000, 0.0524097000, 0.0744115000, 0.1326549000, 0.2769748000, 0.6942268000", \
+                        "0.0584583000, 0.0620433000, 0.0726980000, 0.0995353000, 0.1620075000, 0.3038573000, 0.7057341000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
+                    values("0.0254277000, 0.0289086000, 0.0392810000, 0.0687403000, 0.1630203000, 0.4779973000, 1.4994486000", \
+                        "0.0254514000, 0.0291269000, 0.0392080000, 0.0688759000, 0.1632396000, 0.4788459000, 1.5018214000", \
+                        "0.0254658000, 0.0289534000, 0.0392746000, 0.0687725000, 0.1630841000, 0.4777256000, 1.5021767000", \
+                        "0.0250591000, 0.0285975000, 0.0388727000, 0.0683385000, 0.1628134000, 0.4780131000, 1.5029122000", \
+                        "0.0265874000, 0.0301617000, 0.0402774000, 0.0693071000, 0.1629754000, 0.4775571000, 1.5021843000", \
+                        "0.0337357000, 0.0369968000, 0.0460878000, 0.0737215000, 0.1651911000, 0.4773439000, 1.5027919000", \
+                        "0.0463831000, 0.0504869000, 0.0607555000, 0.0858109000, 0.1705038000, 0.4802090000, 1.5010300000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o32ai_1 */
+
+/* removed sky130_fd_sc_hd__o32ai_2 */
+
+    cell ("sky130_fd_sc_hd__o32ai_4") {
+        leakage_power () {
+            value : 0.0053448000;
+            when : "!A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0005871000;
+            when : "!A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0054625000;
+            when : "!A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0053790000;
+            when : "!A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0082742000;
+            when : "!A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045240000;
+            when : "!A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044326000;
+            when : "!A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0068122000;
+            when : "!A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0068104000;
+            when : "!A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045239000;
+            when : "!A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0029688000;
+            when : "!A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0053484000;
+            when : "!A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0044275000;
+            when : "!A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045203000;
+            when : "!A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0005860000;
+            when : "!A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0029656000;
+            when : "!A1&A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0085026000;
+            when : "A1&!A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045231000;
+            when : "A1&!A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046609000;
+            when : "A1&!A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0070405000;
+            when : "A1&!A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046113000;
+            when : "A1&!A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045279000;
+            when : "A1&!A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0007686000;
+            when : "A1&!A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031482000;
+            when : "A1&!A2&A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0046084000;
+            when : "A1&A2&!A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045240000;
+            when : "A1&A2&!A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0007668000;
+            when : "A1&A2&!A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0031464000;
+            when : "A1&A2&!A3&B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0043299000;
+            when : "A1&A2&A3&!B1&B2";
+        }
+        leakage_power () {
+            value : 0.0045187000;
+            when : "A1&A2&A3&!B1&!B2";
+        }
+        leakage_power () {
+            value : 0.0004894000;
+            when : "A1&A2&A3&B1&B2";
+        }
+        leakage_power () {
+            value : 0.0028679000;
+            when : "A1&A2&A3&B1&!B2";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o32ai";
+        cell_leakage_power : 0.0042500690;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158764000, 0.0158631000, 0.0158324000, 0.0158273000, 0.0158156000, 0.0157886000, 0.0157264000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015758400, -0.015753400, -0.015741800, -0.015736900, -0.015725600, -0.015699700, -0.015640000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090620000;
+        }
+        pin ("A2") {
+            capacitance : 0.0082520000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077010000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0155843000, 0.0155750000, 0.0155536000, 0.0155555000, 0.0155599000, 0.0155700000, 0.0155933000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015522600, -0.015518000, -0.015507400, -0.015510500, -0.015517800, -0.015534500, -0.015573000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088020000;
+        }
+        pin ("A3") {
+            capacitance : 0.0084670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0157120000, 0.0157042000, 0.0156863000, 0.0156806000, 0.0156676000, 0.0156376000, 0.0155684000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015658300, -0.015656200, -0.015651300, -0.015654200, -0.015660900, -0.015676300, -0.015711700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0091230000;
+        }
+        pin ("B1") {
+            capacitance : 0.0083800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080920000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0181881000, 0.0181904000, 0.0181956000, 0.0181893000, 0.0181746000, 0.0181409000, 0.0180631000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001575200, -0.001649800, -0.001821800, -0.001726700, -0.001507400, -0.001002000, 0.0001629000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0086670000;
+        }
+        pin ("B2") {
+            capacitance : 0.0083060000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0079060000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0182531000, 0.0182485000, 0.0182380000, 0.0182401000, 0.0182452000, 0.0182567000, 0.0182834000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001745800, -0.001800500, -0.001926700, -0.001833700, -0.001619500, -0.001125600, 1.2835066e-05");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0087070000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3) | (!B1&!B2)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0345127000, 0.0332180000, 0.0299230000, 0.0211787000, -0.001287300, -0.059728500, -0.212273800", \
+                        "0.0340475000, 0.0327624000, 0.0294331000, 0.0207095000, -0.001762300, -0.060183600, -0.212601100", \
+                        "0.0334474000, 0.0321824000, 0.0288096000, 0.0202009000, -0.002172200, -0.060694500, -0.213201400", \
+                        "0.0329806000, 0.0317009000, 0.0283812000, 0.0196568000, -0.002789900, -0.061107100, -0.213449500", \
+                        "0.0326278000, 0.0313450000, 0.0280667000, 0.0195451000, -0.002800400, -0.061151700, -0.213671500", \
+                        "0.0327239000, 0.0314313000, 0.0281450000, 0.0192910000, -0.003297500, -0.061707500, -0.213980200", \
+                        "0.0355252000, 0.0342167000, 0.0307949000, 0.0219711000, -0.000976700, -0.060247400, -0.213221300");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0669955000, 0.0683560000, 0.0716935000, 0.0804267000, 0.1031608000, 0.1617628000, 0.3133855000", \
+                        "0.0664714000, 0.0677558000, 0.0711613000, 0.0800131000, 0.1027167000, 0.1614102000, 0.3130823000", \
+                        "0.0657432000, 0.0672523000, 0.0705277000, 0.0794216000, 0.1022995000, 0.1610793000, 0.3129967000", \
+                        "0.0652044000, 0.0664741000, 0.0699337000, 0.0789070000, 0.1017333000, 0.1606642000, 0.3127250000", \
+                        "0.0646385000, 0.0659424000, 0.0693394000, 0.0781185000, 0.1009948000, 0.1598506000, 0.3120094000", \
+                        "0.0643939000, 0.0657493000, 0.0690244000, 0.0779672000, 0.1005400000, 0.1595654000, 0.3114826000", \
+                        "0.0637495000, 0.0651534000, 0.0689613000, 0.0783318000, 0.1012411000, 0.1598400000, 0.3117722000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0287912000, 0.0275086000, 0.0242443000, 0.0155855000, -0.006792800, -0.065476400, -0.218131100", \
+                        "0.0286727000, 0.0273901000, 0.0241349000, 0.0155068000, -0.006892200, -0.065504300, -0.218183400", \
+                        "0.0283357000, 0.0270633000, 0.0237784000, 0.0151978000, -0.007149200, -0.065612500, -0.218365900", \
+                        "0.0276879000, 0.0263862000, 0.0231783000, 0.0146488000, -0.007625900, -0.065917600, -0.218548400", \
+                        "0.0268126000, 0.0255293000, 0.0222788000, 0.0139828000, -0.008177000, -0.066164800, -0.218806600", \
+                        "0.0269441000, 0.0256660000, 0.0223917000, 0.0137456000, -0.008831300, -0.067128700, -0.219056000", \
+                        "0.0289944000, 0.0277122000, 0.0242878000, 0.0155648000, -0.007211000, -0.066249600, -0.219259800");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0582765000, 0.0595533000, 0.0629435000, 0.0717570000, 0.0944415000, 0.1530367000, 0.3048388000", \
+                        "0.0576401000, 0.0590264000, 0.0624278000, 0.0712133000, 0.0940197000, 0.1526860000, 0.3042664000", \
+                        "0.0567585000, 0.0582696000, 0.0615724000, 0.0704792000, 0.0933686000, 0.1524677000, 0.3040001000", \
+                        "0.0561413000, 0.0574805000, 0.0607305000, 0.0696624000, 0.0926494000, 0.1516626000, 0.3038533000", \
+                        "0.0554207000, 0.0567217000, 0.0600729000, 0.0689485000, 0.0918638000, 0.1509370000, 0.3033154000", \
+                        "0.0554005000, 0.0566524000, 0.0599861000, 0.0690711000, 0.0917371000, 0.1504866000, 0.3026250000", \
+                        "0.0564215000, 0.0577032000, 0.0609004000, 0.0695175000, 0.0924083000, 0.1506142000, 0.3031254000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0211797000, 0.0199340000, 0.0166707000, 0.0081186000, -0.014277100, -0.072965600, -0.226026700", \
+                        "0.0211159000, 0.0198925000, 0.0167007000, 0.0082120000, -0.014066800, -0.072638500, -0.225554400", \
+                        "0.0208599000, 0.0196451000, 0.0165419000, 0.0081136000, -0.014006300, -0.072430200, -0.225264000", \
+                        "0.0201889000, 0.0189881000, 0.0158913000, 0.0076028000, -0.014356200, -0.072431900, -0.225059200", \
+                        "0.0193992000, 0.0181967000, 0.0150703000, 0.0066859000, -0.015099100, -0.072877300, -0.225249200", \
+                        "0.0196325000, 0.0182784000, 0.0149665000, 0.0065702000, -0.015759100, -0.073999800, -0.225778100", \
+                        "0.0220273000, 0.0207077000, 0.0170610000, 0.0084416000, -0.014564500, -0.073412600, -0.226185900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0497270000, 0.0510053000, 0.0544311000, 0.0632882000, 0.0860527000, 0.1447346000, 0.2965584000", \
+                        "0.0487642000, 0.0502832000, 0.0534980000, 0.0624965000, 0.0854266000, 0.1443306000, 0.2958851000", \
+                        "0.0474610000, 0.0489171000, 0.0523407000, 0.0613211000, 0.0844777000, 0.1437470000, 0.2955945000", \
+                        "0.0464239000, 0.0478462000, 0.0512086000, 0.0603111000, 0.0834214000, 0.1428023000, 0.2950125000", \
+                        "0.0462426000, 0.0475865000, 0.0509483000, 0.0597831000, 0.0822104000, 0.1412933000, 0.2943264000", \
+                        "0.0484784000, 0.0497347000, 0.0531144000, 0.0618488000, 0.0847541000, 0.1415251000, 0.2931228000", \
+                        "0.0555177000, 0.0566994000, 0.0575593000, 0.0655306000, 0.0887282000, 0.1464065000, 0.2954459000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0211092000, 0.0198347000, 0.0165468000, 0.0078357000, -0.014531800, -0.072964900, -0.225374300", \
+                        "0.0206560000, 0.0193800000, 0.0161150000, 0.0074390000, -0.014851100, -0.073310700, -0.225806400", \
+                        "0.0201813000, 0.0189155000, 0.0156170000, 0.0070277000, -0.015290500, -0.073537000, -0.225785300", \
+                        "0.0193617000, 0.0181131000, 0.0148676000, 0.0062727000, -0.016007300, -0.073962300, -0.226249800", \
+                        "0.0191386000, 0.0178138000, 0.0145117000, 0.0059267000, -0.016294100, -0.074462400, -0.226464800", \
+                        "0.0195343000, 0.0182555000, 0.0149117000, 0.0061696000, -0.017090500, -0.075255300, -0.227103400", \
+                        "0.0232009000, 0.0218666000, 0.0184166000, 0.0096408000, -0.012765000, -0.073041800, -0.227086300");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0338398000, 0.0352719000, 0.0389025000, 0.0480205000, 0.0708695000, 0.1293188000, 0.2805288000", \
+                        "0.0330024000, 0.0344561000, 0.0381976000, 0.0474325000, 0.0705962000, 0.1293385000, 0.2807274000", \
+                        "0.0321223000, 0.0335228000, 0.0371309000, 0.0464148000, 0.0697904000, 0.1289245000, 0.2806819000", \
+                        "0.0315209000, 0.0328141000, 0.0363395000, 0.0453770000, 0.0686000000, 0.1280875000, 0.2801807000", \
+                        "0.0309993000, 0.0322921000, 0.0357728000, 0.0446467000, 0.0674833000, 0.1266598000, 0.2791466000", \
+                        "0.0310422000, 0.0323306000, 0.0356698000, 0.0444801000, 0.0673438000, 0.1262063000, 0.2779546000", \
+                        "0.0327379000, 0.0339212000, 0.0370094000, 0.0456964000, 0.0684069000, 0.1264110000, 0.2791932000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0138108000, 0.0126137000, 0.0093994000, 0.0009592000, -0.021275000, -0.079549100, -0.232181700", \
+                        "0.0137279000, 0.0125367000, 0.0093447000, 0.0009344000, -0.021107200, -0.079233000, -0.231711600", \
+                        "0.0136163000, 0.0123802000, 0.0092261000, 0.0008310000, -0.021168400, -0.079086800, -0.231401500", \
+                        "0.0131038000, 0.0118807000, 0.0086542000, 0.0002614000, -0.021700300, -0.079481300, -0.231576400", \
+                        "0.0126518000, 0.0113822000, 0.0081042000, -0.000453400, -0.022231800, -0.080295200, -0.232144100", \
+                        "0.0126887000, 0.0114097000, 0.0080678000, -0.000485500, -0.023000700, -0.081051600, -0.233131600", \
+                        "0.0160245000, 0.0147179000, 0.0111707000, 0.0023248000, -0.020950800, -0.079379600, -0.233327000");
+                }
+                related_pin : "B2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
+                    values("0.0245711000, 0.0260838000, 0.0298386000, 0.0390683000, 0.0621262000, 0.1205688000, 0.2718514000", \
+                        "0.0235387000, 0.0250230000, 0.0287955000, 0.0382725000, 0.0616512000, 0.1205787000, 0.2720410000", \
+                        "0.0226046000, 0.0240320000, 0.0277634000, 0.0370238000, 0.0606010000, 0.1202232000, 0.2719009000", \
+                        "0.0221033000, 0.0234601000, 0.0269762000, 0.0361319000, 0.0594441000, 0.1189266000, 0.2714005000", \
+                        "0.0223061000, 0.0236307000, 0.0269500000, 0.0356090000, 0.0586531000, 0.1176695000, 0.2702767000", \
+                        "0.0245330000, 0.0257698000, 0.0290019000, 0.0376949000, 0.0597971000, 0.1187747000, 0.2688978000", \
+                        "0.0307565000, 0.0322582000, 0.0356743000, 0.0425767000, 0.0636384000, 0.1206441000, 0.2738738000");
+                }
+            }
+            max_capacitance : 0.1538190000;
+            max_transition : 1.5101650000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0634559000, 0.0653257000, 0.0700737000, 0.0814931000, 0.1092210000, 0.1765194000, 0.3435645000", \
+                        "0.0678179000, 0.0696024000, 0.0743106000, 0.0858012000, 0.1134854000, 0.1807836000, 0.3478599000", \
+                        "0.0769281000, 0.0788078000, 0.0834429000, 0.0949166000, 0.1227964000, 0.1898089000, 0.3570086000", \
+                        "0.0948407000, 0.0966748000, 0.1011260000, 0.1126693000, 0.1401080000, 0.2073085000, 0.3745437000", \
+                        "0.1250314000, 0.1272429000, 0.1320560000, 0.1460141000, 0.1764944000, 0.2463092000, 0.4136265000", \
+                        "0.1626124000, 0.1657212000, 0.1738022000, 0.1914417000, 0.2333184000, 0.3185578000, 0.5014621000", \
+                        "0.1828071000, 0.1875033000, 0.1992948000, 0.2272980000, 0.2899480000, 0.4174018000, 0.6581006000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.2198283000, 0.2248810000, 0.2383767000, 0.2740121000, 0.3640344000, 0.5968951000, 1.1972150000", \
+                        "0.2237695000, 0.2291937000, 0.2431259000, 0.2785376000, 0.3693755000, 0.6014671000, 1.2029181000", \
+                        "0.2346847000, 0.2390264000, 0.2541418000, 0.2900045000, 0.3808314000, 0.6143990000, 1.2159037000", \
+                        "0.2591881000, 0.2644198000, 0.2779360000, 0.3132827000, 0.4047160000, 0.6390204000, 1.2405967000", \
+                        "0.3095353000, 0.3144977000, 0.3280957000, 0.3634582000, 0.4550162000, 0.6890651000, 1.2936050000", \
+                        "0.4062264000, 0.4122019000, 0.4277752000, 0.4657046000, 0.5620601000, 0.7949891000, 1.3972660000", \
+                        "0.5705038000, 0.5775878000, 0.5953855000, 0.6456517000, 0.7601581000, 1.0236374000, 1.6359581000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0526568000, 0.0546747000, 0.0599266000, 0.0736434000, 0.1082335000, 0.1968394000, 0.4273771000", \
+                        "0.0524067000, 0.0544726000, 0.0597538000, 0.0734431000, 0.1080978000, 0.1966541000, 0.4276282000", \
+                        "0.0518484000, 0.0539271000, 0.0592958000, 0.0730021000, 0.1077174000, 0.1966370000, 0.4273623000", \
+                        "0.0540352000, 0.0560228000, 0.0610607000, 0.0741103000, 0.1081550000, 0.1963484000, 0.4271926000", \
+                        "0.0676596000, 0.0695809000, 0.0749001000, 0.0876360000, 0.1193970000, 0.2018121000, 0.4275903000", \
+                        "0.1025832000, 0.1048789000, 0.1112142000, 0.1250901000, 0.1602129000, 0.2400776000, 0.4474226000", \
+                        "0.1717172000, 0.1751251000, 0.1835107000, 0.2027989000, 0.2472318000, 0.3423278000, 0.5526390000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1570056000, 0.1642768000, 0.1823515000, 0.2302506000, 0.3526545000, 0.6696890000, 1.4902223000", \
+                        "0.1567360000, 0.1638958000, 0.1820015000, 0.2298328000, 0.3526091000, 0.6686884000, 1.4915970000", \
+                        "0.1566349000, 0.1648002000, 0.1824968000, 0.2298362000, 0.3526142000, 0.6691201000, 1.4877912000", \
+                        "0.1570419000, 0.1636996000, 0.1822997000, 0.2306843000, 0.3527008000, 0.6696984000, 1.4894804000", \
+                        "0.1594688000, 0.1665435000, 0.1839920000, 0.2305556000, 0.3533758000, 0.6705136000, 1.4891360000", \
+                        "0.1840823000, 0.1911377000, 0.2083335000, 0.2547940000, 0.3679031000, 0.6731636000, 1.4870671000", \
+                        "0.2444722000, 0.2521253000, 0.2712983000, 0.3197688000, 0.4393245000, 0.7312903000, 1.5034490000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0598996000, 0.0615359000, 0.0658675000, 0.0761600000, 0.1016371000, 0.1652302000, 0.3268520000", \
+                        "0.0645591000, 0.0661788000, 0.0705280000, 0.0807398000, 0.1062795000, 0.1699381000, 0.3315876000", \
+                        "0.0740976000, 0.0756163000, 0.0797866000, 0.0901792000, 0.1158812000, 0.1793477000, 0.3409175000", \
+                        "0.0918103000, 0.0933814000, 0.0978272000, 0.1086300000, 0.1342642000, 0.1978993000, 0.3597883000", \
+                        "0.1191609000, 0.1213417000, 0.1269325000, 0.1404504000, 0.1705686000, 0.2385698000, 0.4009462000", \
+                        "0.1478484000, 0.1511010000, 0.1592288000, 0.1793142000, 0.2220431000, 0.3109116000, 0.4942142000", \
+                        "0.1478173000, 0.1533791000, 0.1664121000, 0.1965742000, 0.2645361000, 0.4001763000, 0.6494170000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.2060386000, 0.2113910000, 0.2253440000, 0.2603997000, 0.3511886000, 0.5838098000, 1.1841556000", \
+                        "0.2088612000, 0.2144671000, 0.2283427000, 0.2629296000, 0.3542369000, 0.5874065000, 1.1880903000", \
+                        "0.2183804000, 0.2226237000, 0.2378544000, 0.2733415000, 0.3652486000, 0.5980642000, 1.1994494000", \
+                        "0.2429254000, 0.2491211000, 0.2631975000, 0.2985512000, 0.3901780000, 0.6245234000, 1.2267469000", \
+                        "0.3010002000, 0.3068200000, 0.3199939000, 0.3555556000, 0.4466766000, 0.6811719000, 1.2842593000", \
+                        "0.4215279000, 0.4281659000, 0.4438248000, 0.4853479000, 0.5820750000, 0.8160305000, 1.4187937000", \
+                        "0.6311591000, 0.6401612000, 0.6627107000, 0.7178666000, 0.8462167000, 1.1190462000, 1.7351607000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0416919000, 0.0436366000, 0.0486437000, 0.0614970000, 0.0947322000, 0.1814062000, 0.4068555000", \
+                        "0.0416969000, 0.0436159000, 0.0486317000, 0.0615173000, 0.0948434000, 0.1813864000, 0.4069075000", \
+                        "0.0415932000, 0.0435299000, 0.0485317000, 0.0614529000, 0.0946641000, 0.1811890000, 0.4070174000", \
+                        "0.0448133000, 0.0467081000, 0.0511152000, 0.0633430000, 0.0954962000, 0.1813513000, 0.4065814000", \
+                        "0.0599517000, 0.0618388000, 0.0667616000, 0.0793501000, 0.1097351000, 0.1883419000, 0.4075401000", \
+                        "0.0962995000, 0.0987672000, 0.1048439000, 0.1198558000, 0.1539510000, 0.2333925000, 0.4324732000", \
+                        "0.1656964000, 0.1689564000, 0.1784920000, 0.2005709000, 0.2462021000, 0.3435820000, 0.5470427000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1567602000, 0.1639096000, 0.1819757000, 0.2298642000, 0.3525033000, 0.6692148000, 1.4915819000", \
+                        "0.1567655000, 0.1639877000, 0.1821962000, 0.2299755000, 0.3526098000, 0.6709591000, 1.4873838000", \
+                        "0.1566157000, 0.1648403000, 0.1825320000, 0.2299705000, 0.3526985000, 0.6689391000, 1.4848284000", \
+                        "0.1573045000, 0.1640928000, 0.1820554000, 0.2298357000, 0.3526330000, 0.6709037000, 1.4915337000", \
+                        "0.1618326000, 0.1688797000, 0.1857155000, 0.2311088000, 0.3532922000, 0.6685512000, 1.4863508000", \
+                        "0.1996020000, 0.2067576000, 0.2235016000, 0.2654152000, 0.3738293000, 0.6733404000, 1.4892765000", \
+                        "0.2900615000, 0.2973877000, 0.3162180000, 0.3634872000, 0.4827837000, 0.7465049000, 1.5033821000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0458665000, 0.0474218000, 0.0512970000, 0.0607423000, 0.0839245000, 0.1422340000, 0.2913739000", \
+                        "0.0507340000, 0.0521896000, 0.0561530000, 0.0655117000, 0.0888533000, 0.1472680000, 0.2962445000", \
+                        "0.0605617000, 0.0621186000, 0.0660622000, 0.0755574000, 0.0991891000, 0.1577763000, 0.3068745000", \
+                        "0.0779344000, 0.0796842000, 0.0843266000, 0.0947308000, 0.1190141000, 0.1778749000, 0.3274388000", \
+                        "0.1005320000, 0.1030143000, 0.1095016000, 0.1235135000, 0.1551888000, 0.2215607000, 0.3725398000", \
+                        "0.1167183000, 0.1209041000, 0.1305330000, 0.1524252000, 0.2003993000, 0.2933072000, 0.4721626000", \
+                        "0.0952932000, 0.1014104000, 0.1164547000, 0.1538644000, 0.2285788000, 0.3740253000, 0.6297182000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1647324000, 0.1703224000, 0.1832449000, 0.2196188000, 0.3099044000, 0.5428016000, 1.1432353000", \
+                        "0.1659165000, 0.1707974000, 0.1853043000, 0.2214799000, 0.3126162000, 0.5457569000, 1.1465651000", \
+                        "0.1725368000, 0.1781564000, 0.1919072000, 0.2286517000, 0.3205957000, 0.5546860000, 1.1570772000", \
+                        "0.1954652000, 0.2009037000, 0.2152845000, 0.2503835000, 0.3432795000, 0.5782637000, 1.1811140000", \
+                        "0.2593066000, 0.2643418000, 0.2776627000, 0.3128824000, 0.4017976000, 0.6366405000, 1.2401778000", \
+                        "0.3952213000, 0.4019998000, 0.4191159000, 0.4604287000, 0.5540794000, 0.7796800000, 1.3811158000", \
+                        "0.6171946000, 0.6277217000, 0.6520804000, 0.7103356000, 0.8457113000, 1.1273439000, 1.7245027000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0296031000, 0.0313444000, 0.0357935000, 0.0476216000, 0.0782985000, 0.1580673000, 0.3660032000", \
+                        "0.0295309000, 0.0312678000, 0.0357772000, 0.0476371000, 0.0782991000, 0.1580126000, 0.3657256000", \
+                        "0.0295022000, 0.0312804000, 0.0357522000, 0.0475746000, 0.0783229000, 0.1581364000, 0.3659608000", \
+                        "0.0354624000, 0.0370795000, 0.0412579000, 0.0514992000, 0.0800763000, 0.1581108000, 0.3657758000", \
+                        "0.0528915000, 0.0547112000, 0.0593158000, 0.0709468000, 0.0989027000, 0.1685820000, 0.3669045000", \
+                        "0.0897749000, 0.0922227000, 0.0983631000, 0.1136274000, 0.1470062000, 0.2217774000, 0.3987694000", \
+                        "0.1590398000, 0.1626734000, 0.1717102000, 0.1946208000, 0.2414539000, 0.3366144000, 0.5280526000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.1568235000, 0.1636200000, 0.1822121000, 0.2302752000, 0.3526028000, 0.6687254000, 1.4861015000", \
+                        "0.1565243000, 0.1642830000, 0.1822509000, 0.2303084000, 0.3524857000, 0.6684617000, 1.4849265000", \
+                        "0.1567935000, 0.1641793000, 0.1824399000, 0.2294858000, 0.3527821000, 0.6683067000, 1.4915715000", \
+                        "0.1554622000, 0.1629198000, 0.1813062000, 0.2295506000, 0.3528471000, 0.6706558000, 1.4864945000", \
+                        "0.1621227000, 0.1687968000, 0.1859036000, 0.2306829000, 0.3512898000, 0.6685068000, 1.4907353000", \
+                        "0.2132370000, 0.2205459000, 0.2388699000, 0.2805025000, 0.3819971000, 0.6741649000, 1.4920574000", \
+                        "0.3042253000, 0.3142086000, 0.3394526000, 0.3967982000, 0.5190539000, 0.7858444000, 1.5101654000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0513215000, 0.0531678000, 0.0579121000, 0.0692654000, 0.0971513000, 0.1640971000, 0.3313117000", \
+                        "0.0550856000, 0.0569626000, 0.0616862000, 0.0730860000, 0.1010326000, 0.1680844000, 0.3352175000", \
+                        "0.0647423000, 0.0666198000, 0.0712442000, 0.0827935000, 0.1104631000, 0.1778053000, 0.3450521000", \
+                        "0.0893068000, 0.0911897000, 0.0955587000, 0.1064096000, 0.1334020000, 0.2004430000, 0.3677239000", \
+                        "0.1259152000, 0.1285069000, 0.1352122000, 0.1509234000, 0.1850745000, 0.2550060000, 0.4217377000", \
+                        "0.1635055000, 0.1674175000, 0.1765458000, 0.1995909000, 0.2530406000, 0.3541399000, 0.5471835000", \
+                        "0.1788392000, 0.1846342000, 0.1991058000, 0.2336794000, 0.3109369000, 0.4695788000, 0.7621294000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0792204000, 0.0834163000, 0.0939052000, 0.1199934000, 0.1859499000, 0.3524727000, 0.7822319000", \
+                        "0.0831628000, 0.0873329000, 0.0979320000, 0.1244350000, 0.1908667000, 0.3576772000, 0.7891137000", \
+                        "0.0947185000, 0.0987072000, 0.1090248000, 0.1356374000, 0.2027744000, 0.3713541000, 0.8052640000", \
+                        "0.1217184000, 0.1255421000, 0.1357804000, 0.1617414000, 0.2281990000, 0.3981131000, 0.8301085000", \
+                        "0.1698880000, 0.1751796000, 0.1881236000, 0.2184820000, 0.2858575000, 0.4544796000, 0.8884484000", \
+                        "0.2502680000, 0.2581948000, 0.2762763000, 0.3180836000, 0.4093902000, 0.5906166000, 1.0245110000", \
+                        "0.3842713000, 0.3961251000, 0.4246823000, 0.4880846000, 0.6185492000, 0.8623986000, 1.3428663000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0516550000, 0.0536827000, 0.0589770000, 0.0726792000, 0.1073196000, 0.1965140000, 0.4272577000", \
+                        "0.0517493000, 0.0537786000, 0.0591125000, 0.0727891000, 0.1073759000, 0.1966382000, 0.4273292000", \
+                        "0.0502483000, 0.0523155000, 0.0578549000, 0.0720081000, 0.1073861000, 0.1963085000, 0.4270915000", \
+                        "0.0567047000, 0.0584129000, 0.0631861000, 0.0751971000, 0.1077845000, 0.1960623000, 0.4271190000", \
+                        "0.0784322000, 0.0809395000, 0.0871288000, 0.1016173000, 0.1334942000, 0.2082171000, 0.4272521000", \
+                        "0.1214887000, 0.1251885000, 0.1342296000, 0.1547802000, 0.1958149000, 0.2838720000, 0.4693759000", \
+                        "0.1949400000, 0.2003322000, 0.2135885000, 0.2441496000, 0.3087761000, 0.4294281000, 0.6503731000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0967887000, 0.1019099000, 0.1151702000, 0.1495030000, 0.2376917000, 0.4639955000, 1.0527158000", \
+                        "0.0968391000, 0.1019198000, 0.1151739000, 0.1495078000, 0.2376349000, 0.4638414000, 1.0515320000", \
+                        "0.0969174000, 0.1019885000, 0.1152463000, 0.1495194000, 0.2376658000, 0.4638747000, 1.0532438000", \
+                        "0.0993821000, 0.1040104000, 0.1163640000, 0.1497975000, 0.2376218000, 0.4642853000, 1.0525668000", \
+                        "0.1202482000, 0.1239684000, 0.1344283000, 0.1635489000, 0.2436354000, 0.4640641000, 1.0520377000", \
+                        "0.1728244000, 0.1769312000, 0.1879715000, 0.2161414000, 0.2880447000, 0.4838429000, 1.0521723000", \
+                        "0.2770544000, 0.2818396000, 0.2932750000, 0.3252684000, 0.4035652000, 0.5930438000, 1.0968682000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0398049000, 0.0419475000, 0.0472208000, 0.0599396000, 0.0900344000, 0.1617752000, 0.3403261000", \
+                        "0.0440104000, 0.0462057000, 0.0513729000, 0.0642556000, 0.0944078000, 0.1664387000, 0.3451251000", \
+                        "0.0547086000, 0.0567092000, 0.0619766000, 0.0743065000, 0.1045272000, 0.1766478000, 0.3554658000", \
+                        "0.0797085000, 0.0819111000, 0.0873939000, 0.0997102000, 0.1285689000, 0.2004095000, 0.3794376000", \
+                        "0.1108533000, 0.1140804000, 0.1219742000, 0.1400626000, 0.1794418000, 0.2548627000, 0.4333636000", \
+                        "0.1407719000, 0.1455024000, 0.1570480000, 0.1838468000, 0.2424166000, 0.3558498000, 0.5599824000", \
+                        "0.1441490000, 0.1511124000, 0.1683414000, 0.2084562000, 0.2968317000, 0.4689596000, 0.7824718000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0558125000, 0.0600677000, 0.0707630000, 0.0975658000, 0.1635061000, 0.3322808000, 0.7619566000", \
+                        "0.0579974000, 0.0621648000, 0.0729793000, 0.0999973000, 0.1671560000, 0.3342411000, 0.7657153000", \
+                        "0.0677964000, 0.0717915000, 0.0824206000, 0.1087485000, 0.1761958000, 0.3464983000, 0.7770790000", \
+                        "0.0958815000, 0.1000091000, 0.1095686000, 0.1352561000, 0.2006917000, 0.3703770000, 0.8036033000", \
+                        "0.1441102000, 0.1504186000, 0.1655108000, 0.1981660000, 0.2663888000, 0.4331353000, 0.8674768000", \
+                        "0.2225903000, 0.2318431000, 0.2542661000, 0.3053086000, 0.4039866000, 0.5873463000, 1.0143826000", \
+                        "0.3625560000, 0.3751406000, 0.4066645000, 0.4785030000, 0.6261088000, 0.8996188000, 1.3742841000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0470078000, 0.0491327000, 0.0546222000, 0.0690100000, 0.1056936000, 0.2004551000, 0.4473643000", \
+                        "0.0466672000, 0.0489236000, 0.0545125000, 0.0691108000, 0.1057072000, 0.2004564000, 0.4473489000", \
+                        "0.0453892000, 0.0474414000, 0.0531182000, 0.0676620000, 0.1053384000, 0.2004825000, 0.4472024000", \
+                        "0.0544414000, 0.0561023000, 0.0609553000, 0.0726371000, 0.1061115000, 0.1997632000, 0.4472468000", \
+                        "0.0756354000, 0.0783049000, 0.0849273000, 0.1003153000, 0.1345478000, 0.2121296000, 0.4467409000", \
+                        "0.1171367000, 0.1209469000, 0.1303795000, 0.1524906000, 0.1984094000, 0.2868873000, 0.4863498000", \
+                        "0.1910377000, 0.1964955000, 0.2109367000, 0.2441011000, 0.3109850000, 0.4416512000, 0.6687170000");
+                }
+                related_pin : "B2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
+                    values("0.0970667000, 0.1021988000, 0.1155891000, 0.1499743000, 0.2381218000, 0.4647097000, 1.0535203000", \
+                        "0.0969241000, 0.1020286000, 0.1154806000, 0.1499480000, 0.2381197000, 0.4644866000, 1.0531522000", \
+                        "0.0960438000, 0.1014145000, 0.1150225000, 0.1498218000, 0.2381010000, 0.4644991000, 1.0531443000", \
+                        "0.1045844000, 0.1086751000, 0.1198898000, 0.1506244000, 0.2378198000, 0.4646515000, 1.0537832000", \
+                        "0.1429665000, 0.1451605000, 0.1529327000, 0.1769429000, 0.2482767000, 0.4642505000, 1.0523895000", \
+                        "0.2052948000, 0.2099460000, 0.2221750000, 0.2520701000, 0.3174375000, 0.4949549000, 1.0521913000", \
+                        "0.3140391000, 0.3207013000, 0.3368382000, 0.3770839000, 0.4705830000, 0.6600374000, 1.1234288000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41a_1 */
+
+/* removed sky130_fd_sc_hd__o41a_2 */
+
+    cell ("sky130_fd_sc_hd__o41a_4") {
+        leakage_power () {
+            value : 0.0078470000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0048416000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0083431000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0068856000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0049030000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054225000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0066887000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054209000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048914000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054218000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048945000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054189000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0047815000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054229000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0060117000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048222000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054182000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0051636000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054218000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077229000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054226000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0048391000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054212000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0050057000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054252000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0446182000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054272000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0076984000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0054248000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 21.270400000;
+        cell_footprint : "sky130_fd_sc_hd__o41a";
+        cell_leakage_power : 0.0069153160;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0044650000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0042480000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080646000, 0.0080569000, 0.0080392000, 0.0080422000, 0.0080492000, 0.0080654000, 0.0081028000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008021600, -0.008019300, -0.008013800, -0.008010300, -0.008002100, -0.007983300, -0.007939900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0046820000;
+        }
+        pin ("A2") {
+            capacitance : 0.0044620000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0041540000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0080316000, 0.0080303000, 0.0080273000, 0.0080288000, 0.0080320000, 0.0080394000, 0.0080567000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.008003900, -0.008001300, -0.007995200, -0.007993900, -0.007990900, -0.007984000, -0.007968100");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0047700000;
+        }
+        pin ("A3") {
+            capacitance : 0.0041920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0038750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0079123000, 0.0079071000, 0.0078952000, 0.0078955000, 0.0078960000, 0.0078971000, 0.0079000000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007889800, -0.007884800, -0.007873400, -0.007872500, -0.007870300, -0.007865400, -0.007854000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045090000;
+        }
+        pin ("A4") {
+            capacitance : 0.0042080000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0038560000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0078895000, 0.0078851000, 0.0078750000, 0.0078757000, 0.0078773000, 0.0078810000, 0.0078895000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.007856100, -0.007853800, -0.007848600, -0.007844900, -0.007836300, -0.007816400, -0.007770500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045590000;
+        }
+        pin ("B1") {
+            capacitance : 0.0044470000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0043050000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0093262000, 0.0093268000, 0.0093283000, 0.0093259000, 0.0093206000, 0.0093083000, 0.0092799000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000791800, -0.000838700, -0.000946600, -0.000884800, -0.000742200, -0.000413600, 0.0003440000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0045900000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0439783000, 0.0420588000, 0.0363499000, 0.0206423000, -0.032700900, -0.216793700, -0.822394600", \
+                        "0.0434283000, 0.0414422000, 0.0358783000, 0.0201095000, -0.033098600, -0.216902800, -0.822340600", \
+                        "0.0430692000, 0.0411515000, 0.0356104000, 0.0198103000, -0.033166600, -0.217192500, -0.823002300", \
+                        "0.0428186000, 0.0408255000, 0.0352140000, 0.0194362000, -0.033823700, -0.217613300, -0.823036100", \
+                        "0.0425683000, 0.0406415000, 0.0350768000, 0.0192229000, -0.034028800, -0.218092300, -0.823637700", \
+                        "0.0423393000, 0.0403639000, 0.0347474000, 0.0188939000, -0.034320300, -0.218315600, -0.823822900", \
+                        "0.0492557000, 0.0471022000, 0.0407517000, 0.0218911000, -0.035200600, -0.218704500, -0.824031100");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0403625000, 0.0423646000, 0.0487949000, 0.0687762000, 0.1295633000, 0.3183138000, 0.9190766000", \
+                        "0.0400714000, 0.0421021000, 0.0485029000, 0.0685753000, 0.1294449000, 0.3183636000, 0.9183605000", \
+                        "0.0398104000, 0.0417908000, 0.0482347000, 0.0682545000, 0.1290435000, 0.3181637000, 0.9185025000", \
+                        "0.0394890000, 0.0414731000, 0.0479014000, 0.0680668000, 0.1287064000, 0.3173079000, 0.9228043000", \
+                        "0.0398670000, 0.0418956000, 0.0482104000, 0.0678781000, 0.1281317000, 0.3170033000, 0.9183726000", \
+                        "0.0419722000, 0.0437768000, 0.0496679000, 0.0682533000, 0.1275311000, 0.3155306000, 0.9172893000", \
+                        "0.0437613000, 0.0454915000, 0.0512630000, 0.0695798000, 0.1282973000, 0.3173296000, 0.9207710000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0390438000, 0.0370633000, 0.0315110000, 0.0157321000, -0.037645600, -0.221546700, -0.827086800", \
+                        "0.0385463000, 0.0365789000, 0.0309455000, 0.0156753000, -0.037640600, -0.221778300, -0.827494900", \
+                        "0.0382374000, 0.0363316000, 0.0306724000, 0.0149397000, -0.038343900, -0.222106100, -0.827604400", \
+                        "0.0378451000, 0.0359278000, 0.0303863000, 0.0145842000, -0.038396900, -0.222427800, -0.828214400", \
+                        "0.0375523000, 0.0358627000, 0.0301477000, 0.0144437000, -0.038937600, -0.223019900, -0.828435300", \
+                        "0.0375658000, 0.0360522000, 0.0301556000, 0.0142912000, -0.039183400, -0.223172000, -0.828700900", \
+                        "0.0452374000, 0.0431158000, 0.0367460000, 0.0177181000, -0.040324100, -0.223202200, -0.828618300");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0380630000, 0.0400615000, 0.0463119000, 0.0658966000, 0.1257634000, 0.3143191000, 0.9143748000", \
+                        "0.0380200000, 0.0400728000, 0.0462863000, 0.0658658000, 0.1257283000, 0.3142796000, 0.9144596000", \
+                        "0.0379782000, 0.0399219000, 0.0462261000, 0.0657185000, 0.1256797000, 0.3138374000, 0.9148175000", \
+                        "0.0376877000, 0.0396593000, 0.0459457000, 0.0655247000, 0.1253555000, 0.3134844000, 0.9149345000", \
+                        "0.0374958000, 0.0394235000, 0.0456765000, 0.0647142000, 0.1247714000, 0.3132616000, 0.9182063000", \
+                        "0.0389490000, 0.0407544000, 0.0466183000, 0.0654256000, 0.1240667000, 0.3119548000, 0.9128569000", \
+                        "0.0405450000, 0.0423042000, 0.0479534000, 0.0665072000, 0.1247702000, 0.3133675000, 0.9119709000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0343739000, 0.0324025000, 0.0268763000, 0.0110654000, -0.041872400, -0.225945300, -0.831625100", \
+                        "0.0342880000, 0.0322979000, 0.0266566000, 0.0109307000, -0.042362200, -0.226300700, -0.831842300", \
+                        "0.0337146000, 0.0318592000, 0.0262641000, 0.0104792000, -0.042734800, -0.226501200, -0.832214100", \
+                        "0.0334191000, 0.0314438000, 0.0258575000, 0.0100850000, -0.043179200, -0.226927900, -0.832288900", \
+                        "0.0329216000, 0.0310751000, 0.0255115000, 0.0097958000, -0.043366900, -0.227558300, -0.832903100", \
+                        "0.0331044000, 0.0311562000, 0.0259851000, 0.0099536000, -0.043370500, -0.227600000, -0.833159100", \
+                        "0.0423261000, 0.0401158000, 0.0335169000, 0.0141243000, -0.043586700, -0.227409700, -0.832938000");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0358202000, 0.0377941000, 0.0439090000, 0.0632301000, 0.1228587000, 0.3107045000, 0.9105037000", \
+                        "0.0358626000, 0.0378245000, 0.0440044000, 0.0632952000, 0.1229186000, 0.3107838000, 0.9112044000", \
+                        "0.0356858000, 0.0376373000, 0.0438611000, 0.0632530000, 0.1228485000, 0.3108195000, 0.9109318000", \
+                        "0.0354400000, 0.0374106000, 0.0435856000, 0.0629445000, 0.1224129000, 0.3107541000, 0.9151230000", \
+                        "0.0352527000, 0.0371666000, 0.0432604000, 0.0621232000, 0.1217293000, 0.3097939000, 0.9109627000", \
+                        "0.0356026000, 0.0374393000, 0.0434128000, 0.0619765000, 0.1208833000, 0.3079884000, 0.9133936000", \
+                        "0.0370423000, 0.0387471000, 0.0445285000, 0.0626394000, 0.1220228000, 0.3101518000, 0.9072910000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0296892000, 0.0277885000, 0.0221692000, 0.0064379000, -0.046818000, -0.230654100, -0.836031400", \
+                        "0.0294191000, 0.0274772000, 0.0218150000, 0.0061055000, -0.047102100, -0.231015600, -0.836423000", \
+                        "0.0290284000, 0.0270384000, 0.0214279000, 0.0057453000, -0.047487200, -0.231538900, -0.836995600", \
+                        "0.0284552000, 0.0264498000, 0.0208321000, 0.0052342000, -0.047985500, -0.231930400, -0.837501200", \
+                        "0.0282014000, 0.0262540000, 0.0206234000, 0.0049771000, -0.048299200, -0.232209100, -0.837777300", \
+                        "0.0287623000, 0.0267884000, 0.0212183000, 0.0054041000, -0.047528200, -0.231720600, -0.837305500", \
+                        "0.0414128000, 0.0392017000, 0.0325931000, 0.0123139000, -0.045360400, -0.229491200, -0.835169600");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0315990000, 0.0335710000, 0.0396948000, 0.0590487000, 0.1185276000, 0.3058755000, 0.9063555000", \
+                        "0.0316010000, 0.0335699000, 0.0397859000, 0.0590165000, 0.1185418000, 0.3058158000, 0.9077790000", \
+                        "0.0314515000, 0.0333929000, 0.0395825000, 0.0590017000, 0.1183955000, 0.3059716000, 0.9023346000", \
+                        "0.0311171000, 0.0330875000, 0.0392372000, 0.0584656000, 0.1179035000, 0.3044266000, 0.9021256000", \
+                        "0.0306301000, 0.0325638000, 0.0387374000, 0.0577178000, 0.1169476000, 0.3053911000, 0.9069618000", \
+                        "0.0311787000, 0.0330438000, 0.0388764000, 0.0576232000, 0.1160474000, 0.3031590000, 0.9059032000", \
+                        "0.0325830000, 0.0342665000, 0.0401550000, 0.0583728000, 0.1177410000, 0.3053359000, 0.9019621000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0254726000, 0.0241533000, 0.0203355000, 0.0064708000, -0.046689300, -0.234649700, -0.841895400", \
+                        "0.0251286000, 0.0238630000, 0.0200237000, 0.0061532000, -0.046977400, -0.234932000, -0.842180700", \
+                        "0.0246352000, 0.0232545000, 0.0193606000, 0.0055166000, -0.047467300, -0.235383100, -0.842616900", \
+                        "0.0240316000, 0.0229461000, 0.0187083000, 0.0047399000, -0.048228900, -0.235957500, -0.843130200", \
+                        "0.0236742000, 0.0222067000, 0.0178812000, 0.0035650000, -0.049019500, -0.236335700, -0.843307400", \
+                        "0.0318221000, 0.0300103000, 0.0243323000, 0.0064947000, -0.049059900, -0.235612500, -0.842428500", \
+                        "0.0399853000, 0.0380160000, 0.0320851000, 0.0135664000, -0.044686800, -0.233268900, -0.839268800");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
+                    values("0.0331971000, 0.0351517000, 0.0416173000, 0.0617114000, 0.1225435000, 0.3114694000, 0.9164569000", \
+                        "0.0330519000, 0.0349976000, 0.0414698000, 0.0615522000, 0.1223706000, 0.3113037000, 0.9162728000", \
+                        "0.0328083000, 0.0348558000, 0.0412755000, 0.0613813000, 0.1221784000, 0.3111827000, 0.9117541000", \
+                        "0.0326575000, 0.0346367000, 0.0410446000, 0.0610449000, 0.1217241000, 0.3106129000, 0.9121651000", \
+                        "0.0327938000, 0.0347461000, 0.0409619000, 0.0605559000, 0.1207347000, 0.3095516000, 0.9150723000", \
+                        "0.0353410000, 0.0371317000, 0.0430159000, 0.0612612000, 0.1207518000, 0.3083159000, 0.9151623000", \
+                        "0.0379209000, 0.0401051000, 0.0457781000, 0.0642517000, 0.1224170000, 0.3104794000, 0.9103267000");
+                }
+            }
+            max_capacitance : 0.5447490000;
+            max_transition : 1.5032640000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.4460322000, 0.4519886000, 0.4675211000, 0.5014674000, 0.5699773000, 0.7134920000, 1.0631832000", \
+                        "0.4497962000, 0.4558108000, 0.4714082000, 0.5052580000, 0.5740716000, 0.7167658000, 1.0665929000", \
+                        "0.4610607000, 0.4667726000, 0.4825044000, 0.5164446000, 0.5845542000, 0.7282804000, 1.0777331000", \
+                        "0.4870039000, 0.4930262000, 0.5084254000, 0.5424898000, 0.6109259000, 0.7538081000, 1.1037488000", \
+                        "0.5419073000, 0.5479038000, 0.5635211000, 0.5974554000, 0.6659818000, 0.8090536000, 1.1580749000", \
+                        "0.6532471000, 0.6592472000, 0.6747865000, 0.7087438000, 0.7773094000, 0.9207025000, 1.2704546000", \
+                        "0.8557954000, 0.8621984000, 0.8788715000, 0.9149967000, 0.9869221000, 1.1352312000, 1.4885694000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1170069000, 0.1215445000, 0.1338094000, 0.1642170000, 0.2429642000, 0.4725256000, 1.1898970000", \
+                        "0.1214504000, 0.1259674000, 0.1382323000, 0.1686346000, 0.2472977000, 0.4769404000, 1.1948121000", \
+                        "0.1312368000, 0.1357535000, 0.1479816000, 0.1783599000, 0.2569832000, 0.4857461000, 1.2054413000", \
+                        "0.1510106000, 0.1555515000, 0.1676887000, 0.1979555000, 0.2761217000, 0.5059154000, 1.2250447000", \
+                        "0.1913350000, 0.1959015000, 0.2082371000, 0.2383304000, 0.3162982000, 0.5451249000, 1.2622823000", \
+                        "0.2514433000, 0.2565593000, 0.2700352000, 0.3016992000, 0.3800912000, 0.6084176000, 1.3284666000", \
+                        "0.3115759000, 0.3181081000, 0.3348453000, 0.3712956000, 0.4522452000, 0.6804983000, 1.3983329000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0531609000, 0.0565111000, 0.0645686000, 0.0846616000, 0.1341176000, 0.2673334000, 0.6724763000", \
+                        "0.0527596000, 0.0560011000, 0.0649656000, 0.0848552000, 0.1342564000, 0.2672857000, 0.6733554000", \
+                        "0.0530293000, 0.0562082000, 0.0646823000, 0.0849191000, 0.1354189000, 0.2671171000, 0.6734683000", \
+                        "0.0531124000, 0.0560247000, 0.0653036000, 0.0853508000, 0.1356062000, 0.2673917000, 0.6719931000", \
+                        "0.0528121000, 0.0560890000, 0.0652111000, 0.0848319000, 0.1343800000, 0.2675550000, 0.6735683000", \
+                        "0.0528112000, 0.0560622000, 0.0646741000, 0.0848628000, 0.1343545000, 0.2674743000, 0.6722591000", \
+                        "0.0598538000, 0.0628591000, 0.0723148000, 0.0927517000, 0.1448058000, 0.2734464000, 0.6771499000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0261837000, 0.0298409000, 0.0405339000, 0.0710954000, 0.1676810000, 0.4822021000, 1.5007117000", \
+                        "0.0262293000, 0.0297414000, 0.0404969000, 0.0709349000, 0.1676666000, 0.4827144000, 1.4984134000", \
+                        "0.0260961000, 0.0297288000, 0.0402856000, 0.0710790000, 0.1673650000, 0.4828702000, 1.5006698000", \
+                        "0.0257904000, 0.0294179000, 0.0398831000, 0.0707435000, 0.1670675000, 0.4825699000, 1.5026804000", \
+                        "0.0270473000, 0.0306736000, 0.0410710000, 0.0708341000, 0.1665882000, 0.4814569000, 1.5015600000", \
+                        "0.0318055000, 0.0354000000, 0.0458847000, 0.0746605000, 0.1680678000, 0.4810743000, 1.5015368000", \
+                        "0.0429491000, 0.0469663000, 0.0580433000, 0.0849795000, 0.1727201000, 0.4828208000, 1.5003134000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.4258368000, 0.4316786000, 0.4474010000, 0.4814196000, 0.5499479000, 0.6932312000, 1.0418882000", \
+                        "0.4282430000, 0.4342410000, 0.4497539000, 0.4835377000, 0.5523051000, 0.6958131000, 1.0454223000", \
+                        "0.4376611000, 0.4436854000, 0.4591184000, 0.4932725000, 0.5616989000, 0.7045083000, 1.0544345000", \
+                        "0.4609423000, 0.4666608000, 0.4823879000, 0.5163096000, 0.5843776000, 0.7281055000, 1.0775832000", \
+                        "0.5124691000, 0.5184487000, 0.5339362000, 0.5679238000, 0.6364815000, 0.7798074000, 1.1287934000", \
+                        "0.6246329000, 0.6307632000, 0.6462929000, 0.6803469000, 0.7489669000, 0.8925095000, 1.2420894000", \
+                        "0.8357137000, 0.8422531000, 0.8593117000, 0.8965426000, 0.9698656000, 1.1187065000, 1.4733565000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1074683000, 0.1116083000, 0.1227794000, 0.1510753000, 0.2260052000, 0.4519218000, 1.1669866000", \
+                        "0.1122534000, 0.1163901000, 0.1276129000, 0.1558746000, 0.2307931000, 0.4567370000, 1.1720462000", \
+                        "0.1220451000, 0.1261782000, 0.1374434000, 0.1656684000, 0.2406947000, 0.4673350000, 1.1826309000", \
+                        "0.1415334000, 0.1456577000, 0.1568256000, 0.1849578000, 0.2598884000, 0.4865582000, 1.2021963000", \
+                        "0.1786485000, 0.1829413000, 0.1944777000, 0.2230273000, 0.2979799000, 0.5240171000, 1.2421553000", \
+                        "0.2297966000, 0.2347339000, 0.2474873000, 0.2776716000, 0.3536867000, 0.5794319000, 1.2988502000", \
+                        "0.2712223000, 0.2776510000, 0.2939002000, 0.3298389000, 0.4085896000, 0.6349403000, 1.3504854000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0527665000, 0.0564636000, 0.0653370000, 0.0861735000, 0.1345033000, 0.2673622000, 0.6736801000", \
+                        "0.0530818000, 0.0564181000, 0.0653109000, 0.0854497000, 0.1345728000, 0.2675729000, 0.6711371000", \
+                        "0.0530387000, 0.0559449000, 0.0653080000, 0.0854564000, 0.1359679000, 0.2675450000, 0.6729775000", \
+                        "0.0530263000, 0.0562030000, 0.0646892000, 0.0849079000, 0.1353329000, 0.2671809000, 0.6734902000", \
+                        "0.0527839000, 0.0564768000, 0.0646741000, 0.0860251000, 0.1341607000, 0.2672208000, 0.6732760000", \
+                        "0.0532201000, 0.0565904000, 0.0649693000, 0.0851975000, 0.1342992000, 0.2675332000, 0.6732490000", \
+                        "0.0619342000, 0.0654406000, 0.0750254000, 0.0969188000, 0.1452747000, 0.2770273000, 0.6781026000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0234745000, 0.0268233000, 0.0369903000, 0.0665242000, 0.1623492000, 0.4794063000, 1.4982259000", \
+                        "0.0234522000, 0.0268500000, 0.0369563000, 0.0665311000, 0.1623008000, 0.4794299000, 1.4988061000", \
+                        "0.0234559000, 0.0268106000, 0.0368405000, 0.0666464000, 0.1625316000, 0.4785973000, 1.5005908000", \
+                        "0.0233835000, 0.0267733000, 0.0367347000, 0.0664880000, 0.1624457000, 0.4785913000, 1.5016596000", \
+                        "0.0249934000, 0.0285249000, 0.0381929000, 0.0674760000, 0.1624895000, 0.4787927000, 1.5020364000", \
+                        "0.0306412000, 0.0340516000, 0.0439670000, 0.0717374000, 0.1645770000, 0.4783839000, 1.4988633000", \
+                        "0.0422334000, 0.0461022000, 0.0566501000, 0.0838695000, 0.1696827000, 0.4799056000, 1.4974968000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.3898703000, 0.3958698000, 0.4114748000, 0.4454841000, 0.5137991000, 0.6573499000, 1.0069851000", \
+                        "0.3921682000, 0.3981758000, 0.4137470000, 0.4477632000, 0.5163208000, 0.6596308000, 1.0081360000", \
+                        "0.4008693000, 0.4068915000, 0.4223717000, 0.4562785000, 0.5250438000, 0.6681272000, 1.0177367000", \
+                        "0.4239917000, 0.4300004000, 0.4455681000, 0.4794123000, 0.5481104000, 0.6907360000, 1.0406471000", \
+                        "0.4787056000, 0.4846768000, 0.5002834000, 0.5341958000, 0.6024069000, 0.7457555000, 1.0951123000", \
+                        "0.6082217000, 0.6143460000, 0.6296175000, 0.6635854000, 0.7321386000, 0.8756052000, 1.2251206000", \
+                        "0.8566788000, 0.8634338000, 0.8809641000, 0.9187390000, 0.9924385000, 1.1418256000, 1.4965027000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1054513000, 0.1094580000, 0.1203034000, 0.1478108000, 0.2214556000, 0.4462439000, 1.1645130000", \
+                        "0.1102978000, 0.1143137000, 0.1251828000, 0.1527095000, 0.2265219000, 0.4521318000, 1.1657649000", \
+                        "0.1203056000, 0.1242890000, 0.1351563000, 0.1627457000, 0.2365586000, 0.4622025000, 1.1762500000", \
+                        "0.1400263000, 0.1440252000, 0.1548673000, 0.1823776000, 0.2561422000, 0.4810755000, 1.1980832000", \
+                        "0.1762355000, 0.1804856000, 0.1917942000, 0.2199164000, 0.2942126000, 0.5195284000, 1.2350344000", \
+                        "0.2229555000, 0.2279549000, 0.2409449000, 0.2713624000, 0.3469264000, 0.5720742000, 1.2908840000", \
+                        "0.2550577000, 0.2616631000, 0.2786782000, 0.3151956000, 0.3952305000, 0.6204004000, 1.3361368000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0530171000, 0.0563731000, 0.0646378000, 0.0848557000, 0.1348824000, 0.2676135000, 0.6715721000", \
+                        "0.0527211000, 0.0560719000, 0.0645700000, 0.0863208000, 0.1344648000, 0.2673699000, 0.6735265000", \
+                        "0.0529950000, 0.0562904000, 0.0648267000, 0.0848825000, 0.1340922000, 0.2670450000, 0.6730036000", \
+                        "0.0527383000, 0.0559932000, 0.0650580000, 0.0848745000, 0.1347147000, 0.2674853000, 0.6719336000", \
+                        "0.0532752000, 0.0565950000, 0.0646006000, 0.0850097000, 0.1348441000, 0.2668804000, 0.6731314000", \
+                        "0.0532610000, 0.0565712000, 0.0657878000, 0.0869842000, 0.1347773000, 0.2678076000, 0.6730706000", \
+                        "0.0658138000, 0.0692338000, 0.0778928000, 0.0987029000, 0.1475351000, 0.2769986000, 0.6778836000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0224415000, 0.0257493000, 0.0355794000, 0.0650219000, 0.1604506000, 0.4775591000, 1.5001380000", \
+                        "0.0224495000, 0.0257956000, 0.0356328000, 0.0651573000, 0.1605694000, 0.4787197000, 1.4984257000", \
+                        "0.0224976000, 0.0257958000, 0.0356377000, 0.0651633000, 0.1606354000, 0.4788505000, 1.4995934000", \
+                        "0.0224270000, 0.0257248000, 0.0357101000, 0.0651478000, 0.1608696000, 0.4785962000, 1.5024605000", \
+                        "0.0246893000, 0.0280560000, 0.0378781000, 0.0668346000, 0.1610973000, 0.4791171000, 1.5010397000", \
+                        "0.0309581000, 0.0347503000, 0.0443675000, 0.0718159000, 0.1636560000, 0.4775063000, 1.4984801000", \
+                        "0.0435880000, 0.0476591000, 0.0584437000, 0.0851800000, 0.1698472000, 0.4798442000, 1.4975327000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.3183442000, 0.3242281000, 0.3400256000, 0.3738921000, 0.4425905000, 0.5856670000, 0.9355270000", \
+                        "0.3198367000, 0.3258696000, 0.3414250000, 0.3754900000, 0.4440605000, 0.5870420000, 0.9370527000", \
+                        "0.3263274000, 0.3323077000, 0.3479716000, 0.3820110000, 0.4506076000, 0.5938992000, 0.9429654000", \
+                        "0.3473613000, 0.3534007000, 0.3687377000, 0.4027371000, 0.4714506000, 0.6148227000, 0.9644536000", \
+                        "0.4054137000, 0.4113994000, 0.4269095000, 0.4609297000, 0.5294972000, 0.6732119000, 1.0226120000", \
+                        "0.5480916000, 0.5537593000, 0.5687782000, 0.6020763000, 0.6689054000, 0.8122237000, 1.1620221000", \
+                        "0.8216861000, 0.8287880000, 0.8471848000, 0.8854573000, 0.9566201000, 1.1002005000, 1.4535849000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0905773000, 0.0944103000, 0.1048869000, 0.1317671000, 0.2046523000, 0.4296258000, 1.1422416000", \
+                        "0.0954168000, 0.0992766000, 0.1097961000, 0.1366371000, 0.2095107000, 0.4334286000, 1.1516151000", \
+                        "0.1056008000, 0.1094434000, 0.1199465000, 0.1468976000, 0.2197758000, 0.4439794000, 1.1598087000", \
+                        "0.1257952000, 0.1296150000, 0.1400757000, 0.1669148000, 0.2396922000, 0.4637771000, 1.1785418000", \
+                        "0.1590401000, 0.1633071000, 0.1745545000, 0.2025172000, 0.2762048000, 0.5006867000, 1.2147990000", \
+                        "0.1971469000, 0.2023664000, 0.2157653000, 0.2465809000, 0.3219593000, 0.5467042000, 1.2625015000", \
+                        "0.2134756000, 0.2204485000, 0.2381255000, 0.2768072000, 0.3581948000, 0.5830144000, 1.2978342000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0531094000, 0.0562611000, 0.0649954000, 0.0848952000, 0.1346227000, 0.2673708000, 0.6730666000", \
+                        "0.0530745000, 0.0559685000, 0.0654039000, 0.0852200000, 0.1360530000, 0.2674019000, 0.6726900000", \
+                        "0.0529407000, 0.0562908000, 0.0645191000, 0.0863997000, 0.1336662000, 0.2673499000, 0.6735383000", \
+                        "0.0528058000, 0.0561378000, 0.0648991000, 0.0853310000, 0.1342562000, 0.2673582000, 0.6715014000", \
+                        "0.0532231000, 0.0564456000, 0.0653528000, 0.0848352000, 0.1342954000, 0.2673648000, 0.6730859000", \
+                        "0.0516001000, 0.0549920000, 0.0638440000, 0.0835072000, 0.1353756000, 0.2678434000, 0.6729035000", \
+                        "0.0717438000, 0.0752958000, 0.0840183000, 0.1026138000, 0.1466097000, 0.2733901000, 0.6766001000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0211031000, 0.0245017000, 0.0341983000, 0.0633566000, 0.1594554000, 0.4779632000, 1.4991096000", \
+                        "0.0211562000, 0.0244040000, 0.0340964000, 0.0633348000, 0.1594673000, 0.4784551000, 1.5030981000", \
+                        "0.0212118000, 0.0245297000, 0.0341119000, 0.0635042000, 0.1595106000, 0.4765380000, 1.4994489000", \
+                        "0.0214916000, 0.0246625000, 0.0343310000, 0.0634567000, 0.1594146000, 0.4763225000, 1.4946753000", \
+                        "0.0248459000, 0.0280111000, 0.0374507000, 0.0660677000, 0.1603468000, 0.4787347000, 1.5002236000", \
+                        "0.0325663000, 0.0361027000, 0.0454116000, 0.0726753000, 0.1634968000, 0.4758024000, 1.5030533000", \
+                        "0.0470376000, 0.0514442000, 0.0627320000, 0.0893341000, 0.1714039000, 0.4792640000, 1.4940441000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0789005000, 0.0817451000, 0.0893510000, 0.1078132000, 0.1527552000, 0.2680574000, 0.5930092000", \
+                        "0.0840946000, 0.0869825000, 0.0945828000, 0.1130521000, 0.1580298000, 0.2733402000, 0.5980763000", \
+                        "0.0971666000, 0.0999857000, 0.1075347000, 0.1260263000, 0.1710622000, 0.2864023000, 0.6112640000", \
+                        "0.1284865000, 0.1312572000, 0.1387862000, 0.1573635000, 0.2021853000, 0.3180416000, 0.6429481000", \
+                        "0.1924858000, 0.1957441000, 0.2043276000, 0.2242925000, 0.2709049000, 0.3869728000, 0.7120465000", \
+                        "0.2936751000, 0.2979090000, 0.3090940000, 0.3342609000, 0.3889724000, 0.5119332000, 0.8381048000", \
+                        "0.4559594000, 0.4614216000, 0.4757217000, 0.5081396000, 0.5780390000, 0.7197353000, 1.0533668000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.1044842000, 0.1089872000, 0.1212026000, 0.1515942000, 0.2301370000, 0.4596608000, 1.1792396000", \
+                        "0.1084844000, 0.1129889000, 0.1252136000, 0.1555998000, 0.2341599000, 0.4636422000, 1.1832788000", \
+                        "0.1187219000, 0.1232298000, 0.1353961000, 0.1657889000, 0.2442271000, 0.4739840000, 1.1925391000", \
+                        "0.1440150000, 0.1484560000, 0.1603983000, 0.1903544000, 0.2683647000, 0.4972214000, 1.2150716000", \
+                        "0.1947724000, 0.1992239000, 0.2111245000, 0.2408741000, 0.3177965000, 0.5471286000, 1.2666046000", \
+                        "0.2618431000, 0.2669405000, 0.2797753000, 0.3097809000, 0.3873272000, 0.6159013000, 1.3365954000", \
+                        "0.3296643000, 0.3360105000, 0.3521311000, 0.3869819000, 0.4646220000, 0.6926928000, 1.4109145000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0160122000, 0.0181230000, 0.0238572000, 0.0406851000, 0.0881987000, 0.2190589000, 0.6411507000", \
+                        "0.0160580000, 0.0182130000, 0.0238817000, 0.0406819000, 0.0882130000, 0.2189914000, 0.6407411000", \
+                        "0.0161852000, 0.0181492000, 0.0238485000, 0.0405972000, 0.0881236000, 0.2190732000, 0.6404328000", \
+                        "0.0161843000, 0.0184080000, 0.0242025000, 0.0407383000, 0.0883777000, 0.2191868000, 0.6410263000", \
+                        "0.0213697000, 0.0234850000, 0.0293079000, 0.0448739000, 0.0907070000, 0.2198218000, 0.6405876000", \
+                        "0.0317823000, 0.0342991000, 0.0410509000, 0.0588048000, 0.1051357000, 0.2290610000, 0.6417514000", \
+                        "0.0477592000, 0.0508605000, 0.0593601000, 0.0818223000, 0.1348322000, 0.2568974000, 0.6472405000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
+                    values("0.0260295000, 0.0296796000, 0.0400730000, 0.0708164000, 0.1673993000, 0.4821205000, 1.5023667000", \
+                        "0.0260730000, 0.0296871000, 0.0400879000, 0.0708615000, 0.1674098000, 0.4823483000, 1.5017654000", \
+                        "0.0258600000, 0.0294670000, 0.0399848000, 0.0709076000, 0.1673557000, 0.4829581000, 1.4996497000", \
+                        "0.0253509000, 0.0289795000, 0.0395783000, 0.0700925000, 0.1665520000, 0.4822430000, 1.5026946000", \
+                        "0.0266446000, 0.0302270000, 0.0404956000, 0.0704212000, 0.1657986000, 0.4816628000, 1.5029037000", \
+                        "0.0331629000, 0.0364724000, 0.0453557000, 0.0739150000, 0.1677229000, 0.4811189000, 1.5032637000", \
+                        "0.0454190000, 0.0490748000, 0.0589211000, 0.0839539000, 0.1707953000, 0.4838620000, 1.4998842000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__o41ai_1 */
+
+/* removed sky130_fd_sc_hd__o41ai_2 */
+
+    cell ("sky130_fd_sc_hd__o41ai_4") {
+        leakage_power () {
+            value : 0.0056372000;
+            when : "!A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0170682000;
+            when : "!A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0115471000;
+            when : "!A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "!A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0100833000;
+            when : "!A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015152000;
+            when : "!A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077004000;
+            when : "!A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015183000;
+            when : "!A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0099986000;
+            when : "!A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "!A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077019000;
+            when : "!A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015208000;
+            when : "!A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0077149000;
+            when : "!A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015176000;
+            when : "!A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0373424000;
+            when : "!A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015071000;
+            when : "!A1&A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0098375000;
+            when : "A1&!A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015168000;
+            when : "A1&!A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0076912000;
+            when : "A1&!A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015126000;
+            when : "A1&!A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0081314000;
+            when : "A1&!A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015284000;
+            when : "A1&!A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0078103000;
+            when : "A1&!A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015149000;
+            when : "A1&!A2&A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0083207000;
+            when : "A1&A2&!A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015105000;
+            when : "A1&A2&!A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0376818000;
+            when : "A1&A2&!A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015146000;
+            when : "A1&A2&!A3&A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0577513000;
+            when : "A1&A2&A3&!A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015115000;
+            when : "A1&A2&A3&!A4&!B1";
+        }
+        leakage_power () {
+            value : 0.0373388000;
+            when : "A1&A2&A3&A4&B1";
+        }
+        leakage_power () {
+            value : 0.0015165000;
+            when : "A1&A2&A3&A4&!B1";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__o41ai";
+        cell_leakage_power : 0.0097529590;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A1") {
+            capacitance : 0.0086400000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0082090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158266000, 0.0158195000, 0.0158030000, 0.0157976000, 0.0157852000, 0.0157569000, 0.0156916000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015751600, -0.015747800, -0.015739100, -0.015740600, -0.015744100, -0.015752300, -0.015770900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090710000;
+        }
+        pin ("A2") {
+            capacitance : 0.0084130000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078460000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158447000, 0.0158326000, 0.0158048000, 0.0158012000, 0.0157932000, 0.0157747000, 0.0157320000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015802800, -0.015788600, -0.015755900, -0.015751400, -0.015741000, -0.015717000, -0.015661700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089800000;
+        }
+        pin ("A3") {
+            capacitance : 0.0083630000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077500000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158071000, 0.0157967000, 0.0157727000, 0.0157667000, 0.0157528000, 0.0157210000, 0.0156478000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015781400, -0.015766400, -0.015731700, -0.015723100, -0.015703300, -0.015657800, -0.015552600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0089770000;
+        }
+        pin ("A4") {
+            capacitance : 0.0084050000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0077110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158524000, 0.0158443000, 0.0158256000, 0.0158204000, 0.0158083000, 0.0157806000, 0.0157167000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.015811800, -0.015801500, -0.015778000, -0.015772300, -0.015759400, -0.015729700, -0.015661000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090990000;
+        }
+        pin ("B1") {
+            capacitance : 0.0086500000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0084760000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0184853000, 0.0184942000, 0.0185147000, 0.0185125000, 0.0185074000, 0.0184955000, 0.0184682000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002287600, -0.002356700, -0.002515900, -0.002417300, -0.002189900, -0.001665700, -0.000457400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0088240000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A1&!A2&!A3&!A4) | (!B1)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0335820000, 0.0324435000, 0.0295460000, 0.0224904000, 0.0052049000, -0.037058000, -0.140648200", \
+                        "0.0330832000, 0.0319430000, 0.0290717000, 0.0220002000, 0.0046753000, -0.037554100, -0.141212400", \
+                        "0.0325117000, 0.0313173000, 0.0285060000, 0.0214064000, 0.0040939000, -0.038163400, -0.141722400", \
+                        "0.0319710000, 0.0307878000, 0.0279193000, 0.0208373000, 0.0035437000, -0.038664400, -0.142242900", \
+                        "0.0314140000, 0.0302145000, 0.0274018000, 0.0205042000, 0.0033479000, -0.038836100, -0.142515800", \
+                        "0.0309295000, 0.0297733000, 0.0269733000, 0.0200110000, 0.0026365000, -0.039372800, -0.142856700", \
+                        "0.0334235000, 0.0323313000, 0.0294552000, 0.0222344000, 0.0049136000, -0.037904700, -0.142515000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0650367000, 0.0661575000, 0.0691201000, 0.0761574000, 0.0934381000, 0.1358574000, 0.2393663000", \
+                        "0.0644364000, 0.0655722000, 0.0685828000, 0.0756386000, 0.0929762000, 0.1354170000, 0.2388989000", \
+                        "0.0637364000, 0.0649043000, 0.0679057000, 0.0750446000, 0.0925173000, 0.1350812000, 0.2387580000", \
+                        "0.0631451000, 0.0642617000, 0.0673229000, 0.0744255000, 0.0919035000, 0.1346335000, 0.2383338000", \
+                        "0.0626666000, 0.0638319000, 0.0668468000, 0.0738342000, 0.0913011000, 0.1340561000, 0.2378856000", \
+                        "0.0623043000, 0.0634736000, 0.0664677000, 0.0735471000, 0.0909527000, 0.1335741000, 0.2373883000", \
+                        "0.0624146000, 0.0635159000, 0.0664394000, 0.0736058000, 0.0910954000, 0.1334086000, 0.2373376000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0271840000, 0.0260238000, 0.0231744000, 0.0160986000, -0.001123100, -0.043286400, -0.147317600", \
+                        "0.0270906000, 0.0259134000, 0.0230745000, 0.0160083000, -0.001237300, -0.043422900, -0.147418600", \
+                        "0.0267595000, 0.0256135000, 0.0227492000, 0.0157037000, -0.001503900, -0.043685300, -0.147618000", \
+                        "0.0261862000, 0.0250665000, 0.0222073000, 0.0152184000, -0.001970000, -0.044074200, -0.148026500", \
+                        "0.0252255000, 0.0241158000, 0.0212779000, 0.0144184000, -0.002495500, -0.044368000, -0.148312300", \
+                        "0.0254154000, 0.0242050000, 0.0214534000, 0.0144165000, -0.003078300, -0.045308100, -0.148707400", \
+                        "0.0270820000, 0.0258100000, 0.0229209000, 0.0159603000, -0.001635000, -0.044271100, -0.148968000");
+                }
+                related_pin : "A2";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0558578000, 0.0570403000, 0.0599761000, 0.0670868000, 0.0844170000, 0.1268955000, 0.2304720000", \
+                        "0.0553655000, 0.0563907000, 0.0592948000, 0.0665066000, 0.0838841000, 0.1263247000, 0.2298458000", \
+                        "0.0545584000, 0.0557316000, 0.0585388000, 0.0657728000, 0.0833295000, 0.1259327000, 0.2296187000", \
+                        "0.0537219000, 0.0549009000, 0.0579246000, 0.0650859000, 0.0825951000, 0.1254036000, 0.2290576000", \
+                        "0.0531547000, 0.0544072000, 0.0572275000, 0.0645619000, 0.0818380000, 0.1245811000, 0.2285115000", \
+                        "0.0528763000, 0.0540616000, 0.0569890000, 0.0641297000, 0.0815995000, 0.1241540000, 0.2279855000", \
+                        "0.0530971000, 0.0541906000, 0.0571569000, 0.0643182000, 0.0818878000, 0.1243254000, 0.2281407000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0215374000, 0.0203738000, 0.0175434000, 0.0105294000, -0.006693300, -0.049160400, -0.153367900", \
+                        "0.0214295000, 0.0202891000, 0.0174680000, 0.0105139000, -0.006704200, -0.049129000, -0.153472600", \
+                        "0.0211045000, 0.0199938000, 0.0171904000, 0.0103153000, -0.006761500, -0.049056900, -0.153290800", \
+                        "0.0202962000, 0.0191982000, 0.0164434000, 0.0097559000, -0.007159400, -0.049150900, -0.153211300", \
+                        "0.0192500000, 0.0181344000, 0.0153643000, 0.0087022000, -0.008016700, -0.049627200, -0.153434900", \
+                        "0.0192099000, 0.0180596000, 0.0152112000, 0.0083484000, -0.008616200, -0.050846900, -0.154152800", \
+                        "0.0205341000, 0.0194331000, 0.0164513000, 0.0093695000, -0.008049600, -0.050408400, -0.154741900");
+                }
+                related_pin : "A3";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0475283000, 0.0487276000, 0.0516955000, 0.0587332000, 0.0761266000, 0.1184928000, 0.2219116000", \
+                        "0.0468133000, 0.0480872000, 0.0510015000, 0.0580845000, 0.0755721000, 0.1180353000, 0.2216737000", \
+                        "0.0459089000, 0.0472069000, 0.0501152000, 0.0572717000, 0.0749028000, 0.1175727000, 0.2212160000", \
+                        "0.0450701000, 0.0462602000, 0.0492323000, 0.0565835000, 0.0740867000, 0.1169028000, 0.2208559000", \
+                        "0.0445660000, 0.0457841000, 0.0486648000, 0.0557806000, 0.0733014000, 0.1161179000, 0.2201500000", \
+                        "0.0444623000, 0.0456160000, 0.0485402000, 0.0557393000, 0.0732287000, 0.1156640000, 0.2194421000", \
+                        "0.0451312000, 0.0463307000, 0.0491173000, 0.0561444000, 0.0734796000, 0.1161463000, 0.2198492000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0142543000, 0.0131060000, 0.0103101000, 0.0032949000, -0.014005400, -0.056534900, -0.160995800", \
+                        "0.0136497000, 0.0125911000, 0.0098835000, 0.0030893000, -0.013939200, -0.056230400, -0.160619300", \
+                        "0.0126907000, 0.0116535000, 0.0090657000, 0.0025350000, -0.014123900, -0.056127000, -0.160286200", \
+                        "0.0116297000, 0.0105943000, 0.0080611000, 0.0016132000, -0.014733800, -0.056299500, -0.160115000", \
+                        "0.0112440000, 0.0102436000, 0.0074503000, 0.0006120000, -0.015707600, -0.056961400, -0.160370600", \
+                        "0.0112679000, 0.0101296000, 0.0073202000, 0.0004801000, -0.016225400, -0.058225100, -0.161189000", \
+                        "0.0136027000, 0.0123589000, 0.0094226000, 0.0020481000, -0.015491300, -0.057858100, -0.161795400");
+                }
+                related_pin : "A4";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0382044000, 0.0393983000, 0.0424063000, 0.0495168000, 0.0668340000, 0.1092937000, 0.2129387000", \
+                        "0.0371609000, 0.0383179000, 0.0413915000, 0.0485635000, 0.0661583000, 0.1088135000, 0.2125104000", \
+                        "0.0357904000, 0.0370750000, 0.0400302000, 0.0476132000, 0.0651539000, 0.1081262000, 0.2120318000", \
+                        "0.0349840000, 0.0361442000, 0.0389856000, 0.0465519000, 0.0642280000, 0.1074463000, 0.2114504000", \
+                        "0.0347589000, 0.0359183000, 0.0388442000, 0.0459874000, 0.0632012000, 0.1059796000, 0.2105561000", \
+                        "0.0367211000, 0.0377362000, 0.0405825000, 0.0477389000, 0.0652333000, 0.1065435000, 0.2103172000", \
+                        "0.0412427000, 0.0425878000, 0.0459413000, 0.0528042000, 0.0691260000, 0.1099306000, 0.2121374000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0194462000, 0.0183427000, 0.0156466000, 0.0089152000, -0.008061700, -0.050084500, -0.153642700", \
+                        "0.0189340000, 0.0178404000, 0.0151883000, 0.0084428000, -0.008421900, -0.050227500, -0.153604900", \
+                        "0.0185334000, 0.0174224000, 0.0146848000, 0.0079166000, -0.008874100, -0.050544700, -0.153712300", \
+                        "0.0178789000, 0.0167820000, 0.0140818000, 0.0072318000, -0.009686200, -0.051367100, -0.154172700", \
+                        "0.0180242000, 0.0168514000, 0.0139951000, 0.0070528000, -0.009894500, -0.052037000, -0.155008800", \
+                        "0.0186839000, 0.0174866000, 0.0145726000, 0.0074201000, -0.009932200, -0.051979700, -0.155753000", \
+                        "0.0240363000, 0.0227711000, 0.0196540000, 0.0122375000, -0.005496300, -0.048353000, -0.155740100");
+                }
+                related_pin : "B1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
+                    values("0.0367253000, 0.0381901000, 0.0416180000, 0.0495376000, 0.0675671000, 0.1104151000, 0.2144057000", \
+                        "0.0359024000, 0.0372744000, 0.0406761000, 0.0487778000, 0.0670774000, 0.1100779000, 0.2145769000", \
+                        "0.0351943000, 0.0365940000, 0.0398264000, 0.0475050000, 0.0659873000, 0.1096563000, 0.2136787000", \
+                        "0.0350505000, 0.0362855000, 0.0392302000, 0.0468243000, 0.0647865000, 0.1082682000, 0.2130774000", \
+                        "0.0357339000, 0.0368471000, 0.0396455000, 0.0466875000, 0.0641756000, 0.1072048000, 0.2119991000", \
+                        "0.0386914000, 0.0398449000, 0.0424580000, 0.0492047000, 0.0659899000, 0.1086017000, 0.2118225000", \
+                        "0.0460743000, 0.0470550000, 0.0503741000, 0.0560320000, 0.0710716000, 0.1124830000, 0.2167992000");
+                }
+            }
+            max_capacitance : 0.1089580000;
+            max_transition : 1.4948920000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0490083000, 0.0511526000, 0.0560846000, 0.0676698000, 0.0937063000, 0.1519649000, 0.2835788000", \
+                        "0.0536368000, 0.0557651000, 0.0606135000, 0.0721033000, 0.0980456000, 0.1561501000, 0.2880506000", \
+                        "0.0630271000, 0.0649874000, 0.0699070000, 0.0812085000, 0.1069333000, 0.1648506000, 0.2965582000", \
+                        "0.0800484000, 0.0822212000, 0.0872914000, 0.0985812000, 0.1240464000, 0.1814922000, 0.3130041000", \
+                        "0.1069422000, 0.1094968000, 0.1153147000, 0.1289086000, 0.1570291000, 0.2169911000, 0.3487538000", \
+                        "0.1397497000, 0.1431039000, 0.1511453000, 0.1700394000, 0.2073803000, 0.2811576000, 0.4267670000", \
+                        "0.1533173000, 0.1582672000, 0.1708541000, 0.1974145000, 0.2545746000, 0.3614823000, 0.5563700000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2869563000, 0.2928388000, 0.3113121000, 0.3515894000, 0.4487748000, 0.6829688000, 1.2534348000", \
+                        "0.2900632000, 0.2960218000, 0.3146005000, 0.3549624000, 0.4525935000, 0.6868908000, 1.2574926000", \
+                        "0.3008525000, 0.3065675000, 0.3251295000, 0.3659378000, 0.4632137000, 0.6987697000, 1.2700392000", \
+                        "0.3260999000, 0.3319910000, 0.3505796000, 0.3911735000, 0.4894476000, 0.7250353000, 1.2970159000", \
+                        "0.3799177000, 0.3878055000, 0.4044325000, 0.4442618000, 0.5420152000, 0.7780919000, 1.3514118000", \
+                        "0.4851879000, 0.4921095000, 0.5097973000, 0.5533713000, 0.6510785000, 0.8862163000, 1.4585120000", \
+                        "0.6606019000, 0.6672498000, 0.6900283000, 0.7381128000, 0.8518970000, 1.1052359000, 1.6832666000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0482631000, 0.0505753000, 0.0562608000, 0.0699239000, 0.1016926000, 0.1745265000, 0.3476280000", \
+                        "0.0476068000, 0.0499514000, 0.0556194000, 0.0692252000, 0.1010610000, 0.1741124000, 0.3472198000", \
+                        "0.0469605000, 0.0491572000, 0.0549130000, 0.0684395000, 0.1004222000, 0.1734823000, 0.3469059000", \
+                        "0.0509562000, 0.0529424000, 0.0581727000, 0.0703212000, 0.1008020000, 0.1729656000, 0.3470362000", \
+                        "0.0662301000, 0.0680428000, 0.0728795000, 0.0847975000, 0.1129618000, 0.1791733000, 0.3477794000", \
+                        "0.1026806000, 0.1048377000, 0.1096522000, 0.1222225000, 0.1510881000, 0.2164035000, 0.3718094000", \
+                        "0.1698206000, 0.1735158000, 0.1816030000, 0.1968595000, 0.2352873000, 0.3116289000, 0.4706067000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1864820000, 0.1947768000, 0.2170005000, 0.2692028000, 0.3978421000, 0.7109061000, 1.4776961000", \
+                        "0.1864812000, 0.1947891000, 0.2170494000, 0.2692639000, 0.3976831000, 0.7106363000, 1.4737536000", \
+                        "0.1864935000, 0.1950739000, 0.2170403000, 0.2692662000, 0.3979665000, 0.7109464000, 1.4744587000", \
+                        "0.1865171000, 0.1950474000, 0.2171293000, 0.2692656000, 0.3974930000, 0.7106837000, 1.4737628000", \
+                        "0.1868338000, 0.1959517000, 0.2171957000, 0.2698220000, 0.3981984000, 0.7112498000, 1.4739823000", \
+                        "0.2030238000, 0.2116545000, 0.2311655000, 0.2807555000, 0.4049114000, 0.7123855000, 1.4741923000", \
+                        "0.2479497000, 0.2564829000, 0.2787927000, 0.3309706000, 0.4569943000, 0.7518285000, 1.4873726000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0510660000, 0.0529704000, 0.0575844000, 0.0681593000, 0.0921550000, 0.1461061000, 0.2722466000", \
+                        "0.0557837000, 0.0576590000, 0.0622711000, 0.0728504000, 0.0967531000, 0.1509027000, 0.2771068000", \
+                        "0.0654992000, 0.0674587000, 0.0719601000, 0.0824859000, 0.1063885000, 0.1605037000, 0.2865176000", \
+                        "0.0828810000, 0.0849953000, 0.0898961000, 0.1003802000, 0.1241868000, 0.1782309000, 0.3045288000", \
+                        "0.1096114000, 0.1118590000, 0.1174996000, 0.1307000000, 0.1580190000, 0.2156865000, 0.3429299000", \
+                        "0.1374388000, 0.1408656000, 0.1493646000, 0.1684950000, 0.2068076000, 0.2808742000, 0.4260592000", \
+                        "0.1399760000, 0.1446460000, 0.1577857000, 0.1875136000, 0.2461262000, 0.3596246000, 0.5601840000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2715610000, 0.2786383000, 0.2953486000, 0.3345014000, 0.4320314000, 0.6663136000, 1.2370648000", \
+                        "0.2730878000, 0.2799412000, 0.2969436000, 0.3361289000, 0.4339776000, 0.6690949000, 1.2399712000", \
+                        "0.2811841000, 0.2884343000, 0.3054336000, 0.3451345000, 0.4435763000, 0.6794290000, 1.2508009000", \
+                        "0.3048165000, 0.3100361000, 0.3285525000, 0.3697202000, 0.4679518000, 0.7041988000, 1.2761617000", \
+                        "0.3579388000, 0.3648969000, 0.3808651000, 0.4221339000, 0.5202991000, 0.7559009000, 1.3289190000", \
+                        "0.4640342000, 0.4713980000, 0.4904427000, 0.5333780000, 0.6336264000, 0.8691236000, 1.4418947000", \
+                        "0.6490644000, 0.6581979000, 0.6809544000, 0.7354345000, 0.8538060000, 1.1184510000, 1.6975281000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0424779000, 0.0444354000, 0.0495138000, 0.0615777000, 0.0903970000, 0.1595512000, 0.3297732000", \
+                        "0.0423466000, 0.0443054000, 0.0493844000, 0.0614210000, 0.0903383000, 0.1597429000, 0.3297302000", \
+                        "0.0419008000, 0.0439237000, 0.0488615000, 0.0610423000, 0.0900580000, 0.1596109000, 0.3297103000", \
+                        "0.0457983000, 0.0475899000, 0.0521186000, 0.0630011000, 0.0908105000, 0.1591785000, 0.3296706000", \
+                        "0.0599945000, 0.0618172000, 0.0664700000, 0.0772544000, 0.1039106000, 0.1669812000, 0.3313562000", \
+                        "0.0944545000, 0.0967843000, 0.1021694000, 0.1152098000, 0.1442397000, 0.2072179000, 0.3589196000", \
+                        "0.1613195000, 0.1644657000, 0.1722999000, 0.1913923000, 0.2303499000, 0.3074146000, 0.4645145000");
+                }
+                related_pin : "A2";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1861025000, 0.1949636000, 0.2170582000, 0.2697333000, 0.3978958000, 0.7109691000, 1.4744843000", \
+                        "0.1864487000, 0.1951398000, 0.2162603000, 0.2695804000, 0.3979826000, 0.7109628000, 1.4744178000", \
+                        "0.1864236000, 0.1955827000, 0.2166183000, 0.2693269000, 0.3979531000, 0.7108997000, 1.4743297000", \
+                        "0.1865736000, 0.1950686000, 0.2170846000, 0.2690886000, 0.3978017000, 0.7108143000, 1.4733063000", \
+                        "0.1877872000, 0.1962209000, 0.2175037000, 0.2696883000, 0.3977748000, 0.7104258000, 1.4739217000", \
+                        "0.2134752000, 0.2217074000, 0.2418359000, 0.2898713000, 0.4108303000, 0.7137280000, 1.4786659000", \
+                        "0.2795046000, 0.2882462000, 0.3108082000, 0.3612736000, 0.4833170000, 0.7670845000, 1.4922815000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0483590000, 0.0499543000, 0.0536515000, 0.0625488000, 0.0829409000, 0.1316637000, 0.2485757000", \
+                        "0.0530040000, 0.0546411000, 0.0583621000, 0.0672699000, 0.0877483000, 0.1364259000, 0.2534928000", \
+                        "0.0627014000, 0.0643679000, 0.0680854000, 0.0770266000, 0.0977942000, 0.1465093000, 0.2634531000", \
+                        "0.0797623000, 0.0813707000, 0.0855734000, 0.0953700000, 0.1168744000, 0.1658286000, 0.2831141000", \
+                        "0.1027560000, 0.1050549000, 0.1103894000, 0.1237321000, 0.1501636000, 0.2054995000, 0.3250085000", \
+                        "0.1202724000, 0.1246656000, 0.1332449000, 0.1526536000, 0.1933606000, 0.2707146000, 0.4127784000", \
+                        "0.1041635000, 0.1102584000, 0.1250335000, 0.1563900000, 0.2209331000, 0.3416762000, 0.5485217000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.2343357000, 0.2394189000, 0.2580980000, 0.2984338000, 0.3957415000, 0.6301579000, 1.2005896000", \
+                        "0.2350792000, 0.2409597000, 0.2594951000, 0.3003714000, 0.3979097000, 0.6326941000, 1.2036107000", \
+                        "0.2424012000, 0.2479126000, 0.2664497000, 0.3080854000, 0.4063223000, 0.6415273000, 1.2131678000", \
+                        "0.2656735000, 0.2729589000, 0.2893603000, 0.3305916000, 0.4284312000, 0.6650271000, 1.2379299000", \
+                        "0.3213474000, 0.3276187000, 0.3452753000, 0.3854378000, 0.4837002000, 0.7201482000, 1.2931590000", \
+                        "0.4400340000, 0.4480021000, 0.4675478000, 0.5116249000, 0.6147227000, 0.8505037000, 1.4237053000", \
+                        "0.6473486000, 0.6582161000, 0.6845082000, 0.7436492000, 0.8734888000, 1.1499590000, 1.7296478000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0300066000, 0.0317989000, 0.0361961000, 0.0469716000, 0.0735679000, 0.1390243000, 0.2998936000", \
+                        "0.0300315000, 0.0317803000, 0.0361930000, 0.0469604000, 0.0736788000, 0.1391957000, 0.3000570000", \
+                        "0.0299464000, 0.0317551000, 0.0361671000, 0.0470304000, 0.0736854000, 0.1391821000, 0.3001156000", \
+                        "0.0347141000, 0.0363265000, 0.0404459000, 0.0502485000, 0.0754684000, 0.1392181000, 0.2999880000", \
+                        "0.0499704000, 0.0518859000, 0.0562984000, 0.0667690000, 0.0914832000, 0.1495834000, 0.3027523000", \
+                        "0.0845448000, 0.0871555000, 0.0927802000, 0.1061181000, 0.1347457000, 0.1962902000, 0.3360435000", \
+                        "0.1508965000, 0.1545896000, 0.1631725000, 0.1824881000, 0.2237005000, 0.3012985000, 0.4523912000");
+                }
+                related_pin : "A3";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1860858000, 0.1949031000, 0.2168151000, 0.2692054000, 0.3979022000, 0.7107781000, 1.4773428000", \
+                        "0.1859932000, 0.1951935000, 0.2169234000, 0.2690108000, 0.3977700000, 0.7108347000, 1.4736845000", \
+                        "0.1859763000, 0.1951674000, 0.2168084000, 0.2689977000, 0.3977796000, 0.7110261000, 1.4745091000", \
+                        "0.1863157000, 0.1950309000, 0.2168870000, 0.2699254000, 0.3979467000, 0.7110610000, 1.4743463000", \
+                        "0.1887261000, 0.1977632000, 0.2179084000, 0.2702566000, 0.3986328000, 0.7106660000, 1.4739298000", \
+                        "0.2254712000, 0.2334942000, 0.2519560000, 0.2984803000, 0.4152456000, 0.7167393000, 1.4743214000", \
+                        "0.3127773000, 0.3222217000, 0.3437242000, 0.3979010000, 0.5160199000, 0.7886937000, 1.4907872000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0354414000, 0.0367848000, 0.0401123000, 0.0478034000, 0.0659550000, 0.1097377000, 0.2175224000", \
+                        "0.0396798000, 0.0410764000, 0.0445390000, 0.0523398000, 0.0708026000, 0.1153219000, 0.2226897000", \
+                        "0.0489392000, 0.0504667000, 0.0540858000, 0.0622526000, 0.0808556000, 0.1252091000, 0.2327279000", \
+                        "0.0622015000, 0.0643210000, 0.0689616000, 0.0789974000, 0.1002337000, 0.1455129000, 0.2536423000", \
+                        "0.0750949000, 0.0783047000, 0.0857154000, 0.1006273000, 0.1302369000, 0.1856950000, 0.2976527000", \
+                        "0.0758268000, 0.0807743000, 0.0918401000, 0.1161558000, 0.1630993000, 0.2456146000, 0.3912635000", \
+                        "0.0283805000, 0.0367053000, 0.0552368000, 0.0947133000, 0.1716271000, 0.3026897000, 0.5180431000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1605711000, 0.1678798000, 0.1855995000, 0.2251851000, 0.3234600000, 0.5578819000, 1.1293945000", \
+                        "0.1609387000, 0.1673463000, 0.1851659000, 0.2254542000, 0.3242768000, 0.5596480000, 1.1311697000", \
+                        "0.1651043000, 0.1727499000, 0.1893662000, 0.2315074000, 0.3295775000, 0.5678807000, 1.1405776000", \
+                        "0.1874608000, 0.1942411000, 0.2103170000, 0.2523436000, 0.3515758000, 0.5884208000, 1.1630095000", \
+                        "0.2499389000, 0.2562588000, 0.2719996000, 0.3114279000, 0.4065110000, 0.6435445000, 1.2174921000", \
+                        "0.3869520000, 0.3937796000, 0.4126456000, 0.4570042000, 0.5541857000, 0.7845396000, 1.3546475000", \
+                        "0.6048227000, 0.6139396000, 0.6478315000, 0.7109457000, 0.8459337000, 1.1170691000, 1.6812499000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0166804000, 0.0183641000, 0.0224932000, 0.0325987000, 0.0571481000, 0.1175230000, 0.2659324000", \
+                        "0.0168083000, 0.0184707000, 0.0225144000, 0.0325155000, 0.0573301000, 0.1183346000, 0.2667095000", \
+                        "0.0177456000, 0.0192321000, 0.0230706000, 0.0327956000, 0.0573242000, 0.1177650000, 0.2667257000", \
+                        "0.0249206000, 0.0264810000, 0.0302396000, 0.0392752000, 0.0608538000, 0.1184647000, 0.2662661000", \
+                        "0.0423043000, 0.0439598000, 0.0480689000, 0.0580795000, 0.0808581000, 0.1337222000, 0.2696960000", \
+                        "0.0761578000, 0.0787973000, 0.0848798000, 0.0989026000, 0.1273913000, 0.1843474000, 0.3151270000", \
+                        "0.1446580000, 0.1486109000, 0.1567620000, 0.1760168000, 0.2173615000, 0.2921123000, 0.4351862000");
+                }
+                related_pin : "A4";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.1839696000, 0.1930564000, 0.2147728000, 0.2684286000, 0.3975610000, 0.7110428000, 1.4758724000", \
+                        "0.1830854000, 0.1923783000, 0.2141102000, 0.2681881000, 0.3976263000, 0.7111610000, 1.4740719000", \
+                        "0.1813644000, 0.1908562000, 0.2132685000, 0.2674165000, 0.3979352000, 0.7108771000, 1.4792979000", \
+                        "0.1771883000, 0.1862466000, 0.2098422000, 0.2650708000, 0.3968635000, 0.7112223000, 1.4782975000", \
+                        "0.1813719000, 0.1893364000, 0.2108003000, 0.2615150000, 0.3921393000, 0.7131450000, 1.4748868000", \
+                        "0.2241334000, 0.2332119000, 0.2573929000, 0.3054527000, 0.4177911000, 0.7121687000, 1.4731767000", \
+                        "0.3060802000, 0.3191779000, 0.3446383000, 0.4099493000, 0.5434029000, 0.8115849000, 1.4948923000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0370563000, 0.0391612000, 0.0442085000, 0.0559199000, 0.0819657000, 0.1399856000, 0.2717058000", \
+                        "0.0408029000, 0.0429254000, 0.0480197000, 0.0596914000, 0.0858164000, 0.1440249000, 0.2757860000", \
+                        "0.0519573000, 0.0539176000, 0.0586469000, 0.0698448000, 0.0957896000, 0.1540706000, 0.2860546000", \
+                        "0.0783126000, 0.0805202000, 0.0862174000, 0.0971487000, 0.1208932000, 0.1775680000, 0.3094333000", \
+                        "0.1158211000, 0.1189690000, 0.1262199000, 0.1420656000, 0.1743723000, 0.2352782000, 0.3648649000", \
+                        "0.1588487000, 0.1632659000, 0.1736054000, 0.1965714000, 0.2439495000, 0.3344858000, 0.4944769000", \
+                        "0.1919241000, 0.1982584000, 0.2131381000, 0.2466874000, 0.3161563000, 0.4495850000, 0.6927416000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0235669000, 0.0252406000, 0.0290999000, 0.0380026000, 0.0584247000, 0.1067409000, 0.2244512000", \
+                        "0.0287838000, 0.0303679000, 0.0341317000, 0.0431399000, 0.0637290000, 0.1122719000, 0.2299789000", \
+                        "0.0417645000, 0.0436304000, 0.0473721000, 0.0559495000, 0.0764071000, 0.1252459000, 0.2430211000", \
+                        "0.0625638000, 0.0653669000, 0.0717599000, 0.0845222000, 0.1074660000, 0.1565159000, 0.2741808000", \
+                        "0.0954236000, 0.0998000000, 0.1097624000, 0.1301592000, 0.1676247000, 0.2297207000, 0.3475898000", \
+                        "0.1492218000, 0.1554244000, 0.1707457000, 0.2027610000, 0.2620813000, 0.3611996000, 0.5153308000", \
+                        "0.2442917000, 0.2541989000, 0.2765189000, 0.3234362000, 0.4138644000, 0.5705348000, 0.8202494000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0458958000, 0.0481059000, 0.0537666000, 0.0673466000, 0.0991105000, 0.1726593000, 0.3468568000", \
+                        "0.0454096000, 0.0478528000, 0.0536571000, 0.0672718000, 0.0991269000, 0.1726387000, 0.3465221000", \
+                        "0.0448701000, 0.0468657000, 0.0523964000, 0.0657934000, 0.0985888000, 0.1724802000, 0.3464710000", \
+                        "0.0555826000, 0.0571310000, 0.0615009000, 0.0720981000, 0.0998507000, 0.1711039000, 0.3461871000", \
+                        "0.0762890000, 0.0791476000, 0.0860029000, 0.0995550000, 0.1301161000, 0.1863562000, 0.3463630000", \
+                        "0.1183389000, 0.1223062000, 0.1313868000, 0.1514707000, 0.1908715000, 0.2599670000, 0.4004749000", \
+                        "0.1870598000, 0.1931494000, 0.2069710000, 0.2363024000, 0.2937759000, 0.3989376000, 0.5750747000");
+                }
+                related_pin : "B1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
+                    values("0.0232291000, 0.0251508000, 0.0302795000, 0.0433679000, 0.0741996000, 0.1475953000, 0.3223308000", \
+                        "0.0231154000, 0.0251103000, 0.0306438000, 0.0433114000, 0.0741506000, 0.1476254000, 0.3222497000", \
+                        "0.0275460000, 0.0291921000, 0.0330501000, 0.0439991000, 0.0742823000, 0.1474957000, 0.3221733000", \
+                        "0.0480720000, 0.0492644000, 0.0519803000, 0.0578096000, 0.0810821000, 0.1479741000, 0.3221148000", \
+                        "0.0869474000, 0.0879324000, 0.0911140000, 0.0994764000, 0.1192203000, 0.1691198000, 0.3238810000", \
+                        "0.1583393000, 0.1591714000, 0.1630235000, 0.1737499000, 0.2002360000, 0.2566353000, 0.3774692000", \
+                        "0.2937083000, 0.2941882000, 0.2968440000, 0.3081085000, 0.3441219000, 0.4265306000, 0.5725317000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2_0 */
+
+/* removed sky130_fd_sc_hd__or2_1 */
+
+    cell ("sky130_fd_sc_hd__or2_2") {
+        leakage_power () {
+            value : 0.0015006000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0063313000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0007598000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0010264000;
+            when : "A&!B";
+        }
+        area : 6.2560000000;
+        cell_footprint : "sky130_fd_sc_hd__or2";
+        cell_leakage_power : 0.0024045480;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014920000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0016826000, 0.0016804000, 0.0016754000, 0.0016757000, 0.0016764000, 0.0016781000, 0.0016821000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001530000, -0.001556600, -0.001618000, -0.001620200, -0.001625200, -0.001636600, -0.001662900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015700000;
+        }
+        pin ("B") {
+            capacitance : 0.0014080000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013210000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0011417000, 0.0011424000, 0.0011438000, 0.0011466000, 0.0011531000, 0.0011680000, 0.0012024000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000797900, -0.000791200, -0.000775900, -0.000775500, -0.000774500, -0.000772300, -0.000767200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014960000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000");
+                    values("0.0120788000, 0.0103773000, 0.0062161000, -0.005360400, -0.041075200, -0.149829400, -0.467676200", \
+                        "0.0118848000, 0.0102591000, 0.0062133000, -0.005342100, -0.041272300, -0.149991700, -0.467727000", \
+                        "0.0118117000, 0.0101892000, 0.0061587000, -0.005629600, -0.041449500, -0.150149800, -0.467978200", \
+                        "0.0116374000, 0.0099893000, 0.0059842000, -0.005772700, -0.041628900, -0.150290600, -0.468028100", \
+                        "0.0114753000, 0.0098043000, 0.0056357000, -0.005953200, -0.041846100, -0.150463900, -0.468174300", \
+                        "0.0116266000, 0.0099520000, 0.0057741000, -0.005905500, -0.041950700, -0.150563900, -0.468271700", \
+                        "0.0159047000, 0.0140259000, 0.0091060000, -0.003893500, -0.041768500, -0.150355800, -0.468143000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000");
+                    values("0.0145051000, 0.0161690000, 0.0208995000, 0.0340815000, 0.0716001000, 0.1796496000, 0.4941026000", \
+                        "0.0144760000, 0.0161595000, 0.0208736000, 0.0340529000, 0.0716115000, 0.1796022000, 0.4941779000", \
+                        "0.0144614000, 0.0161238000, 0.0208301000, 0.0340291000, 0.0715785000, 0.1806068000, 0.4951681000", \
+                        "0.0144797000, 0.0160918000, 0.0206743000, 0.0338051000, 0.0714524000, 0.1795565000, 0.4941449000", \
+                        "0.0143820000, 0.0159512000, 0.0205829000, 0.0334171000, 0.0710998000, 0.1798286000, 0.4959128000", \
+                        "0.0148311000, 0.0163490000, 0.0208399000, 0.0337780000, 0.0710993000, 0.1788636000, 0.4935389000", \
+                        "0.0157354000, 0.0171985000, 0.0214592000, 0.0343727000, 0.0717702000, 0.1805627000, 0.4943540000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000");
+                    values("0.0114575000, 0.0097599000, 0.0057411000, -0.005797700, -0.041753700, -0.150328000, -0.468115900", \
+                        "0.0113466000, 0.0096656000, 0.0054710000, -0.006062100, -0.041894500, -0.150435300, -0.468207500", \
+                        "0.0111257000, 0.0094846000, 0.0054586000, -0.006202100, -0.042034800, -0.150579500, -0.468289500", \
+                        "0.0109904000, 0.0093233000, 0.0051907000, -0.006389900, -0.042256800, -0.150762700, -0.468557600", \
+                        "0.0109085000, 0.0093373000, 0.0051023000, -0.006504100, -0.042383700, -0.150941800, -0.468622600", \
+                        "0.0114038000, 0.0096909000, 0.0053268000, -0.006467100, -0.042466000, -0.151021100, -0.468587400", \
+                        "0.0170604000, 0.0144686000, 0.0093600000, -0.004147400, -0.042170600, -0.150007500, -0.467908100");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000");
+                    values("0.0132471000, 0.0149386000, 0.0197743000, 0.0332091000, 0.0710418000, 0.1798216000, 0.4948538000", \
+                        "0.0132581000, 0.0149562000, 0.0197662000, 0.0331984000, 0.0710498000, 0.1798788000, 0.4948705000", \
+                        "0.0131853000, 0.0148773000, 0.0196289000, 0.0330599000, 0.0709137000, 0.1805543000, 0.4944103000", \
+                        "0.0131051000, 0.0147492000, 0.0194289000, 0.0326314000, 0.0705013000, 0.1796326000, 0.4948835000", \
+                        "0.0130644000, 0.0146312000, 0.0193311000, 0.0323646000, 0.0699752000, 0.1792295000, 0.4941528000", \
+                        "0.0135612000, 0.0150794000, 0.0195930000, 0.0324268000, 0.0700201000, 0.1776363000, 0.4956969000", \
+                        "0.0143901000, 0.0158542000, 0.0201484000, 0.0330783000, 0.0704286000, 0.1789704000, 0.4908659000");
+                }
+            }
+            max_capacitance : 0.2993870000;
+            max_transition : 1.5089130000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.2581992000, 0.2659441000, 0.2835384000, 0.3184426000, 0.3841776000, 0.5173852000, 0.8457473000", \
+                        "0.2621977000, 0.2700823000, 0.2877033000, 0.3224540000, 0.3876399000, 0.5215279000, 0.8500431000", \
+                        "0.2730849000, 0.2808617000, 0.2983238000, 0.3332686000, 0.3983937000, 0.5322251000, 0.8608260000", \
+                        "0.2984449000, 0.3062414000, 0.3238166000, 0.3586846000, 0.4240831000, 0.5575783000, 0.8860860000", \
+                        "0.3588159000, 0.3665519000, 0.3840491000, 0.4188813000, 0.4845536000, 0.6184215000, 0.9468692000", \
+                        "0.4933755000, 0.5013927000, 0.5191418000, 0.5549174000, 0.6211490000, 0.7553806000, 1.0843358000", \
+                        "0.7347123000, 0.7438896000, 0.7650136000, 0.8065323000, 0.8812446000, 1.0240232000, 1.3567509000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0713188000, 0.0763226000, 0.0885808000, 0.1185377000, 0.1998799000, 0.4325123000, 1.1095543000", \
+                        "0.0760580000, 0.0810677000, 0.0933266000, 0.1233507000, 0.2044914000, 0.4375657000, 1.1143780000", \
+                        "0.0874170000, 0.0924229000, 0.1046674000, 0.1346068000, 0.2161377000, 0.4500103000, 1.1243295000", \
+                        "0.1134161000, 0.1184156000, 0.1305631000, 0.1604561000, 0.2414606000, 0.4747392000, 1.1515161000", \
+                        "0.1544722000, 0.1603245000, 0.1735274000, 0.2041899000, 0.2855243000, 0.5191144000, 1.1972010000", \
+                        "0.2032259000, 0.2108271000, 0.2273748000, 0.2606658000, 0.3421095000, 0.5754826000, 1.2548600000", \
+                        "0.2430948000, 0.2533028000, 0.2752512000, 0.3167021000, 0.4005364000, 0.6339825000, 1.3088391000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0446078000, 0.0490963000, 0.0601314000, 0.0827737000, 0.1358420000, 0.2717052000, 0.6865765000", \
+                        "0.0443285000, 0.0489429000, 0.0605116000, 0.0837685000, 0.1357620000, 0.2714152000, 0.6862692000", \
+                        "0.0446053000, 0.0493997000, 0.0606075000, 0.0836438000, 0.1357088000, 0.2715762000, 0.6863433000", \
+                        "0.0446618000, 0.0491042000, 0.0604816000, 0.0828428000, 0.1346898000, 0.2713684000, 0.6859978000", \
+                        "0.0445019000, 0.0490085000, 0.0605136000, 0.0829688000, 0.1346150000, 0.2713987000, 0.6863458000", \
+                        "0.0488782000, 0.0534650000, 0.0641215000, 0.0871296000, 0.1371023000, 0.2725560000, 0.6865020000", \
+                        "0.0634284000, 0.0684718000, 0.0799390000, 0.1043605000, 0.1576632000, 0.2880998000, 0.6900388000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0188354000, 0.0235194000, 0.0363736000, 0.0742650000, 0.1891619000, 0.5246275000, 1.5021597000", \
+                        "0.0188950000, 0.0234545000, 0.0363326000, 0.0744267000, 0.1889338000, 0.5250380000, 1.5019634000", \
+                        "0.0188601000, 0.0234467000, 0.0363189000, 0.0744126000, 0.1890562000, 0.5261092000, 1.4990925000", \
+                        "0.0194112000, 0.0239216000, 0.0367769000, 0.0744993000, 0.1890201000, 0.5250791000, 1.5021121000", \
+                        "0.0246295000, 0.0287204000, 0.0406244000, 0.0768390000, 0.1890700000, 0.5251749000, 1.5031717000", \
+                        "0.0348240000, 0.0393226000, 0.0505096000, 0.0829433000, 0.1914297000, 0.5249869000, 1.5001828000", \
+                        "0.0493748000, 0.0558342000, 0.0691154000, 0.0997122000, 0.1970465000, 0.5267373000, 1.4966807000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.2403647000, 0.2480923000, 0.2655791000, 0.3003448000, 0.3655690000, 0.4992520000, 0.8280673000", \
+                        "0.2432186000, 0.2510279000, 0.2685542000, 0.3035476000, 0.3685962000, 0.5023267000, 0.8311296000", \
+                        "0.2524741000, 0.2603462000, 0.2780121000, 0.3127829000, 0.3781849000, 0.5116830000, 0.8405988000", \
+                        "0.2789861000, 0.2868830000, 0.3044273000, 0.3392457000, 0.4047797000, 0.5381772000, 0.8672019000", \
+                        "0.3450072000, 0.3528791000, 0.3703521000, 0.4051864000, 0.4708685000, 0.6046736000, 0.9334157000", \
+                        "0.4964581000, 0.5042758000, 0.5225630000, 0.5580861000, 0.6244912000, 0.7584692000, 1.0865192000", \
+                        "0.7503539000, 0.7594830000, 0.7820207000, 0.8262838000, 0.9035263000, 1.0446284000, 1.3757681000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0686885000, 0.0737568000, 0.0862033000, 0.1166465000, 0.1983737000, 0.4324949000, 1.1116973000", \
+                        "0.0736673000, 0.0787299000, 0.0911726000, 0.1216114000, 0.2032572000, 0.4376247000, 1.1161827000", \
+                        "0.0852846000, 0.0903478000, 0.1027225000, 0.1330805000, 0.2152232000, 0.4499492000, 1.1240961000", \
+                        "0.1112313000, 0.1163075000, 0.1286525000, 0.1587762000, 0.2406471000, 0.4756405000, 1.1563170000", \
+                        "0.1513301000, 0.1571537000, 0.1707281000, 0.2018320000, 0.2833531000, 0.5169410000, 1.1928617000", \
+                        "0.2000938000, 0.2078907000, 0.2249485000, 0.2584896000, 0.3403794000, 0.5738467000, 1.2544695000", \
+                        "0.2434090000, 0.2540873000, 0.2768175000, 0.3189637000, 0.4045482000, 0.6371429000, 1.3111180000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0447000000, 0.0489925000, 0.0604030000, 0.0836413000, 0.1361532000, 0.2715854000, 0.6862977000", \
+                        "0.0445846000, 0.0491364000, 0.0601028000, 0.0837654000, 0.1358941000, 0.2717921000, 0.6862448000", \
+                        "0.0446348000, 0.0489769000, 0.0598011000, 0.0838772000, 0.1355212000, 0.2720769000, 0.6850505000", \
+                        "0.0443286000, 0.0491080000, 0.0597124000, 0.0838652000, 0.1354851000, 0.2713446000, 0.6862766000", \
+                        "0.0443910000, 0.0494451000, 0.0597732000, 0.0838040000, 0.1359325000, 0.2708228000, 0.6864510000", \
+                        "0.0504558000, 0.0549936000, 0.0654204000, 0.0868267000, 0.1375533000, 0.2725012000, 0.6866572000", \
+                        "0.0743741000, 0.0795182000, 0.0919842000, 0.1158007000, 0.1620210000, 0.2913545000, 0.6922985000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000");
+                    values("0.0188664000, 0.0233968000, 0.0362221000, 0.0742630000, 0.1885699000, 0.5266310000, 1.5067183000", \
+                        "0.0188809000, 0.0234253000, 0.0362303000, 0.0742108000, 0.1886909000, 0.5268023000, 1.5070964000", \
+                        "0.0186979000, 0.0234071000, 0.0363190000, 0.0742256000, 0.1887504000, 0.5277248000, 1.5049226000", \
+                        "0.0195899000, 0.0240420000, 0.0367521000, 0.0744673000, 0.1890473000, 0.5269217000, 1.5089129000", \
+                        "0.0252639000, 0.0294440000, 0.0411745000, 0.0768718000, 0.1891882000, 0.5261664000, 1.5046032000", \
+                        "0.0355028000, 0.0404628000, 0.0514606000, 0.0837211000, 0.1912700000, 0.5248713000, 1.5059021000", \
+                        "0.0512317000, 0.0578231000, 0.0714642000, 0.1031087000, 0.1981585000, 0.5264952000, 1.4980674000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or2_4") {
+        leakage_power () {
+            value : 0.0039006000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0051014000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0019054000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0024409000;
+            when : "A&!B";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__or2";
+        cell_leakage_power : 0.0033370700;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024100000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022750000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0038017000, 0.0037975000, 0.0037879000, 0.0037856000, 0.0037805000, 0.0037685000, 0.0037411000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003393700, -0.003474400, -0.003660400, -0.003663000, -0.003669000, -0.003682800, -0.003714600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025450000;
+        }
+        pin ("B") {
+            capacitance : 0.0023670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021910000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025058000, 0.0025020000, 0.0024934000, 0.0025005000, 0.0025169000, 0.0025548000, 0.0026421000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001705600, -0.001714800, -0.001735800, -0.001737300, -0.001740800, -0.001748800, -0.001767400");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025440000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0232723000, 0.0214184000, 0.0162580000, 0.0003805000, -0.052333100, -0.229904000, -0.800944800", \
+                        "0.0230936000, 0.0212606000, 0.0157738000, 0.0002076000, -0.052466300, -0.230045500, -0.801080000", \
+                        "0.0228989000, 0.0210645000, 0.0157223000, 0.0002486000, -0.052504200, -0.230369400, -0.801237100", \
+                        "0.0226394000, 0.0209322000, 0.0153566000, -0.000267100, -0.052968100, -0.230539700, -0.801379000", \
+                        "0.0224637000, 0.0206198000, 0.0151345000, -0.000536500, -0.053238000, -0.230811600, -0.801492300", \
+                        "0.0227526000, 0.0210745000, 0.0154117000, -0.000551000, -0.053486100, -0.231058100, -0.801681200", \
+                        "0.0303365000, 0.0282261000, 0.0221180000, 0.0037531000, -0.051704500, -0.230395000, -0.801104500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0286235000, 0.0305126000, 0.0363910000, 0.0545969000, 0.1112136000, 0.2889002000, 0.8544917000", \
+                        "0.0285417000, 0.0304530000, 0.0363906000, 0.0546310000, 0.1111845000, 0.2894908000, 0.8539360000", \
+                        "0.0284986000, 0.0304123000, 0.0363358000, 0.0546450000, 0.1112311000, 0.2894023000, 0.8537122000", \
+                        "0.0284570000, 0.0302706000, 0.0361273000, 0.0543756000, 0.1109699000, 0.2891574000, 0.8533447000", \
+                        "0.0284386000, 0.0302695000, 0.0359359000, 0.0538503000, 0.1104723000, 0.2890010000, 0.8528260000", \
+                        "0.0291942000, 0.0308635000, 0.0364804000, 0.0543278000, 0.1101187000, 0.2878113000, 0.8524655000", \
+                        "0.0309683000, 0.0326149000, 0.0381295000, 0.0555754000, 0.1117895000, 0.2895769000, 0.8524368000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0221650000, 0.0203423000, 0.0148669000, -0.000693100, -0.053153000, -0.230833200, -0.801648600", \
+                        "0.0220521000, 0.0202065000, 0.0150642000, -0.000604100, -0.053263000, -0.231078000, -0.801725500", \
+                        "0.0219977000, 0.0201246000, 0.0146369000, -0.001035500, -0.053663400, -0.231161600, -0.802038400", \
+                        "0.0216519000, 0.0198016000, 0.0143119000, -0.001174700, -0.053884000, -0.231488500, -0.802248100", \
+                        "0.0216355000, 0.0197584000, 0.0142501000, -0.001457200, -0.053992700, -0.231630500, -0.802121300", \
+                        "0.0223833000, 0.0204426000, 0.0147362000, -0.001384100, -0.053886300, -0.231392700, -0.801809900", \
+                        "0.0324177000, 0.0302202000, 0.0241752000, 0.0056002000, -0.052254300, -0.230513900, -0.800898400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
+                    values("0.0255991000, 0.0274595000, 0.0334881000, 0.0522884000, 0.1097284000, 0.2871626000, 0.8500438000", \
+                        "0.0256228000, 0.0275842000, 0.0335285000, 0.0523005000, 0.1097024000, 0.2887230000, 0.8551200000", \
+                        "0.0254512000, 0.0274014000, 0.0334704000, 0.0521393000, 0.1095297000, 0.2885820000, 0.8503954000", \
+                        "0.0252886000, 0.0271336000, 0.0331422000, 0.0515506000, 0.1088528000, 0.2865570000, 0.8506712000", \
+                        "0.0253141000, 0.0271758000, 0.0330240000, 0.0511925000, 0.1077119000, 0.2862281000, 0.8491875000", \
+                        "0.0262430000, 0.0280133000, 0.0337712000, 0.0515624000, 0.1072580000, 0.2858998000, 0.8528188000", \
+                        "0.0278329000, 0.0295232000, 0.0350044000, 0.0524900000, 0.1091695000, 0.2869061000, 0.8501583000");
+                }
+            }
+            max_capacitance : 0.5145360000;
+            max_transition : 1.5082430000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.2137088000, 0.2183824000, 0.2302343000, 0.2566178000, 0.3098437000, 0.4239624000, 0.7291177000", \
+                        "0.2187554000, 0.2234216000, 0.2352952000, 0.2616577000, 0.3148669000, 0.4290656000, 0.7342330000", \
+                        "0.2309985000, 0.2356463000, 0.2474871000, 0.2738701000, 0.3268787000, 0.4414097000, 0.7460039000", \
+                        "0.2581295000, 0.2627427000, 0.2745648000, 0.3008716000, 0.3541238000, 0.4684144000, 0.7734041000", \
+                        "0.3211711000, 0.3258127000, 0.3376285000, 0.3638801000, 0.4172704000, 0.5319142000, 0.8371943000", \
+                        "0.4551697000, 0.4605309000, 0.4731016000, 0.5009789000, 0.5561339000, 0.6720682000, 0.9769994000", \
+                        "0.6913723000, 0.6969356000, 0.7119864000, 0.7445384000, 0.8077465000, 0.9333941000, 1.2433057000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0807491000, 0.0842950000, 0.0939403000, 0.1194046000, 0.1919323000, 0.4165965000, 1.1299173000", \
+                        "0.0853266000, 0.0888770000, 0.0985615000, 0.1240040000, 0.1964740000, 0.4213961000, 1.1349703000", \
+                        "0.0961611000, 0.0997108000, 0.1093915000, 0.1348787000, 0.2074188000, 0.4330450000, 1.1449347000", \
+                        "0.1220859000, 0.1255382000, 0.1351539000, 0.1604682000, 0.2330520000, 0.4588213000, 1.1705484000", \
+                        "0.1655284000, 0.1695724000, 0.1797901000, 0.2061790000, 0.2787501000, 0.5037983000, 1.2161099000", \
+                        "0.2169357000, 0.2217929000, 0.2351352000, 0.2643510000, 0.3377413000, 0.5629877000, 1.2765985000", \
+                        "0.2575608000, 0.2645745000, 0.2821473000, 0.3190986000, 0.3965888000, 0.6204084000, 1.3318943000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0383121000, 0.0406893000, 0.0481218000, 0.0652495000, 0.1061489000, 0.2230022000, 0.6095994000", \
+                        "0.0383303000, 0.0407013000, 0.0476939000, 0.0652069000, 0.1060085000, 0.2230218000, 0.6096217000", \
+                        "0.0383184000, 0.0406621000, 0.0477649000, 0.0645976000, 0.1056722000, 0.2222116000, 0.6105005000", \
+                        "0.0381354000, 0.0410542000, 0.0481913000, 0.0652971000, 0.1059275000, 0.2224328000, 0.6104021000", \
+                        "0.0383090000, 0.0406336000, 0.0481101000, 0.0645462000, 0.1059201000, 0.2223047000, 0.6105104000", \
+                        "0.0441205000, 0.0463884000, 0.0541662000, 0.0701226000, 0.1100020000, 0.2239820000, 0.6115812000", \
+                        "0.0597725000, 0.0625045000, 0.0702132000, 0.0884335000, 0.1302642000, 0.2414170000, 0.6149622000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0205169000, 0.0236607000, 0.0331820000, 0.0631427000, 0.1625263000, 0.4828211000, 1.5039689000", \
+                        "0.0204463000, 0.0235243000, 0.0331120000, 0.0631037000, 0.1621676000, 0.4837327000, 1.5046411000", \
+                        "0.0205054000, 0.0235554000, 0.0331820000, 0.0631510000, 0.1620977000, 0.4840823000, 1.5018004000", \
+                        "0.0206719000, 0.0238621000, 0.0333611000, 0.0631143000, 0.1625672000, 0.4839442000, 1.5014426000", \
+                        "0.0255581000, 0.0284943000, 0.0377331000, 0.0658835000, 0.1628919000, 0.4828858000, 1.4991135000", \
+                        "0.0356656000, 0.0390992000, 0.0472201000, 0.0730005000, 0.1655779000, 0.4825906000, 1.4997319000", \
+                        "0.0514482000, 0.0554553000, 0.0660413000, 0.0909883000, 0.1732682000, 0.4863337000, 1.4997638000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.1976790000, 0.2022558000, 0.2141532000, 0.2405277000, 0.2936560000, 0.4081665000, 0.7131666000", \
+                        "0.2011334000, 0.2058005000, 0.2176500000, 0.2440178000, 0.2970023000, 0.4117454000, 0.7164324000", \
+                        "0.2109617000, 0.2155853000, 0.2274386000, 0.2537543000, 0.3071902000, 0.4214349000, 0.7265234000", \
+                        "0.2377717000, 0.2424574000, 0.2543642000, 0.2805555000, 0.3333799000, 0.4480584000, 0.7529937000", \
+                        "0.3040399000, 0.3086079000, 0.3203694000, 0.3466420000, 0.3993192000, 0.5143097000, 0.8194251000", \
+                        "0.4448855000, 0.4499710000, 0.4630451000, 0.4913479000, 0.5472223000, 0.6634500000, 0.9690264000", \
+                        "0.6713215000, 0.6775635000, 0.6937186000, 0.7296629000, 0.7964133000, 0.9211518000, 1.2306777000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0766843000, 0.0801989000, 0.0899554000, 0.1158205000, 0.1890230000, 0.4144321000, 1.1272705000", \
+                        "0.0815329000, 0.0851001000, 0.0947761000, 0.1206416000, 0.1939330000, 0.4198064000, 1.1349165000", \
+                        "0.0926256000, 0.0961906000, 0.1059665000, 0.1317210000, 0.2047044000, 0.4308927000, 1.1442847000", \
+                        "0.1185782000, 0.1221283000, 0.1318177000, 0.1573357000, 0.2301930000, 0.4560837000, 1.1812580000", \
+                        "0.1610377000, 0.1650624000, 0.1757984000, 0.2021894000, 0.2748573000, 0.5002060000, 1.2139014000", \
+                        "0.2117351000, 0.2171271000, 0.2307981000, 0.2602074000, 0.3340081000, 0.5587384000, 1.2748960000", \
+                        "0.2556143000, 0.2628765000, 0.2811337000, 0.3187899000, 0.3978068000, 0.6220622000, 1.3330393000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0382047000, 0.0408590000, 0.0479365000, 0.0652887000, 0.1050214000, 0.2226141000, 0.6099038000", \
+                        "0.0383267000, 0.0406863000, 0.0481449000, 0.0653628000, 0.1058043000, 0.2230118000, 0.6105391000", \
+                        "0.0382338000, 0.0409847000, 0.0480260000, 0.0644885000, 0.1057845000, 0.2229854000, 0.6095013000", \
+                        "0.0383132000, 0.0406645000, 0.0477107000, 0.0645892000, 0.1068408000, 0.2227683000, 0.6100032000", \
+                        "0.0382028000, 0.0410356000, 0.0482171000, 0.0651870000, 0.1066169000, 0.2226540000, 0.6101659000", \
+                        "0.0477268000, 0.0505309000, 0.0576597000, 0.0734782000, 0.1115982000, 0.2247476000, 0.6100723000", \
+                        "0.0709782000, 0.0738544000, 0.0819397000, 0.1005786000, 0.1384347000, 0.2446590000, 0.6169061000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
+                    values("0.0202628000, 0.0233756000, 0.0328938000, 0.0627924000, 0.1618441000, 0.4825502000, 1.5002392000", \
+                        "0.0202157000, 0.0232617000, 0.0328277000, 0.0627250000, 0.1617813000, 0.4840375000, 1.5082426000", \
+                        "0.0203363000, 0.0233693000, 0.0328515000, 0.0628739000, 0.1621113000, 0.4858338000, 1.5028366000", \
+                        "0.0208050000, 0.0239239000, 0.0332998000, 0.0630843000, 0.1621112000, 0.4825753000, 1.5028541000", \
+                        "0.0262351000, 0.0293671000, 0.0378597000, 0.0657330000, 0.1623505000, 0.4834794000, 1.5009581000", \
+                        "0.0368830000, 0.0401949000, 0.0491770000, 0.0745705000, 0.1656782000, 0.4823836000, 1.5040700000", \
+                        "0.0538846000, 0.0577029000, 0.0684114000, 0.0939876000, 0.1748017000, 0.4845890000, 1.4987890000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or2b_1 */
+
+/* removed sky130_fd_sc_hd__or2b_2 */
+
+/* removed sky130_fd_sc_hd__or2b_4 */
+
+/* removed sky130_fd_sc_hd__or3_1 */
+
+    cell ("sky130_fd_sc_hd__or3_2") {
+        leakage_power () {
+            value : 0.0014757000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0059674000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0007819000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0010220000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0007734000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0009721000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0007502000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0007741000;
+            when : "A&B&!C";
+        }
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__or3";
+        cell_leakage_power : 0.0015646010;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0015540000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014590000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0016890000, 0.0016796000, 0.0016580000, 0.0016576000, 0.0016565000, 0.0016542000, 0.0016488000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001403200, -0.001429500, -0.001490000, -0.001494700, -0.001505500, -0.001530600, -0.001588200");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016490000;
+        }
+        pin ("B") {
+            capacitance : 0.0016220000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0015390000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0022329000, 0.0022567000, 0.0023116000, 0.0023112000, 0.0023101000, 0.0023076000, 0.0023019000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002053500, -0.002115400, -0.002257900, -0.002259400, -0.002262800, -0.002270700, -0.002289000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017050000;
+        }
+        pin ("C") {
+            capacitance : 0.0013790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012880000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0011140000, 0.0011127000, 0.0011096000, 0.0011125000, 0.0011193000, 0.0011349000, 0.0011710000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000752300, -0.000748800, -0.000740700, -0.000740400, -0.000739600, -0.000737900, -0.000734000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014690000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0141274000, 0.0122794000, 0.0077773000, -0.004046100, -0.040754300, -0.152565300, -0.482971900", \
+                        "0.0140239000, 0.0121616000, 0.0076607000, -0.004500200, -0.041120000, -0.152677500, -0.483025400", \
+                        "0.0138247000, 0.0119996000, 0.0074667000, -0.004434000, -0.041010600, -0.152860600, -0.483271100", \
+                        "0.0136393000, 0.0117978000, 0.0072965000, -0.004845900, -0.041491900, -0.153075600, -0.483390100", \
+                        "0.0135968000, 0.0117740000, 0.0073067000, -0.004895900, -0.041566400, -0.153251000, -0.483554000", \
+                        "0.0135222000, 0.0116737000, 0.0072042000, -0.005081200, -0.041773800, -0.153381000, -0.483722600", \
+                        "0.0162772000, 0.0144411000, 0.0092312000, -0.004620700, -0.041634800, -0.153298200, -0.483564000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0145803000, 0.0162479000, 0.0210255000, 0.0345550000, 0.0730920000, 0.1845800000, 0.5112080000", \
+                        "0.0145941000, 0.0162744000, 0.0210096000, 0.0345396000, 0.0730562000, 0.1848497000, 0.5110587000", \
+                        "0.0145581000, 0.0162304000, 0.0210389000, 0.0345085000, 0.0730726000, 0.1847123000, 0.5117796000", \
+                        "0.0145836000, 0.0162376000, 0.0209009000, 0.0343717000, 0.0729473000, 0.1854129000, 0.5118640000", \
+                        "0.0145790000, 0.0161659000, 0.0208906000, 0.0339870000, 0.0726259000, 0.1847846000, 0.5135678000", \
+                        "0.0151314000, 0.0166532000, 0.0211926000, 0.0344794000, 0.0725919000, 0.1841775000, 0.5116183000", \
+                        "0.0159331000, 0.0174193000, 0.0217740000, 0.0349804000, 0.0730518000, 0.1854647000, 0.5101430000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0129791000, 0.0111188000, 0.0066115000, -0.005179400, -0.041883000, -0.153628900, -0.483836800", \
+                        "0.0128257000, 0.0109756000, 0.0064629000, -0.005662400, -0.042086300, -0.153791000, -0.484100600", \
+                        "0.0128723000, 0.0110306000, 0.0064774000, -0.005681400, -0.042330600, -0.154020000, -0.484301700", \
+                        "0.0125293000, 0.0106825000, 0.0061150000, -0.006031500, -0.042685000, -0.154170200, -0.484494700", \
+                        "0.0123948000, 0.0106299000, 0.0060846000, -0.006030800, -0.042716000, -0.154329900, -0.484588000", \
+                        "0.0125137000, 0.0106959000, 0.0061474000, -0.006047100, -0.042782700, -0.154429900, -0.484736100", \
+                        "0.0150596000, 0.0130558000, 0.0077787000, -0.005922300, -0.042690900, -0.154338800, -0.484572400");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0141981000, 0.0158571000, 0.0206714000, 0.0343615000, 0.0731739000, 0.1852347000, 0.5121401000", \
+                        "0.0142488000, 0.0158948000, 0.0207326000, 0.0344457000, 0.0732386000, 0.1854989000, 0.5124447000", \
+                        "0.0143184000, 0.0160124000, 0.0208713000, 0.0344407000, 0.0733320000, 0.1862805000, 0.5139192000", \
+                        "0.0143564000, 0.0160113000, 0.0206842000, 0.0342986000, 0.0731158000, 0.1855191000, 0.5143568000", \
+                        "0.0142398000, 0.0158254000, 0.0205586000, 0.0337965000, 0.0726644000, 0.1858920000, 0.5112064000", \
+                        "0.0147837000, 0.0163125000, 0.0208803000, 0.0340973000, 0.0725768000, 0.1841228000, 0.5118732000", \
+                        "0.0154862000, 0.0169636000, 0.0213726000, 0.0346878000, 0.0730800000, 0.1852886000, 0.5123562000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0124326000, 0.0105889000, 0.0060895000, -0.006052600, -0.042721900, -0.154244300, -0.484454000", \
+                        "0.0122526000, 0.0104001000, 0.0059056000, -0.006228900, -0.042799700, -0.154332800, -0.484647900", \
+                        "0.0121246000, 0.0102779000, 0.0057781000, -0.006375100, -0.042970500, -0.154511400, -0.484696700", \
+                        "0.0119744000, 0.0101414000, 0.0056128000, -0.006345200, -0.043184900, -0.154660100, -0.484947900", \
+                        "0.0119405000, 0.0100870000, 0.0055561000, -0.006596800, -0.043229200, -0.154765700, -0.484964700", \
+                        "0.0119897000, 0.0101592000, 0.0055785000, -0.006644800, -0.042729500, -0.154592900, -0.484911200", \
+                        "0.0156529000, 0.0136152000, 0.0082467000, -0.005659200, -0.042272900, -0.154136400, -0.484479500");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0128951000, 0.0145930000, 0.0194333000, 0.0329845000, 0.0719167000, 0.1850652000, 0.5111977000", \
+                        "0.0129363000, 0.0146063000, 0.0194619000, 0.0330825000, 0.0718961000, 0.1842670000, 0.5118997000", \
+                        "0.0128603000, 0.0145758000, 0.0194219000, 0.0330128000, 0.0718576000, 0.1841502000, 0.5114892000", \
+                        "0.0128122000, 0.0144668000, 0.0192147000, 0.0327251000, 0.0716220000, 0.1842032000, 0.5111292000", \
+                        "0.0129090000, 0.0145130000, 0.0192121000, 0.0323805000, 0.0711950000, 0.1838613000, 0.5091281000", \
+                        "0.0133015000, 0.0148221000, 0.0193857000, 0.0327631000, 0.0709154000, 0.1829913000, 0.5109760000", \
+                        "0.0139791000, 0.0154528000, 0.0197924000, 0.0332008000, 0.0718993000, 0.1839150000, 0.5087442000");
+                }
+            }
+            max_capacitance : 0.3103740000;
+            max_transition : 1.5030850000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.4338500000, 0.4440800000, 0.4668097000, 0.5129485000, 0.5971893000, 0.7571855000, 1.1134760000", \
+                        "0.4362706000, 0.4467247000, 0.4697739000, 0.5155292000, 0.6003231000, 0.7600038000, 1.1160312000", \
+                        "0.4448948000, 0.4550838000, 0.4777498000, 0.5240904000, 0.6082255000, 0.7680812000, 1.1244663000", \
+                        "0.4675890000, 0.4776453000, 0.5009065000, 0.5465884000, 0.6313264000, 0.7909713000, 1.1471349000", \
+                        "0.5206684000, 0.5307585000, 0.5540383000, 0.5997752000, 0.6848679000, 0.8451389000, 1.2002020000", \
+                        "0.6395820000, 0.6500117000, 0.6728418000, 0.7186529000, 0.8032363000, 0.9640256000, 1.3198895000", \
+                        "0.8723260000, 0.8832674000, 0.9084193000, 0.9580376000, 1.0474487000, 1.2151629000, 1.5747327000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0763405000, 0.0815661000, 0.0940751000, 0.1242471000, 0.2051349000, 0.4373881000, 1.1173720000", \
+                        "0.0811601000, 0.0863599000, 0.0987878000, 0.1289155000, 0.2097969000, 0.4428415000, 1.1184886000", \
+                        "0.0924390000, 0.0976108000, 0.1101324000, 0.1402376000, 0.2211609000, 0.4536450000, 1.1307780000", \
+                        "0.1192234000, 0.1243327000, 0.1365258000, 0.1664884000, 0.2473967000, 0.4801569000, 1.1573575000", \
+                        "0.1638177000, 0.1697701000, 0.1832194000, 0.2139872000, 0.2951573000, 0.5274581000, 1.2073251000", \
+                        "0.2182672000, 0.2260601000, 0.2429404000, 0.2764824000, 0.3580700000, 0.5898040000, 1.2675413000", \
+                        "0.2656038000, 0.2761460000, 0.2985098000, 0.3398533000, 0.4247917000, 0.6559116000, 1.3322983000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0634663000, 0.0693407000, 0.0830443000, 0.1130323000, 0.1734377000, 0.3172773000, 0.7237825000", \
+                        "0.0637532000, 0.0696150000, 0.0833257000, 0.1121799000, 0.1722625000, 0.3168882000, 0.7246174000", \
+                        "0.0634328000, 0.0693424000, 0.0831055000, 0.1118802000, 0.1729352000, 0.3172978000, 0.7241726000", \
+                        "0.0640098000, 0.0695084000, 0.0831848000, 0.1121738000, 0.1724775000, 0.3171501000, 0.7252620000", \
+                        "0.0636012000, 0.0696678000, 0.0840516000, 0.1121376000, 0.1732731000, 0.3163904000, 0.7251028000", \
+                        "0.0636277000, 0.0693823000, 0.0840139000, 0.1138755000, 0.1737460000, 0.3165549000, 0.7239904000", \
+                        "0.0745949000, 0.0808427000, 0.0948197000, 0.1246323000, 0.1870524000, 0.3250676000, 0.7295662000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0192681000, 0.0237068000, 0.0362664000, 0.0732666000, 0.1859149000, 0.5199137000, 1.4975182000", \
+                        "0.0191838000, 0.0236620000, 0.0363343000, 0.0732764000, 0.1858123000, 0.5198857000, 1.4970873000", \
+                        "0.0190741000, 0.0237051000, 0.0362907000, 0.0733656000, 0.1858943000, 0.5191919000, 1.4957059000", \
+                        "0.0192654000, 0.0237146000, 0.0363350000, 0.0732843000, 0.1859714000, 0.5195288000, 1.4989924000", \
+                        "0.0242424000, 0.0284343000, 0.0400966000, 0.0755914000, 0.1859551000, 0.5207368000, 1.4993593000", \
+                        "0.0335223000, 0.0382086000, 0.0495349000, 0.0812925000, 0.1881427000, 0.5200323000, 1.4987745000", \
+                        "0.0475559000, 0.0541786000, 0.0674049000, 0.0986842000, 0.1944216000, 0.5222660000, 1.4957596000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.4090983000, 0.4193191000, 0.4420065000, 0.4882091000, 0.5725741000, 0.7323522000, 1.0888036000", \
+                        "0.4115124000, 0.4217091000, 0.4448657000, 0.4907403000, 0.5748377000, 0.7348678000, 1.0912728000", \
+                        "0.4202559000, 0.4302235000, 0.4534087000, 0.4992692000, 0.5840491000, 0.7432603000, 1.0997312000", \
+                        "0.4433934000, 0.4535828000, 0.4765933000, 0.5222506000, 0.6069100000, 0.7665779000, 1.1228005000", \
+                        "0.5008801000, 0.5109448000, 0.5341758000, 0.5799455000, 0.6647854000, 0.8253843000, 1.1804440000", \
+                        "0.6384618000, 0.6486132000, 0.6716407000, 0.7175860000, 0.8020528000, 0.9626545000, 1.3186505000", \
+                        "0.9126652000, 0.9237964000, 0.9488778000, 0.9987088000, 1.0891538000, 1.2561588000, 1.6150387000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0745364000, 0.0796014000, 0.0919984000, 0.1221629000, 0.2029134000, 0.4356668000, 1.1137002000", \
+                        "0.0793118000, 0.0843860000, 0.0967995000, 0.1269858000, 0.2078584000, 0.4408462000, 1.1177123000", \
+                        "0.0906438000, 0.0957454000, 0.1081482000, 0.1382160000, 0.2194471000, 0.4526409000, 1.1287046000", \
+                        "0.1167041000, 0.1217933000, 0.1339876000, 0.1639426000, 0.2450779000, 0.4776856000, 1.1574157000", \
+                        "0.1599827000, 0.1658797000, 0.1794178000, 0.2101599000, 0.2910570000, 0.5244049000, 1.2002407000", \
+                        "0.2113380000, 0.2190559000, 0.2361739000, 0.2698024000, 0.3515245000, 0.5840146000, 1.2621449000", \
+                        "0.2538334000, 0.2643794000, 0.2870186000, 0.3293119000, 0.4141368000, 0.6468841000, 1.3224352000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0634491000, 0.0693312000, 0.0830561000, 0.1124136000, 0.1742561000, 0.3173440000, 0.7241544000", \
+                        "0.0635088000, 0.0693583000, 0.0832117000, 0.1120277000, 0.1732642000, 0.3170862000, 0.7239777000", \
+                        "0.0635006000, 0.0695322000, 0.0832524000, 0.1120677000, 0.1728412000, 0.3173074000, 0.7251638000", \
+                        "0.0636898000, 0.0695574000, 0.0838287000, 0.1121722000, 0.1734527000, 0.3170087000, 0.7258436000", \
+                        "0.0633821000, 0.0696031000, 0.0831506000, 0.1121144000, 0.1736367000, 0.3160402000, 0.7249623000", \
+                        "0.0638095000, 0.0697314000, 0.0838431000, 0.1134089000, 0.1728177000, 0.3164120000, 0.7238716000", \
+                        "0.0774862000, 0.0831187000, 0.0982643000, 0.1277973000, 0.1864192000, 0.3256587000, 0.7273022000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0184879000, 0.0230851000, 0.0355800000, 0.0725281000, 0.1856715000, 0.5201696000, 1.4991134000", \
+                        "0.0185033000, 0.0230866000, 0.0355403000, 0.0724954000, 0.1856815000, 0.5207400000, 1.4971010000", \
+                        "0.0185373000, 0.0229828000, 0.0354620000, 0.0724861000, 0.1858363000, 0.5212867000, 1.4948439000", \
+                        "0.0189496000, 0.0233496000, 0.0358454000, 0.0726257000, 0.1853382000, 0.5207113000, 1.5001992000", \
+                        "0.0239827000, 0.0281632000, 0.0397590000, 0.0749255000, 0.1859729000, 0.5210815000, 1.4964502000", \
+                        "0.0339356000, 0.0383283000, 0.0498651000, 0.0816595000, 0.1875547000, 0.5184812000, 1.4987792000", \
+                        "0.0481227000, 0.0546157000, 0.0685532000, 0.1000771000, 0.1945506000, 0.5223504000, 1.4959226000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.3729392000, 0.3831500000, 0.4061339000, 0.4521973000, 0.5365873000, 0.6966708000, 1.0525814000", \
+                        "0.3747145000, 0.3848792000, 0.4080516000, 0.4539053000, 0.5386348000, 0.6980396000, 1.0544313000", \
+                        "0.3817555000, 0.3920453000, 0.4151597000, 0.4607846000, 0.5450282000, 0.7051538000, 1.0610888000", \
+                        "0.4038710000, 0.4140744000, 0.4371007000, 0.4828588000, 0.5673448000, 0.7272446000, 1.0835661000", \
+                        "0.4646518000, 0.4747898000, 0.4975503000, 0.5435041000, 0.6278532000, 0.7885907000, 1.1444655000", \
+                        "0.6095776000, 0.6199046000, 0.6428609000, 0.6884937000, 0.7731537000, 0.9336927000, 1.2899519000", \
+                        "0.9041269000, 0.9157195000, 0.9417834000, 0.9930986000, 1.0834911000, 1.2493798000, 1.6086860000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0716166000, 0.0768270000, 0.0893241000, 0.1195502000, 0.2003550000, 0.4329636000, 1.1101441000", \
+                        "0.0766601000, 0.0818125000, 0.0943766000, 0.1246535000, 0.2057009000, 0.4384824000, 1.1184886000", \
+                        "0.0881254000, 0.0932831000, 0.1058096000, 0.1360308000, 0.2171426000, 0.4509147000, 1.1265197000", \
+                        "0.1147676000, 0.1199003000, 0.1324141000, 0.1624900000, 0.2433578000, 0.4770036000, 1.1528677000", \
+                        "0.1573822000, 0.1635157000, 0.1772723000, 0.2082769000, 0.2896358000, 0.5231384000, 1.2047224000", \
+                        "0.2093147000, 0.2174146000, 0.2350002000, 0.2693548000, 0.3511565000, 0.5828877000, 1.2642215000", \
+                        "0.2555272000, 0.2664670000, 0.2898141000, 0.3334195000, 0.4198200000, 0.6507216000, 1.3267726000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0636120000, 0.0695361000, 0.0836613000, 0.1135145000, 0.1721807000, 0.3165138000, 0.7243420000", \
+                        "0.0635272000, 0.0694369000, 0.0832174000, 0.1120604000, 0.1731415000, 0.3172750000, 0.7246944000", \
+                        "0.0640136000, 0.0696721000, 0.0834284000, 0.1121368000, 0.1722224000, 0.3167666000, 0.7251256000", \
+                        "0.0633549000, 0.0693475000, 0.0837022000, 0.1118474000, 0.1739304000, 0.3169522000, 0.7257739000", \
+                        "0.0637072000, 0.0691746000, 0.0842223000, 0.1124010000, 0.1733272000, 0.3161029000, 0.7248944000", \
+                        "0.0636148000, 0.0695293000, 0.0831160000, 0.1135986000, 0.1732485000, 0.3166115000, 0.7237190000", \
+                        "0.0841603000, 0.0904797000, 0.1049007000, 0.1330133000, 0.1903753000, 0.3269512000, 0.7286899000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0186741000, 0.0232175000, 0.0357851000, 0.0726500000, 0.1856457000, 0.5227388000, 1.4995972000", \
+                        "0.0186683000, 0.0232807000, 0.0358332000, 0.0727553000, 0.1854096000, 0.5210087000, 1.5030854000", \
+                        "0.0187535000, 0.0232212000, 0.0357029000, 0.0727490000, 0.1853128000, 0.5226951000, 1.5010100000", \
+                        "0.0192899000, 0.0238394000, 0.0362426000, 0.0728867000, 0.1856645000, 0.5219097000, 1.4978941000", \
+                        "0.0246315000, 0.0292392000, 0.0405763000, 0.0754933000, 0.1859595000, 0.5214104000, 1.4991182000", \
+                        "0.0348934000, 0.0396640000, 0.0515502000, 0.0826274000, 0.1880539000, 0.5187359000, 1.4980710000", \
+                        "0.0503051000, 0.0568373000, 0.0712409000, 0.1035887000, 0.1955479000, 0.5231901000, 1.4961589000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or3_4") {
+        leakage_power () {
+            value : 0.0040967000;
+            when : "!A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0046321000;
+            when : "!A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0020287000;
+            when : "!A&B&C";
+        }
+        leakage_power () {
+            value : 0.0024994000;
+            when : "!A&B&!C";
+        }
+        leakage_power () {
+            value : 0.0020171000;
+            when : "A&!B&C";
+        }
+        leakage_power () {
+            value : 0.0023608000;
+            when : "A&!B&!C";
+        }
+        leakage_power () {
+            value : 0.0019971000;
+            when : "A&B&C";
+        }
+        leakage_power () {
+            value : 0.0020186000;
+            when : "A&B&!C";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or3";
+        cell_leakage_power : 0.0027063310;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0024030000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022620000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0038554000, 0.0038459000, 0.0038240000, 0.0038266000, 0.0038327000, 0.0038468000, 0.0038794000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003301300, -0.003331300, -0.003400400, -0.003415100, -0.003448900, -0.003526800, -0.003706600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025440000;
+        }
+        pin ("B") {
+            capacitance : 0.0023510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021930000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0037651000, 0.0037661000, 0.0037684000, 0.0037708000, 0.0037762000, 0.0037887000, 0.0038176000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003286600, -0.003375600, -0.003580800, -0.003587200, -0.003601900, -0.003635700, -0.003713800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025090000;
+        }
+        pin ("C") {
+            capacitance : 0.0023010000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021110000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025669000, 0.0025655000, 0.0025623000, 0.0025698000, 0.0025871000, 0.0026271000, 0.0027193000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001724100, -0.001719200, -0.001708100, -0.001710800, -0.001717100, -0.001731500, -0.001764900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024920000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0255141000, 0.0235106000, 0.0176216000, 0.0013860000, -0.052050500, -0.233636200, -0.824800700", \
+                        "0.0254915000, 0.0234275000, 0.0175912000, 0.0013077000, -0.052137200, -0.233708400, -0.824958600", \
+                        "0.0251932000, 0.0235843000, 0.0172059000, 0.0008973000, -0.052526200, -0.233978500, -0.825138000", \
+                        "0.0249575000, 0.0228715000, 0.0170033000, 0.0007651000, -0.052754600, -0.234274100, -0.825371000", \
+                        "0.0247337000, 0.0226569000, 0.0168143000, 0.0007278000, -0.052906300, -0.234418400, -0.825450900", \
+                        "0.0247041000, 0.0226766000, 0.0168149000, 0.0006638000, -0.053054200, -0.234611300, -0.825642900", \
+                        "0.0317538000, 0.0295077000, 0.0230163000, 0.0040802000, -0.053828400, -0.234674400, -0.825591500");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0299347000, 0.0319496000, 0.0379576000, 0.0567263000, 0.1149203000, 0.2987714000, 0.8830631000", \
+                        "0.0299204000, 0.0318373000, 0.0378169000, 0.0566522000, 0.1149092000, 0.2982869000, 0.8873712000", \
+                        "0.0297922000, 0.0316950000, 0.0377833000, 0.0565876000, 0.1148425000, 0.2982241000, 0.8840089000", \
+                        "0.0299190000, 0.0318942000, 0.0378799000, 0.0565631000, 0.1147243000, 0.2980506000, 0.8834338000", \
+                        "0.0298831000, 0.0317320000, 0.0376445000, 0.0561016000, 0.1137406000, 0.2978539000, 0.8871875000", \
+                        "0.0311214000, 0.0329043000, 0.0385587000, 0.0568393000, 0.1137600000, 0.2970050000, 0.8836660000", \
+                        "0.0326205000, 0.0342938000, 0.0398850000, 0.0578694000, 0.1148949000, 0.2985944000, 0.8820063000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0232521000, 0.0211033000, 0.0152832000, -0.000531500, -0.054069900, -0.235814800, -0.826810800", \
+                        "0.0230542000, 0.0209961000, 0.0151498000, -0.000677200, -0.054170000, -0.235945500, -0.826952200", \
+                        "0.0228477000, 0.0208783000, 0.0149655000, -0.001270800, -0.054693600, -0.236194800, -0.827243900", \
+                        "0.0226742000, 0.0205921000, 0.0147287000, -0.001587000, -0.054755700, -0.236406200, -0.827317200", \
+                        "0.0225760000, 0.0205138000, 0.0146485000, -0.001613800, -0.055145900, -0.236637500, -0.827515100", \
+                        "0.0226005000, 0.0205096000, 0.0146025000, -0.001741400, -0.055311000, -0.236830200, -0.827757500", \
+                        "0.0294169000, 0.0272438000, 0.0205255000, 0.0014032000, -0.055912000, -0.235835700, -0.827042600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0290379000, 0.0309777000, 0.0369958000, 0.0559287000, 0.1146797000, 0.2986661000, 0.8850506000", \
+                        "0.0290749000, 0.0310054000, 0.0369980000, 0.0560052000, 0.1147260000, 0.2991859000, 0.8883061000", \
+                        "0.0291216000, 0.0310460000, 0.0371236000, 0.0561110000, 0.1147119000, 0.2992451000, 0.8884353000", \
+                        "0.0290397000, 0.0310235000, 0.0370383000, 0.0558330000, 0.1143924000, 0.2989365000, 0.8881638000", \
+                        "0.0289711000, 0.0308378000, 0.0366287000, 0.0550456000, 0.1134956000, 0.2978762000, 0.8845201000", \
+                        "0.0297850000, 0.0315699000, 0.0371783000, 0.0554714000, 0.1129293000, 0.2966984000, 0.8827160000", \
+                        "0.0313511000, 0.0330393000, 0.0385785000, 0.0564306000, 0.1143053000, 0.2982789000, 0.8805977000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0222697000, 0.0202153000, 0.0144059000, -0.001967800, -0.055211900, -0.236593500, -0.827641000", \
+                        "0.0221959000, 0.0200996000, 0.0142032000, -0.001817500, -0.055258300, -0.236817800, -0.827724000", \
+                        "0.0220395000, 0.0199494000, 0.0140801000, -0.002204900, -0.055688800, -0.237121100, -0.828055200", \
+                        "0.0217318000, 0.0197499000, 0.0139547000, -0.002216300, -0.055810800, -0.237287200, -0.828232100", \
+                        "0.0215261000, 0.0194354000, 0.0135845000, -0.002592700, -0.056190800, -0.237453600, -0.828286500", \
+                        "0.0220775000, 0.0199806000, 0.0140027000, -0.001444300, -0.055399300, -0.237362400, -0.828300200", \
+                        "0.0321245000, 0.0298909000, 0.0230514000, 0.0034339000, -0.054364100, -0.235821900, -0.826997700");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
+                    values("0.0257994000, 0.0277347000, 0.0338848000, 0.0527346000, 0.1115687000, 0.2958618000, 0.8824956000", \
+                        "0.0257490000, 0.0277040000, 0.0338206000, 0.0527317000, 0.1115235000, 0.2945015000, 0.8820683000", \
+                        "0.0256538000, 0.0276162000, 0.0337165000, 0.0527662000, 0.1114048000, 0.2957524000, 0.8777199000", \
+                        "0.0256158000, 0.0275224000, 0.0336305000, 0.0524429000, 0.1109451000, 0.2953697000, 0.8823388000", \
+                        "0.0256737000, 0.0275188000, 0.0334146000, 0.0516441000, 0.1099686000, 0.2945569000, 0.8825168000", \
+                        "0.0265424000, 0.0284621000, 0.0341784000, 0.0524853000, 0.1094272000, 0.2933500000, 0.8802382000", \
+                        "0.0279431000, 0.0295961000, 0.0351437000, 0.0531570000, 0.1115391000, 0.2941553000, 0.8817169000");
+                }
+            }
+            max_capacitance : 0.5319310000;
+            max_transition : 1.5070720000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.3459759000, 0.3519301000, 0.3672774000, 0.4013956000, 0.4689475000, 0.6050974000, 0.9332050000", \
+                        "0.3504629000, 0.3564133000, 0.3716655000, 0.4059412000, 0.4735529000, 0.6093772000, 0.9376722000", \
+                        "0.3619217000, 0.3678751000, 0.3831757000, 0.4172194000, 0.4849762000, 0.6210951000, 0.9491448000", \
+                        "0.3879018000, 0.3937147000, 0.4091606000, 0.4431471000, 0.5108351000, 0.6470487000, 0.9751912000", \
+                        "0.4450830000, 0.4508968000, 0.4662083000, 0.5000853000, 0.5674502000, 0.7041038000, 1.0321195000", \
+                        "0.5702332000, 0.5761056000, 0.5914033000, 0.6252407000, 0.6928736000, 0.8297968000, 1.1573101000", \
+                        "0.8066398000, 0.8132645000, 0.8303217000, 0.8678373000, 0.9427552000, 1.0862934000, 1.4184540000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0842214000, 0.0878244000, 0.0975802000, 0.1230933000, 0.1953703000, 0.4192494000, 1.1307968000", \
+                        "0.0887973000, 0.0924025000, 0.1020998000, 0.1276477000, 0.1998561000, 0.4244325000, 1.1389891000", \
+                        "0.0998479000, 0.1034357000, 0.1132139000, 0.1387216000, 0.2108926000, 0.4350826000, 1.1503253000", \
+                        "0.1258950000, 0.1294419000, 0.1391071000, 0.1643502000, 0.2362366000, 0.4609243000, 1.1757864000", \
+                        "0.1727291000, 0.1767696000, 0.1872393000, 0.2132203000, 0.2853855000, 0.5088492000, 1.2232134000", \
+                        "0.2290893000, 0.2343430000, 0.2472672000, 0.2764051000, 0.3492003000, 0.5730623000, 1.2861322000", \
+                        "0.2759523000, 0.2829448000, 0.3004853000, 0.3374520000, 0.4146551000, 0.6374002000, 1.3480580000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0554249000, 0.0586787000, 0.0673611000, 0.0880915000, 0.1366495000, 0.2580715000, 0.6385142000", \
+                        "0.0553418000, 0.0582554000, 0.0673455000, 0.0885551000, 0.1349636000, 0.2581423000, 0.6372824000", \
+                        "0.0553636000, 0.0583989000, 0.0674031000, 0.0889105000, 0.1355402000, 0.2581226000, 0.6383712000", \
+                        "0.0553997000, 0.0583414000, 0.0670513000, 0.0877747000, 0.1362831000, 0.2580008000, 0.6382292000", \
+                        "0.0551651000, 0.0583483000, 0.0673033000, 0.0882174000, 0.1357026000, 0.2577308000, 0.6389781000", \
+                        "0.0570047000, 0.0598744000, 0.0681769000, 0.0890612000, 0.1358157000, 0.2583084000, 0.6387924000", \
+                        "0.0686798000, 0.0717879000, 0.0811113000, 0.1023196000, 0.1512418000, 0.2724840000, 0.6445931000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0204332000, 0.0234761000, 0.0327853000, 0.0621686000, 0.1601252000, 0.4796596000, 1.4985264000", \
+                        "0.0203640000, 0.0234207000, 0.0328129000, 0.0621878000, 0.1602102000, 0.4792802000, 1.5011704000", \
+                        "0.0204560000, 0.0234420000, 0.0327490000, 0.0621000000, 0.1601910000, 0.4783828000, 1.5010418000", \
+                        "0.0203585000, 0.0233621000, 0.0326725000, 0.0619858000, 0.1601535000, 0.4793868000, 1.4991167000", \
+                        "0.0250410000, 0.0278217000, 0.0364804000, 0.0641209000, 0.1605244000, 0.4791870000, 1.5020035000", \
+                        "0.0346662000, 0.0377596000, 0.0459025000, 0.0715005000, 0.1629469000, 0.4789252000, 1.5017667000", \
+                        "0.0494961000, 0.0536105000, 0.0643422000, 0.0886908000, 0.1709363000, 0.4820537000, 1.4983637000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.3289307000, 0.3347550000, 0.3501545000, 0.3840742000, 0.4517262000, 0.5878463000, 0.9158468000", \
+                        "0.3325248000, 0.3383526000, 0.3537816000, 0.3877642000, 0.4554525000, 0.5915065000, 0.9195666000", \
+                        "0.3429934000, 0.3489844000, 0.3642702000, 0.3983222000, 0.4659464000, 0.6021949000, 0.9303607000", \
+                        "0.3684825000, 0.3742925000, 0.3896261000, 0.4237173000, 0.4913384000, 0.6280371000, 0.9554376000", \
+                        "0.4292613000, 0.4351625000, 0.4504693000, 0.4845531000, 0.5517768000, 0.6884379000, 1.0168567000", \
+                        "0.5692631000, 0.5751594000, 0.5906133000, 0.6247841000, 0.6926189000, 0.8294604000, 1.1569316000", \
+                        "0.8361279000, 0.8427015000, 0.8600776000, 0.8987137000, 0.9737414000, 1.1182566000, 1.4503452000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0839679000, 0.0875375000, 0.0972925000, 0.1229211000, 0.1954485000, 0.4196942000, 1.1327953000", \
+                        "0.0885846000, 0.0921888000, 0.1019298000, 0.1276231000, 0.2000398000, 0.4243317000, 1.1372094000", \
+                        "0.0995256000, 0.1031338000, 0.1129555000, 0.1386163000, 0.2109576000, 0.4352364000, 1.1480996000", \
+                        "0.1255216000, 0.1290965000, 0.1388406000, 0.1642785000, 0.2364704000, 0.4611993000, 1.1751685000", \
+                        "0.1708538000, 0.1749512000, 0.1852745000, 0.2118014000, 0.2840981000, 0.5084814000, 1.2220005000", \
+                        "0.2249340000, 0.2302365000, 0.2434590000, 0.2730581000, 0.3463367000, 0.5706722000, 1.2838133000", \
+                        "0.2686359000, 0.2757412000, 0.2936942000, 0.3308283000, 0.4091496000, 0.6320571000, 1.3436704000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0553561000, 0.0583394000, 0.0672179000, 0.0881909000, 0.1359003000, 0.2580871000, 0.6390817000", \
+                        "0.0554173000, 0.0583171000, 0.0671624000, 0.0880688000, 0.1353739000, 0.2580486000, 0.6391747000", \
+                        "0.0554389000, 0.0587904000, 0.0673590000, 0.0879570000, 0.1366249000, 0.2580341000, 0.6384760000", \
+                        "0.0553765000, 0.0583407000, 0.0673072000, 0.0888864000, 0.1349998000, 0.2575922000, 0.6386908000", \
+                        "0.0552410000, 0.0584023000, 0.0673554000, 0.0882764000, 0.1367096000, 0.2576012000, 0.6377047000", \
+                        "0.0569508000, 0.0601268000, 0.0685765000, 0.0887852000, 0.1357136000, 0.2577085000, 0.6389919000", \
+                        "0.0736922000, 0.0761565000, 0.0858827000, 0.1067121000, 0.1534132000, 0.2718409000, 0.6459367000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0201870000, 0.0232659000, 0.0325774000, 0.0618147000, 0.1599399000, 0.4795678000, 1.5026096000", \
+                        "0.0201994000, 0.0232222000, 0.0326083000, 0.0618511000, 0.1597870000, 0.4800760000, 1.5018807000", \
+                        "0.0202390000, 0.0232845000, 0.0325571000, 0.0617876000, 0.1597658000, 0.4798605000, 1.5014510000", \
+                        "0.0203197000, 0.0233630000, 0.0325951000, 0.0618945000, 0.1597921000, 0.4800952000, 1.5036409000", \
+                        "0.0250800000, 0.0280257000, 0.0370800000, 0.0645286000, 0.1600262000, 0.4794346000, 1.5025242000", \
+                        "0.0349193000, 0.0383346000, 0.0467592000, 0.0722114000, 0.1627270000, 0.4778110000, 1.4979511000", \
+                        "0.0509912000, 0.0550556000, 0.0656038000, 0.0911762000, 0.1722037000, 0.4813135000, 1.4986000000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.2949677000, 0.3008004000, 0.3159917000, 0.3503244000, 0.4181522000, 0.5539835000, 0.8822564000", \
+                        "0.2976156000, 0.3034358000, 0.3188829000, 0.3528645000, 0.4205396000, 0.5572641000, 0.8847942000", \
+                        "0.3055687000, 0.3113421000, 0.3267971000, 0.3609155000, 0.4286358000, 0.5654451000, 0.8929234000", \
+                        "0.3286381000, 0.3344736000, 0.3499053000, 0.3839359000, 0.4516909000, 0.5884265000, 0.9155640000", \
+                        "0.3888136000, 0.3946206000, 0.4100035000, 0.4440982000, 0.5116114000, 0.6481958000, 0.9764881000", \
+                        "0.5324228000, 0.5383110000, 0.5535152000, 0.5876579000, 0.6550555000, 0.7904822000, 1.1186873000", \
+                        "0.7913129000, 0.7985643000, 0.8166291000, 0.8577409000, 0.9345733000, 1.0786332000, 1.4100765000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0804629000, 0.0841171000, 0.0940829000, 0.1198769000, 0.1924399000, 0.4172655000, 1.1337414000", \
+                        "0.0853003000, 0.0889518000, 0.0989196000, 0.1247174000, 0.1973201000, 0.4220023000, 1.1376146000", \
+                        "0.0967231000, 0.1003732000, 0.1103344000, 0.1362267000, 0.2087456000, 0.4339745000, 1.1453045000", \
+                        "0.1228214000, 0.1264381000, 0.1363085000, 0.1619038000, 0.2343577000, 0.4603581000, 1.1704490000", \
+                        "0.1675030000, 0.1717195000, 0.1826026000, 0.2092410000, 0.2817608000, 0.5065109000, 1.2238187000", \
+                        "0.2215470000, 0.2270924000, 0.2411013000, 0.2713802000, 0.3446588000, 0.5681314000, 1.2850137000", \
+                        "0.2690808000, 0.2764007000, 0.2951617000, 0.3337575000, 0.4140073000, 0.6378605000, 1.3474812000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0554015000, 0.0583384000, 0.0674927000, 0.0889060000, 0.1351814000, 0.2580363000, 0.6375613000", \
+                        "0.0554124000, 0.0583248000, 0.0671233000, 0.0892245000, 0.1348807000, 0.2575456000, 0.6391707000", \
+                        "0.0552789000, 0.0582638000, 0.0673539000, 0.0878847000, 0.1350002000, 0.2576948000, 0.6385562000", \
+                        "0.0552144000, 0.0582066000, 0.0673036000, 0.0890417000, 0.1354705000, 0.2577838000, 0.6391940000", \
+                        "0.0554137000, 0.0583199000, 0.0671738000, 0.0887097000, 0.1350381000, 0.2572246000, 0.6384019000", \
+                        "0.0575518000, 0.0605989000, 0.0687883000, 0.0894113000, 0.1375733000, 0.2593991000, 0.6384021000", \
+                        "0.0826437000, 0.0855698000, 0.0947989000, 0.1174094000, 0.1607158000, 0.2763350000, 0.6467580000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
+                    values("0.0204222000, 0.0234652000, 0.0327905000, 0.0621264000, 0.1596693000, 0.4796953000, 1.5059775000", \
+                        "0.0204284000, 0.0235562000, 0.0328111000, 0.0620892000, 0.1599868000, 0.4786538000, 1.5015917000", \
+                        "0.0204285000, 0.0234938000, 0.0328243000, 0.0620479000, 0.1599879000, 0.4806552000, 1.4971254000", \
+                        "0.0207910000, 0.0237940000, 0.0330911000, 0.0622801000, 0.1599425000, 0.4807758000, 1.5010900000", \
+                        "0.0261740000, 0.0290487000, 0.0377731000, 0.0652784000, 0.1603542000, 0.4801560000, 1.5070716000", \
+                        "0.0367054000, 0.0399413000, 0.0493622000, 0.0740055000, 0.1635425000, 0.4788038000, 1.5046610000", \
+                        "0.0535327000, 0.0581115000, 0.0687030000, 0.0944681000, 0.1736763000, 0.4813303000, 1.4973982000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or3b_1 */
+
+/* removed sky130_fd_sc_hd__or3b_2 */
+
+/* removed sky130_fd_sc_hd__or3b_4 */
+
+/* removed sky130_fd_sc_hd__or4_1 */
+
+    cell ("sky130_fd_sc_hd__or4_2") {
+        leakage_power () {
+            value : 0.0014720000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0066049000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0007992000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0010088000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0007936000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0009749000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0007719000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0007943000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0007936000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0009732000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0007719000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0007942000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0007708000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0007985000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0007631000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0007748000;
+            when : "A&B&C&!D";
+        }
+        area : 8.7584000000;
+        cell_footprint : "sky130_fd_sc_hd__or4";
+        cell_leakage_power : 0.0012287270;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0014250000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0013440000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0016668000, 0.0016616000, 0.0016495000, 0.0016502000, 0.0016518000, 0.0016555000, 0.0016641000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001406500, -0.001420700, -0.001453300, -0.001457500, -0.001467300, -0.001489800, -0.001541600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0015060000;
+        }
+        pin ("B") {
+            capacitance : 0.0017160000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016250000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0025479000, 0.0025273000, 0.0024796000, 0.0024798000, 0.0024803000, 0.0024813000, 0.0024838000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.002200900, -0.002227700, -0.002289400, -0.002295200, -0.002308600, -0.002339400, -0.002410500");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0018080000;
+        }
+        pin ("C") {
+            capacitance : 0.0015080000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0014090000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0016196000, 0.0016183000, 0.0016153000, 0.0016147000, 0.0016133000, 0.0016100000, 0.0016025000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001423800, -0.001454600, -0.001525600, -0.001528600, -0.001535600, -0.001551700, -0.001588800");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0016070000;
+        }
+        pin ("D") {
+            capacitance : 0.0013490000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0012610000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0011990000, 0.0011991000, 0.0011994000, 0.0012029000, 0.0012108000, 0.0012290000, 0.0012711000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.000741300, -0.000743400, -0.000748400, -0.000747600, -0.000745600, -0.000741100, -0.000730700");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0014360000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C) | (D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0162834000, 0.0142774000, 0.0092716000, -0.003424500, -0.040264500, -0.151390300, -0.481449200", \
+                        "0.0161418000, 0.0141217000, 0.0091035000, -0.003558800, -0.040398800, -0.151314600, -0.481519600", \
+                        "0.0160642000, 0.0140457000, 0.0090277000, -0.003679400, -0.040514200, -0.151639500, -0.481670700", \
+                        "0.0158609000, 0.0138536000, 0.0088231000, -0.003897000, -0.040681800, -0.151818400, -0.481867800", \
+                        "0.0156719000, 0.0136589000, 0.0086593000, -0.004020800, -0.040825700, -0.151830500, -0.481993400", \
+                        "0.0156016000, 0.0136184000, 0.0085704000, -0.004102900, -0.040987800, -0.152028500, -0.482070400", \
+                        "0.0159005000, 0.0138446000, 0.0087447000, -0.003681500, -0.040794800, -0.152055800, -0.482084000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0149538000, 0.0166110000, 0.0214573000, 0.0350905000, 0.0737483000, 0.1853823000, 0.5114438000", \
+                        "0.0149304000, 0.0166190000, 0.0214212000, 0.0350332000, 0.0737205000, 0.1853555000, 0.5113994000", \
+                        "0.0149009000, 0.0165958000, 0.0214247000, 0.0349959000, 0.0736887000, 0.1851364000, 0.5137689000", \
+                        "0.0149513000, 0.0165757000, 0.0213536000, 0.0349253000, 0.0735552000, 0.1854553000, 0.5118325000", \
+                        "0.0149244000, 0.0165872000, 0.0213213000, 0.0346123000, 0.0732808000, 0.1852562000, 0.5123218000", \
+                        "0.0157636000, 0.0172788000, 0.0218383000, 0.0348721000, 0.0733523000, 0.1849570000, 0.5122961000", \
+                        "0.0166436000, 0.0181186000, 0.0224635000, 0.0357534000, 0.0737414000, 0.1864251000, 0.5114364000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0152376000, 0.0133436000, 0.0082816000, -0.004438700, -0.041211000, -0.152425800, -0.482649900", \
+                        "0.0152678000, 0.0132804000, 0.0082942000, -0.004415800, -0.041315600, -0.152354300, -0.482348500", \
+                        "0.0150314000, 0.0130149000, 0.0079920000, -0.004665000, -0.041516200, -0.152411800, -0.482522600", \
+                        "0.0148449000, 0.0128539000, 0.0078219000, -0.004906500, -0.041241500, -0.152582200, -0.482686000", \
+                        "0.0147354000, 0.0127298000, 0.0077239000, -0.004759500, -0.041603200, -0.152848800, -0.482800000", \
+                        "0.0146468000, 0.0126385000, 0.0076620000, -0.005065500, -0.041897300, -0.153012600, -0.482909700", \
+                        "0.0151319000, 0.0130908000, 0.0079300000, -0.004384500, -0.041552700, -0.152862800, -0.482871100");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0147264000, 0.0164198000, 0.0212783000, 0.0349446000, 0.0738148000, 0.1866882000, 0.5132006000", \
+                        "0.0147308000, 0.0164184000, 0.0212936000, 0.0350055000, 0.0738745000, 0.1867534000, 0.5131483000", \
+                        "0.0147725000, 0.0164661000, 0.0213261000, 0.0349356000, 0.0738738000, 0.1857905000, 0.5128559000", \
+                        "0.0148409000, 0.0164979000, 0.0212686000, 0.0348816000, 0.0737036000, 0.1857501000, 0.5122391000", \
+                        "0.0147878000, 0.0163987000, 0.0210591000, 0.0345061000, 0.0732123000, 0.1858221000, 0.5148790000", \
+                        "0.0155086000, 0.0170328000, 0.0215739000, 0.0348479000, 0.0731084000, 0.1846225000, 0.5120470000", \
+                        "0.0162020000, 0.0176779000, 0.0220764000, 0.0351440000, 0.0737161000, 0.1862097000, 0.5130144000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0143000000, 0.0123149000, 0.0073037000, -0.005434700, -0.042217400, -0.153041900, -0.483105800", \
+                        "0.0142261000, 0.0122255000, 0.0072136000, -0.005487100, -0.041809900, -0.153185700, -0.483192000", \
+                        "0.0141011000, 0.0120795000, 0.0070553000, -0.005607700, -0.042451500, -0.153310700, -0.483405300", \
+                        "0.0138758000, 0.0119003000, 0.0068722000, -0.005827100, -0.042199400, -0.153507800, -0.483488200", \
+                        "0.0138921000, 0.0118571000, 0.0068651000, -0.005876600, -0.042573200, -0.153721100, -0.483717200", \
+                        "0.0137054000, 0.0117147000, 0.0066938000, -0.005984800, -0.042828700, -0.153894300, -0.483813800", \
+                        "0.0144385000, 0.0123623000, 0.0071888000, -0.005667800, -0.042600900, -0.153838100, -0.483649600");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0143754000, 0.0160719000, 0.0208416000, 0.0344482000, 0.0733585000, 0.1853477000, 0.5145791000", \
+                        "0.0143559000, 0.0160395000, 0.0208829000, 0.0345492000, 0.0733687000, 0.1856930000, 0.5145618000", \
+                        "0.0144167000, 0.0161077000, 0.0209284000, 0.0345431000, 0.0734155000, 0.1857538000, 0.5145214000", \
+                        "0.0144562000, 0.0161238000, 0.0208762000, 0.0343048000, 0.0732292000, 0.1856026000, 0.5145080000", \
+                        "0.0144291000, 0.0160306000, 0.0207556000, 0.0339810000, 0.0727973000, 0.1860674000, 0.5117642000", \
+                        "0.0147844000, 0.0164080000, 0.0209384000, 0.0342199000, 0.0726547000, 0.1843745000, 0.5120438000", \
+                        "0.0155853000, 0.0170750000, 0.0214068000, 0.0346256000, 0.0730990000, 0.1855052000, 0.5101303000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0135979000, 0.0115770000, 0.0065641000, -0.006187500, -0.042958400, -0.153711800, -0.483776600", \
+                        "0.0134744000, 0.0114723000, 0.0064711000, -0.006203600, -0.042994800, -0.154009700, -0.483884400", \
+                        "0.0135196000, 0.0115163000, 0.0065832000, -0.006198200, -0.043036300, -0.154117300, -0.484034500", \
+                        "0.0132703000, 0.0112470000, 0.0062675000, -0.006431400, -0.043263200, -0.154307000, -0.484216600", \
+                        "0.0131523000, 0.0111333000, 0.0061025000, -0.006470400, -0.043330600, -0.154352000, -0.484267500", \
+                        "0.0131962000, 0.0111917000, 0.0061674000, -0.006544900, -0.043372300, -0.154390600, -0.484241000", \
+                        "0.0148003000, 0.0127214000, 0.0074908000, -0.005437600, -0.042686900, -0.153943700, -0.483768300");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000");
+                    values("0.0128871000, 0.0145715000, 0.0194134000, 0.0330324000, 0.0719639000, 0.1841811000, 0.5139629000", \
+                        "0.0129336000, 0.0146347000, 0.0194373000, 0.0330971000, 0.0719398000, 0.1849872000, 0.5113630000", \
+                        "0.0128948000, 0.0145743000, 0.0194104000, 0.0330993000, 0.0719241000, 0.1839949000, 0.5117389000", \
+                        "0.0129386000, 0.0145229000, 0.0192954000, 0.0328888000, 0.0717427000, 0.1841817000, 0.5138360000", \
+                        "0.0130238000, 0.0145995000, 0.0193414000, 0.0324562000, 0.0712201000, 0.1846752000, 0.5114542000", \
+                        "0.0134274000, 0.0149622000, 0.0194875000, 0.0328302000, 0.0709512000, 0.1824250000, 0.5110884000", \
+                        "0.0142772000, 0.0157404000, 0.0201522000, 0.0333818000, 0.0716157000, 0.1835997000, 0.5089819000");
+                }
+            }
+            max_capacitance : 0.3103740000;
+            max_transition : 1.5064190000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.6186276000, 0.6307866000, 0.6579690000, 0.7127239000, 0.8134548000, 0.9994997000, 1.3816612000", \
+                        "0.6202702000, 0.6323742000, 0.6592485000, 0.7143839000, 0.8150930000, 0.9994554000, 1.3835183000", \
+                        "0.6277924000, 0.6398426000, 0.6669832000, 0.7216990000, 0.8225328000, 1.0085327000, 1.3908121000", \
+                        "0.6492636000, 0.6612692000, 0.6883527000, 0.7430954000, 0.8435809000, 1.0298812000, 1.4121345000", \
+                        "0.7005506000, 0.7124036000, 0.7397644000, 0.7945740000, 0.8948494000, 1.0795899000, 1.4635257000", \
+                        "0.8105868000, 0.8228128000, 0.8495023000, 0.9043566000, 1.0048103000, 1.1907594000, 1.5746988000", \
+                        "1.0341429000, 1.0464608000, 1.0741739000, 1.1314146000, 1.2345710000, 1.4215702000, 1.8070693000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0779247000, 0.0832849000, 0.0961643000, 0.1269952000, 0.2085218000, 0.4422037000, 1.1212551000", \
+                        "0.0827645000, 0.0881569000, 0.1009652000, 0.1317550000, 0.2133479000, 0.4469931000, 1.1260817000", \
+                        "0.0943151000, 0.0996328000, 0.1124662000, 0.1432012000, 0.2246954000, 0.4584488000, 1.1378969000", \
+                        "0.1214924000, 0.1266574000, 0.1393162000, 0.1697768000, 0.2513911000, 0.4850548000, 1.1656459000", \
+                        "0.1684006000, 0.1744212000, 0.1882914000, 0.2195459000, 0.3008719000, 0.5349534000, 1.2129361000", \
+                        "0.2269288000, 0.2347754000, 0.2518814000, 0.2858533000, 0.3677383000, 0.6010295000, 1.2807054000", \
+                        "0.2798168000, 0.2904408000, 0.3129098000, 0.3547621000, 0.4402641000, 0.6720634000, 1.3498820000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0845714000, 0.0911852000, 0.1075676000, 0.1425953000, 0.2096679000, 0.3614143000, 0.7670944000", \
+                        "0.0846415000, 0.0912068000, 0.1072345000, 0.1408358000, 0.2088565000, 0.3635215000, 0.7656444000", \
+                        "0.0846330000, 0.0911814000, 0.1075832000, 0.1425501000, 0.2097246000, 0.3612680000, 0.7670550000", \
+                        "0.0846391000, 0.0911895000, 0.1073763000, 0.1425400000, 0.2094026000, 0.3614534000, 0.7667909000", \
+                        "0.0845926000, 0.0908022000, 0.1077887000, 0.1417616000, 0.2089922000, 0.3628290000, 0.7659923000", \
+                        "0.0846065000, 0.0910819000, 0.1077022000, 0.1413241000, 0.2083099000, 0.3629135000, 0.7663026000", \
+                        "0.0916499000, 0.0983091000, 0.1140036000, 0.1502936000, 0.2162322000, 0.3680322000, 0.7686423000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0199036000, 0.0245855000, 0.0373516000, 0.0746266000, 0.1876706000, 0.5218462000, 1.4995571000", \
+                        "0.0198383000, 0.0244546000, 0.0373458000, 0.0744549000, 0.1876436000, 0.5218213000, 1.4994437000", \
+                        "0.0198539000, 0.0244731000, 0.0371163000, 0.0743909000, 0.1874575000, 0.5213878000, 1.4973524000", \
+                        "0.0198268000, 0.0244728000, 0.0371492000, 0.0742708000, 0.1869811000, 0.5214044000, 1.5000835000", \
+                        "0.0246072000, 0.0290422000, 0.0409375000, 0.0760515000, 0.1873653000, 0.5222356000, 1.5012606000", \
+                        "0.0338586000, 0.0383647000, 0.0506630000, 0.0824617000, 0.1893871000, 0.5213059000, 1.4999949000", \
+                        "0.0479140000, 0.0543439000, 0.0679684000, 0.0998314000, 0.1952615000, 0.5238426000, 1.4973957000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.6023272000, 0.6143631000, 0.6415718000, 0.6963189000, 0.7969320000, 0.9831358000, 1.3654189000", \
+                        "0.6032181000, 0.6151118000, 0.6426096000, 0.6973035000, 0.7984760000, 0.9838539000, 1.3663779000", \
+                        "0.6097936000, 0.6218853000, 0.6486433000, 0.7036855000, 0.8043747000, 0.9889025000, 1.3729514000", \
+                        "0.6308412000, 0.6426461000, 0.6696088000, 0.7244393000, 0.8247610000, 1.0103491000, 1.3935958000", \
+                        "0.6830973000, 0.6949885000, 0.7217330000, 0.7766663000, 0.8772543000, 1.0627879000, 1.4460996000", \
+                        "0.8015580000, 0.8135300000, 0.8408452000, 0.8953057000, 0.9957016000, 1.1816367000, 1.5657978000", \
+                        "1.0577155000, 1.0700384000, 1.0980941000, 1.1552118000, 1.2588310000, 1.4460314000, 1.8319104000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0786446000, 0.0839762000, 0.0967304000, 0.1274317000, 0.2088128000, 0.4430097000, 1.1210847000", \
+                        "0.0833718000, 0.0887008000, 0.1014557000, 0.1321728000, 0.2136144000, 0.4476662000, 1.1262700000", \
+                        "0.0949475000, 0.1002323000, 0.1129680000, 0.1435977000, 0.2249367000, 0.4592424000, 1.1374005000", \
+                        "0.1212455000, 0.1264400000, 0.1390205000, 0.1694019000, 0.2506672000, 0.4851100000, 1.1621747000", \
+                        "0.1671868000, 0.1731918000, 0.1867803000, 0.2180511000, 0.2994207000, 0.5332232000, 1.2134385000", \
+                        "0.2226528000, 0.2304230000, 0.2473931000, 0.2813894000, 0.3634277000, 0.5968103000, 1.2788863000", \
+                        "0.2701259000, 0.2806477000, 0.3032862000, 0.3458204000, 0.4313128000, 0.6635259000, 1.3416619000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0846325000, 0.0911515000, 0.1075567000, 0.1426066000, 0.2095410000, 0.3613906000, 0.7670736000", \
+                        "0.0844722000, 0.0911750000, 0.1080761000, 0.1428028000, 0.2118736000, 0.3627225000, 0.7669133000", \
+                        "0.0846965000, 0.0912864000, 0.1071908000, 0.1408524000, 0.2089952000, 0.3630831000, 0.7660724000", \
+                        "0.0843637000, 0.0907379000, 0.1072677000, 0.1409464000, 0.2099470000, 0.3634115000, 0.7651519000", \
+                        "0.0844687000, 0.0911244000, 0.1066939000, 0.1413192000, 0.2082465000, 0.3608406000, 0.7665406000", \
+                        "0.0846289000, 0.0907888000, 0.1079917000, 0.1430469000, 0.2091804000, 0.3604649000, 0.7650127000", \
+                        "0.0929271000, 0.0994584000, 0.1156677000, 0.1497231000, 0.2170282000, 0.3675806000, 0.7692144000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0194300000, 0.0240337000, 0.0365564000, 0.0736360000, 0.1865543000, 0.5217106000, 1.5015590000", \
+                        "0.0194970000, 0.0239321000, 0.0364757000, 0.0734456000, 0.1865230000, 0.5213106000, 1.5017994000", \
+                        "0.0193857000, 0.0239228000, 0.0364137000, 0.0735855000, 0.1865576000, 0.5217816000, 1.5016509000", \
+                        "0.0195257000, 0.0240052000, 0.0365112000, 0.0734667000, 0.1865063000, 0.5217495000, 1.5003113000", \
+                        "0.0242521000, 0.0284527000, 0.0406188000, 0.0753162000, 0.1864774000, 0.5218225000, 1.5013470000", \
+                        "0.0334411000, 0.0381278000, 0.0497443000, 0.0820652000, 0.1882567000, 0.5211814000, 1.5014371000", \
+                        "0.0479120000, 0.0541711000, 0.0677227000, 0.0987903000, 0.1953338000, 0.5239947000, 1.4959505000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.5688825000, 0.5807188000, 0.6077020000, 0.6627494000, 0.7634907000, 0.9486566000, 1.3318574000", \
+                        "0.5697300000, 0.5816732000, 0.6084957000, 0.6636301000, 0.7639057000, 0.9498571000, 1.3328678000", \
+                        "0.5756284000, 0.5876742000, 0.6145029000, 0.6695483000, 0.7700791000, 0.9546858000, 1.3387954000", \
+                        "0.5961242000, 0.6080211000, 0.6348515000, 0.6898777000, 0.7902178000, 0.9759809000, 1.3590346000", \
+                        "0.6504206000, 0.6623903000, 0.6895954000, 0.7444286000, 0.8452687000, 1.0308150000, 1.4140461000", \
+                        "0.7841435000, 0.7961425000, 0.8231895000, 0.8777043000, 0.9786965000, 1.1649134000, 1.5483052000", \
+                        "1.0761819000, 1.0887005000, 1.1171395000, 1.1743515000, 1.2783902000, 1.4647522000, 1.8492053000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0763993000, 0.0816067000, 0.0941465000, 0.1245801000, 0.2059260000, 0.4397752000, 1.1177052000", \
+                        "0.0812147000, 0.0864281000, 0.0990825000, 0.1295132000, 0.2109117000, 0.4437293000, 1.1241044000", \
+                        "0.0925989000, 0.0977866000, 0.1103903000, 0.1407451000, 0.2221616000, 0.4551493000, 1.1362302000", \
+                        "0.1191722000, 0.1243744000, 0.1368727000, 0.1669577000, 0.2483571000, 0.4813439000, 1.1622329000", \
+                        "0.1628343000, 0.1689390000, 0.1825181000, 0.2136603000, 0.2950066000, 0.5284553000, 1.2068698000", \
+                        "0.2158111000, 0.2235327000, 0.2404876000, 0.2749121000, 0.3569465000, 0.5895314000, 1.2690068000", \
+                        "0.2589391000, 0.2695378000, 0.2926025000, 0.3356868000, 0.4211865000, 0.6533522000, 1.3316053000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0850784000, 0.0913760000, 0.1071729000, 0.1408234000, 0.2082470000, 0.3630679000, 0.7642466000", \
+                        "0.0844239000, 0.0910566000, 0.1066998000, 0.1409808000, 0.2099857000, 0.3608229000, 0.7656740000", \
+                        "0.0846302000, 0.0911714000, 0.1072755000, 0.1408134000, 0.2090879000, 0.3632389000, 0.7659230000", \
+                        "0.0847711000, 0.0914343000, 0.1067066000, 0.1408428000, 0.2094906000, 0.3608192000, 0.7657784000", \
+                        "0.0846047000, 0.0913287000, 0.1072923000, 0.1423296000, 0.2119815000, 0.3620824000, 0.7652480000", \
+                        "0.0846522000, 0.0911515000, 0.1079547000, 0.1428762000, 0.2097882000, 0.3613823000, 0.7653500000", \
+                        "0.0939118000, 0.1006146000, 0.1167009000, 0.1510091000, 0.2161931000, 0.3659997000, 0.7689521000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0190097000, 0.0234812000, 0.0360472000, 0.0729868000, 0.1862020000, 0.5220817000, 1.5001772000", \
+                        "0.0189427000, 0.0235685000, 0.0361093000, 0.0730879000, 0.1857265000, 0.5211583000, 1.5025796000", \
+                        "0.0189946000, 0.0235140000, 0.0360773000, 0.0728746000, 0.1857633000, 0.5216966000, 1.5031121000", \
+                        "0.0192691000, 0.0237525000, 0.0362066000, 0.0731679000, 0.1862148000, 0.5210777000, 1.5031738000", \
+                        "0.0243307000, 0.0284182000, 0.0403183000, 0.0754802000, 0.1865001000, 0.5214852000, 1.5005117000", \
+                        "0.0336657000, 0.0390757000, 0.0501936000, 0.0824611000, 0.1886703000, 0.5203006000, 1.5017166000", \
+                        "0.0481005000, 0.0551891000, 0.0687345000, 0.1001551000, 0.1954795000, 0.5223004000, 1.4988848000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.5001209000, 0.5117475000, 0.5388029000, 0.5938098000, 0.6944599000, 0.8788348000, 1.2631423000", \
+                        "0.5009386000, 0.5128171000, 0.5399459000, 0.5950898000, 0.6954036000, 0.8814997000, 1.2640278000", \
+                        "0.5064815000, 0.5182493000, 0.5452714000, 0.6003998000, 0.7013159000, 0.8870554000, 1.2695034000", \
+                        "0.5262513000, 0.5382198000, 0.5655061000, 0.6204084000, 0.7206608000, 0.9070378000, 1.2899094000", \
+                        "0.5831129000, 0.5947612000, 0.6216605000, 0.6765813000, 0.7772115000, 0.9630238000, 1.3468070000", \
+                        "0.7210740000, 0.7332806000, 0.7605163000, 0.8148549000, 0.9161220000, 1.1016876000, 1.4857104000", \
+                        "1.0345811000, 1.0467511000, 1.0757191000, 1.1324991000, 1.2349565000, 1.4216786000, 1.8040512000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0731398000, 0.0784008000, 0.0911020000, 0.1215096000, 0.2026464000, 0.4359106000, 1.1148878000", \
+                        "0.0782044000, 0.0834944000, 0.0961257000, 0.1266560000, 0.2079903000, 0.4412471000, 1.1227778000", \
+                        "0.0896822000, 0.0949675000, 0.1076296000, 0.1380521000, 0.2193099000, 0.4522260000, 1.1339046000", \
+                        "0.1166247000, 0.1216692000, 0.1343102000, 0.1646138000, 0.2459447000, 0.4788442000, 1.1626023000", \
+                        "0.1604275000, 0.1665555000, 0.1805731000, 0.2117771000, 0.2927504000, 0.5264977000, 1.2043436000", \
+                        "0.2139148000, 0.2220839000, 0.2395973000, 0.2746470000, 0.3561632000, 0.5894715000, 1.2726370000", \
+                        "0.2615347000, 0.2727028000, 0.2962759000, 0.3409857000, 0.4264255000, 0.6595839000, 1.3364284000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0846339000, 0.0912426000, 0.1071771000, 0.1408681000, 0.2081606000, 0.3634627000, 0.7653722000", \
+                        "0.0846527000, 0.0908239000, 0.1074928000, 0.1416860000, 0.2090974000, 0.3621708000, 0.7657995000", \
+                        "0.0849214000, 0.0912772000, 0.1067564000, 0.1404894000, 0.2121623000, 0.3620492000, 0.7668154000", \
+                        "0.0846143000, 0.0910686000, 0.1074356000, 0.1418264000, 0.2092521000, 0.3610991000, 0.7655083000", \
+                        "0.0843992000, 0.0912815000, 0.1066043000, 0.1415817000, 0.2090089000, 0.3628946000, 0.7648804000", \
+                        "0.0847410000, 0.0913283000, 0.1069718000, 0.1406786000, 0.2106587000, 0.3619519000, 0.7650645000", \
+                        "0.0970531000, 0.1035805000, 0.1187924000, 0.1513640000, 0.2165376000, 0.3665896000, 0.7684619000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000");
+                    values("0.0190465000, 0.0236335000, 0.0360982000, 0.0729718000, 0.1858464000, 0.5217967000, 1.5064192000", \
+                        "0.0189946000, 0.0235541000, 0.0361350000, 0.0731094000, 0.1860093000, 0.5227526000, 1.5012688000", \
+                        "0.0191026000, 0.0235734000, 0.0361488000, 0.0729785000, 0.1857656000, 0.5210387000, 1.5049638000", \
+                        "0.0194390000, 0.0241224000, 0.0365688000, 0.0732499000, 0.1857884000, 0.5217244000, 1.5055095000", \
+                        "0.0248404000, 0.0291216000, 0.0408753000, 0.0761487000, 0.1862563000, 0.5227545000, 1.5050001000", \
+                        "0.0349460000, 0.0396576000, 0.0512664000, 0.0829859000, 0.1884607000, 0.5208740000, 1.5049454000", \
+                        "0.0507602000, 0.0573384000, 0.0715114000, 0.1029222000, 0.1963184000, 0.5221428000, 1.4997051000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__or4_4") {
+        leakage_power () {
+            value : 0.0040669000;
+            when : "!A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0059004000;
+            when : "!A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019956000;
+            when : "!A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0024239000;
+            when : "!A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019875000;
+            when : "!A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0023181000;
+            when : "!A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019676000;
+            when : "!A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019889000;
+            when : "!A&B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019855000;
+            when : "A&!B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0022894000;
+            when : "A&!B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019669000;
+            when : "A&!B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019870000;
+            when : "A&!B&C&!D";
+        }
+        leakage_power () {
+            value : 0.0019657000;
+            when : "A&B&!C&D";
+        }
+        leakage_power () {
+            value : 0.0019915000;
+            when : "A&B&!C&!D";
+        }
+        leakage_power () {
+            value : 0.0019594000;
+            when : "A&B&C&D";
+        }
+        leakage_power () {
+            value : 0.0019700000;
+            when : "A&B&C&!D";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__or4";
+        cell_leakage_power : 0.0024227670;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0023670000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022400000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039518000, 0.0039475000, 0.0039376000, 0.0039377000, 0.0039379000, 0.0039382000, 0.0039390000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003309400, -0.003343200, -0.003421000, -0.003436800, -0.003473200, -0.003557100, -0.003750600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024930000;
+        }
+        pin ("B") {
+            capacitance : 0.0024510000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0023070000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0040186000, 0.0040153000, 0.0040077000, 0.0040091000, 0.0040126000, 0.0040205000, 0.0040387000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003348100, -0.003388100, -0.003480200, -0.003498100, -0.003539300, -0.003634300, -0.003853300");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025950000;
+        }
+        pin ("C") {
+            capacitance : 0.0024460000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0022660000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0039025000, 0.0039009000, 0.0038971000, 0.0038971000, 0.0038971000, 0.0038970000, 0.0038968000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.003453500, -0.003528400, -0.003701000, -0.003710500, -0.003732600, -0.003783600, -0.003900900");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0026250000;
+        }
+        pin ("D") {
+            capacitance : 0.0023000000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0020960000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0027252000, 0.0027229000, 0.0027176000, 0.0027245000, 0.0027405000, 0.0027774000, 0.0028624000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.001701400, -0.001707500, -0.001721600, -0.001719700, -0.001715100, -0.001704700, -0.001680600");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0025030000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A) | (B) | (C) | (D)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0281561000, 0.0258964000, 0.0194889000, 0.0028612000, -0.051366200, -0.233357500, -0.827457000", \
+                        "0.0279853000, 0.0260372000, 0.0199057000, 0.0028668000, -0.051556900, -0.233500000, -0.827545200", \
+                        "0.0277519000, 0.0255106000, 0.0191379000, 0.0019609000, -0.052106300, -0.233580300, -0.827619500", \
+                        "0.0275254000, 0.0253560000, 0.0189731000, 0.0017489000, -0.052336500, -0.233867100, -0.827809400", \
+                        "0.0273720000, 0.0251337000, 0.0187386000, 0.0016520000, -0.052571800, -0.234077900, -0.827979900", \
+                        "0.0272004000, 0.0250030000, 0.0185773000, 0.0016318000, -0.052675300, -0.234254000, -0.828176400", \
+                        "0.0300004000, 0.0276878000, 0.0207812000, 0.0014401000, -0.052550200, -0.234194200, -0.828165600");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0301260000, 0.0320327000, 0.0382112000, 0.0570218000, 0.1155306000, 0.2997719000, 0.8919592000", \
+                        "0.0300449000, 0.0319652000, 0.0381315000, 0.0569621000, 0.1154608000, 0.2995145000, 0.8918918000", \
+                        "0.0299583000, 0.0319142000, 0.0380548000, 0.0569785000, 0.1154684000, 0.2996341000, 0.8878506000", \
+                        "0.0300454000, 0.0319525000, 0.0380916000, 0.0569593000, 0.1154251000, 0.2993898000, 0.8907827000", \
+                        "0.0303407000, 0.0322191000, 0.0381150000, 0.0567990000, 0.1147463000, 0.2991823000, 0.8870623000", \
+                        "0.0316833000, 0.0334555000, 0.0391418000, 0.0572759000, 0.1149322000, 0.2988419000, 0.8917020000", \
+                        "0.0339688000, 0.0356818000, 0.0412768000, 0.0590525000, 0.1162392000, 0.3005466000, 0.8868471000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0257908000, 0.0235337000, 0.0171833000, 2.360000e-05, -0.053803800, -0.235620700, -0.829523200", \
+                        "0.0256845000, 0.0234661000, 0.0170554000, 3.930000e-05, -0.054147800, -0.235634300, -0.829490900", \
+                        "0.0254924000, 0.0232151000, 0.0168632000, -0.000264000, -0.054450400, -0.235855800, -0.829637500", \
+                        "0.0254946000, 0.0232718000, 0.0166856000, -0.000532400, -0.054283600, -0.236089800, -0.829846700", \
+                        "0.0251463000, 0.0229334000, 0.0167290000, -0.000646100, -0.054688600, -0.236263300, -0.830120600", \
+                        "0.0250672000, 0.0228831000, 0.0165079000, -0.000657800, -0.054976900, -0.236561800, -0.830304500", \
+                        "0.0272180000, 0.0248852000, 0.0180480000, -0.000665500, -0.055003700, -0.236213800, -0.830103700");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0295149000, 0.0314358000, 0.0375994000, 0.0565027000, 0.1155496000, 0.3006517000, 0.8879528000", \
+                        "0.0294803000, 0.0314377000, 0.0374899000, 0.0566443000, 0.1156075000, 0.3003705000, 0.8930446000", \
+                        "0.0294624000, 0.0313724000, 0.0375183000, 0.0565743000, 0.1155166000, 0.3002252000, 0.8898807000", \
+                        "0.0296273000, 0.0315587000, 0.0376343000, 0.0565269000, 0.1152537000, 0.2998312000, 0.8929266000", \
+                        "0.0294528000, 0.0313574000, 0.0372796000, 0.0558767000, 0.1143629000, 0.3000185000, 0.8926754000", \
+                        "0.0309538000, 0.0327268000, 0.0384004000, 0.0567040000, 0.1140024000, 0.2986259000, 0.8881437000", \
+                        "0.0323164000, 0.0339692000, 0.0395959000, 0.0574653000, 0.1153879000, 0.3001500000, 0.8873850000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0238491000, 0.0216851000, 0.0152488000, -0.001934300, -0.055986100, -0.237295400, -0.831018200", \
+                        "0.0237788000, 0.0215888000, 0.0151598000, -0.001926300, -0.056094400, -0.237394500, -0.831122900", \
+                        "0.0236100000, 0.0213929000, 0.0149644000, -0.002120600, -0.056287900, -0.237583100, -0.831299000", \
+                        "0.0233569000, 0.0210661000, 0.0147333000, -0.002230100, -0.056396900, -0.237870200, -0.831531100", \
+                        "0.0232301000, 0.0209007000, 0.0145104000, -0.002119600, -0.056449900, -0.238140000, -0.831841900", \
+                        "0.0233786000, 0.0210886000, 0.0147123000, -0.002468800, -0.056737600, -0.238339100, -0.832064700", \
+                        "0.0254079000, 0.0230868000, 0.0161443000, -0.002347600, -0.056792900, -0.238374200, -0.831431900");
+                }
+                related_pin : "C";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0284754000, 0.0304019000, 0.0365329000, 0.0554128000, 0.1143389000, 0.2993861000, 0.8916833000", \
+                        "0.0285399000, 0.0304594000, 0.0366037000, 0.0554966000, 0.1143525000, 0.2992063000, 0.8885622000", \
+                        "0.0285983000, 0.0305269000, 0.0366003000, 0.0556402000, 0.1145254000, 0.2996357000, 0.8920419000", \
+                        "0.0286762000, 0.0305737000, 0.0366257000, 0.0554808000, 0.1141634000, 0.2992072000, 0.8869599000", \
+                        "0.0287702000, 0.0306153000, 0.0365412000, 0.0548548000, 0.1134861000, 0.2985847000, 0.8883737000", \
+                        "0.0298474000, 0.0316160000, 0.0372719000, 0.0555087000, 0.1132057000, 0.2971369000, 0.8911575000", \
+                        "0.0309319000, 0.0326170000, 0.0381467000, 0.0560190000, 0.1139959000, 0.2981307000, 0.8888828000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0228270000, 0.0205962000, 0.0143258000, -0.002766500, -0.056954800, -0.238389800, -0.832015800", \
+                        "0.0226854000, 0.0204672000, 0.0140755000, -0.003051700, -0.057203100, -0.238515400, -0.832005900", \
+                        "0.0223535000, 0.0201483000, 0.0138138000, -0.003379000, -0.057331200, -0.238736000, -0.832406300", \
+                        "0.0222538000, 0.0200129000, 0.0136475000, -0.003483200, -0.057655200, -0.239001300, -0.832454400", \
+                        "0.0219723000, 0.0197989000, 0.0133941000, -0.003687400, -0.057869600, -0.239219300, -0.832807900", \
+                        "0.0223065000, 0.0200925000, 0.0136969000, -0.003145800, -0.057488100, -0.238996700, -0.832662200", \
+                        "0.0290203000, 0.0265881000, 0.0194381000, -0.000584800, -0.056388500, -0.238071000, -0.831623100");
+                }
+                related_pin : "D";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
+                    values("0.0250182000, 0.0269475000, 0.0329997000, 0.0520989000, 0.1112281000, 0.2963141000, 0.8897799000", \
+                        "0.0249971000, 0.0269450000, 0.0330643000, 0.0522537000, 0.1111654000, 0.2964007000, 0.8827494000", \
+                        "0.0249411000, 0.0268849000, 0.0329996000, 0.0521537000, 0.1111267000, 0.2958377000, 0.8866489000", \
+                        "0.0249543000, 0.0268575000, 0.0329618000, 0.0518477000, 0.1106497000, 0.2957795000, 0.8830836000", \
+                        "0.0249898000, 0.0268378000, 0.0326264000, 0.0510261000, 0.1096715000, 0.2947796000, 0.8862220000", \
+                        "0.0257524000, 0.0275208000, 0.0332088000, 0.0518321000, 0.1096442000, 0.2929823000, 0.8850457000", \
+                        "0.0274773000, 0.0289880000, 0.0345559000, 0.0522320000, 0.1103551000, 0.2947956000, 0.8820250000");
+                }
+            }
+            max_capacitance : 0.5346780000;
+            max_transition : 1.5078210000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4914132000, 0.4982088000, 0.5162480000, 0.5564371000, 0.6363137000, 0.7936776000, 1.1470217000", \
+                        "0.4954120000, 0.5022173000, 0.5203575000, 0.5605744000, 0.6407498000, 0.7976570000, 1.1511713000", \
+                        "0.5061124000, 0.5130667000, 0.5305983000, 0.5711051000, 0.6511199000, 0.8078365000, 1.1621484000", \
+                        "0.5314365000, 0.5384135000, 0.5563630000, 0.5962234000, 0.6761890000, 0.8328743000, 1.1872786000", \
+                        "0.5873011000, 0.5941201000, 0.6115942000, 0.6520635000, 0.7318983000, 0.8887763000, 1.2432230000", \
+                        "0.7038614000, 0.7106193000, 0.7283524000, 0.7692376000, 0.8495202000, 1.0071419000, 1.3610394000", \
+                        "0.9354281000, 0.9425728000, 0.9609944000, 1.0037359000, 1.0865630000, 1.2485422000, 1.6055099000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0876665000, 0.0914850000, 0.1018409000, 0.1282909000, 0.2016966000, 0.4268333000, 1.1413070000", \
+                        "0.0922595000, 0.0960948000, 0.1064385000, 0.1328672000, 0.2062900000, 0.4314621000, 1.1452620000", \
+                        "0.1032796000, 0.1071288000, 0.1174100000, 0.1438671000, 0.2173836000, 0.4424535000, 1.1548764000", \
+                        "0.1300736000, 0.1338521000, 0.1440402000, 0.1701876000, 0.2427525000, 0.4678474000, 1.1817560000", \
+                        "0.1793437000, 0.1835504000, 0.1943527000, 0.2211278000, 0.2937061000, 0.5187229000, 1.2318099000", \
+                        "0.2397252000, 0.2451299000, 0.2585070000, 0.2876349000, 0.3612992000, 0.5851170000, 1.3005148000", \
+                        "0.2947162000, 0.3017531000, 0.3194419000, 0.3567017000, 0.4349419000, 0.6586778000, 1.3688634000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721265000, 0.0752971000, 0.0854764000, 0.1097659000, 0.1646583000, 0.2931584000, 0.6781201000", \
+                        "0.0721038000, 0.0756131000, 0.0860699000, 0.1107579000, 0.1636138000, 0.2946543000, 0.6782400000", \
+                        "0.0722579000, 0.0759717000, 0.0854235000, 0.1094144000, 0.1644540000, 0.2957461000, 0.6784009000", \
+                        "0.0722971000, 0.0760519000, 0.0858136000, 0.1093954000, 0.1648248000, 0.2958235000, 0.6776397000", \
+                        "0.0723350000, 0.0760569000, 0.0856061000, 0.1094786000, 0.1631982000, 0.2956620000, 0.6769875000", \
+                        "0.0720267000, 0.0757059000, 0.0854246000, 0.1106935000, 0.1647953000, 0.2943387000, 0.6768753000", \
+                        "0.0808025000, 0.0844916000, 0.0944700000, 0.1190932000, 0.1755423000, 0.3006082000, 0.6797883000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0218532000, 0.0249930000, 0.0345172000, 0.0642888000, 0.1619624000, 0.4801233000, 1.5016410000", \
+                        "0.0217560000, 0.0248926000, 0.0344779000, 0.0642158000, 0.1619003000, 0.4800937000, 1.4986263000", \
+                        "0.0216805000, 0.0248891000, 0.0343955000, 0.0640968000, 0.1615609000, 0.4791532000, 1.5002369000", \
+                        "0.0213129000, 0.0245416000, 0.0341004000, 0.0637475000, 0.1613394000, 0.4800055000, 1.4996466000", \
+                        "0.0258693000, 0.0289207000, 0.0377112000, 0.0654402000, 0.1612808000, 0.4803584000, 1.4972457000", \
+                        "0.0351003000, 0.0382410000, 0.0469625000, 0.0731255000, 0.1638551000, 0.4787382000, 1.5029858000", \
+                        "0.0497124000, 0.0535986000, 0.0648038000, 0.0889062000, 0.1716188000, 0.4818649000, 1.4988587000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4724322000, 0.4792221000, 0.4972090000, 0.5375278000, 0.6171499000, 0.7747223000, 1.1281616000", \
+                        "0.4756401000, 0.4825544000, 0.5004768000, 0.5409160000, 0.6206838000, 0.7776795000, 1.1319068000", \
+                        "0.4855485000, 0.4924666000, 0.5100228000, 0.5505307000, 0.6303847000, 0.7872357000, 1.1417696000", \
+                        "0.5102955000, 0.5170872000, 0.5353725000, 0.5754005000, 0.6554365000, 0.8129576000, 1.1666070000", \
+                        "0.5669324000, 0.5736043000, 0.5914942000, 0.6315348000, 0.7109291000, 0.8684277000, 1.2226873000", \
+                        "0.6922470000, 0.6991123000, 0.7170506000, 0.7572592000, 0.8371817000, 0.9949090000, 1.3491634000", \
+                        "0.9485567000, 0.9557439000, 0.9757921000, 1.0186871000, 1.1026913000, 1.2646244000, 1.6222537000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0867381000, 0.0904582000, 0.1005953000, 0.1266934000, 0.1997952000, 0.4241539000, 1.1369080000", \
+                        "0.0913392000, 0.0950548000, 0.1051577000, 0.1313640000, 0.2043104000, 0.4293156000, 1.1444630000", \
+                        "0.1022697000, 0.1059879000, 0.1161163000, 0.1422317000, 0.2152844000, 0.4404405000, 1.1532491000", \
+                        "0.1282260000, 0.1318990000, 0.1419221000, 0.1678094000, 0.2404795000, 0.4651073000, 1.1803655000", \
+                        "0.1759205000, 0.1800426000, 0.1905849000, 0.2171874000, 0.2898969000, 0.5145707000, 1.2290472000", \
+                        "0.2333694000, 0.2387717000, 0.2519710000, 0.2816094000, 0.3550474000, 0.5785067000, 1.2949590000", \
+                        "0.2806537000, 0.2877081000, 0.3055773000, 0.3429197000, 0.4210527000, 0.6447772000, 1.3562133000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721369000, 0.0752950000, 0.0855465000, 0.1094088000, 0.1654661000, 0.2934692000, 0.6776344000", \
+                        "0.0720191000, 0.0757554000, 0.0856911000, 0.1101784000, 0.1629585000, 0.2956226000, 0.6774825000", \
+                        "0.0721390000, 0.0759190000, 0.0859097000, 0.1095660000, 0.1633346000, 0.2957425000, 0.6770353000", \
+                        "0.0719774000, 0.0755703000, 0.0857557000, 0.1093914000, 0.1635911000, 0.2951396000, 0.6775303000", \
+                        "0.0720147000, 0.0757951000, 0.0855107000, 0.1095179000, 0.1637763000, 0.2947341000, 0.6777080000", \
+                        "0.0719245000, 0.0756796000, 0.0861399000, 0.1105288000, 0.1628068000, 0.2927503000, 0.6773420000", \
+                        "0.0828268000, 0.0864246000, 0.0963311000, 0.1217217000, 0.1741559000, 0.3042412000, 0.6807104000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0209719000, 0.0239567000, 0.0333849000, 0.0627871000, 0.1601547000, 0.4794958000, 1.4974526000", \
+                        "0.0208369000, 0.0239364000, 0.0334700000, 0.0627568000, 0.1603059000, 0.4787872000, 1.5026667000", \
+                        "0.0209663000, 0.0239782000, 0.0334066000, 0.0627015000, 0.1600573000, 0.4795613000, 1.5024837000", \
+                        "0.0206374000, 0.0237975000, 0.0332682000, 0.0625345000, 0.1600028000, 0.4780420000, 1.5031244000", \
+                        "0.0250284000, 0.0282485000, 0.0371115000, 0.0647583000, 0.1604680000, 0.4793601000, 1.5011046000", \
+                        "0.0345356000, 0.0377455000, 0.0462755000, 0.0720021000, 0.1631732000, 0.4781063000, 1.4988718000", \
+                        "0.0490917000, 0.0533878000, 0.0643977000, 0.0899277000, 0.1714147000, 0.4821970000, 1.4969771000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.4418807000, 0.4488891000, 0.4664235000, 0.5069158000, 0.5868996000, 0.7435859000, 1.0980891000", \
+                        "0.4445943000, 0.4514996000, 0.4694387000, 0.5099116000, 0.5896360000, 0.7465351000, 1.1009655000", \
+                        "0.4533574000, 0.4602407000, 0.4781372000, 0.5186041000, 0.5982890000, 0.7552363000, 1.1096412000", \
+                        "0.4769170000, 0.4838238000, 0.5017543000, 0.5420148000, 0.6219139000, 0.7786352000, 1.1330378000", \
+                        "0.5346943000, 0.5412298000, 0.5589479000, 0.5998075000, 0.6798167000, 0.8374552000, 1.1908099000", \
+                        "0.6730183000, 0.6797946000, 0.6978303000, 0.7374207000, 0.8180894000, 0.9756824000, 1.3297131000", \
+                        "0.9572609000, 0.9644725000, 0.9835041000, 1.0267118000, 1.1113941000, 1.2745849000, 1.6318085000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0844826000, 0.0881436000, 0.0981324000, 0.1239143000, 0.1964714000, 0.4208459000, 1.1357778000", \
+                        "0.0891848000, 0.0928407000, 0.1028475000, 0.1286490000, 0.2012214000, 0.4251366000, 1.1387719000", \
+                        "0.1001732000, 0.1038482000, 0.1138331000, 0.1397212000, 0.2121873000, 0.4365779000, 1.1509407000", \
+                        "0.1262631000, 0.1298458000, 0.1397633000, 0.1654756000, 0.2378105000, 0.4621777000, 1.1744404000", \
+                        "0.1715578000, 0.1756967000, 0.1864958000, 0.2130282000, 0.2850936000, 0.5091467000, 1.2229444000", \
+                        "0.2244281000, 0.2297898000, 0.2430995000, 0.2728755000, 0.3467281000, 0.5700772000, 1.2857582000", \
+                        "0.2664264000, 0.2736608000, 0.2916754000, 0.3294091000, 0.4085970000, 0.6320247000, 1.3437592000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0720153000, 0.0760472000, 0.0854036000, 0.1094325000, 0.1641890000, 0.2958080000, 0.6780149000", \
+                        "0.0719680000, 0.0757111000, 0.0856800000, 0.1100532000, 0.1627886000, 0.2950385000, 0.6773994000", \
+                        "0.0721150000, 0.0757134000, 0.0856817000, 0.1101191000, 0.1628393000, 0.2952348000, 0.6773969000", \
+                        "0.0721861000, 0.0754861000, 0.0857704000, 0.1102934000, 0.1632075000, 0.2957077000, 0.6781329000", \
+                        "0.0723980000, 0.0759748000, 0.0854999000, 0.1106629000, 0.1634653000, 0.2947326000, 0.6777718000", \
+                        "0.0719725000, 0.0754802000, 0.0854916000, 0.1094973000, 0.1636278000, 0.2949587000, 0.6775374000", \
+                        "0.0863607000, 0.0898707000, 0.0993048000, 0.1231514000, 0.1759940000, 0.3034848000, 0.6820781000");
+                }
+                related_pin : "C";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0203705000, 0.0234009000, 0.0327803000, 0.0620360000, 0.1596959000, 0.4794524000, 1.5032330000", \
+                        "0.0203720000, 0.0233747000, 0.0327970000, 0.0620747000, 0.1598071000, 0.4789732000, 1.5027922000", \
+                        "0.0203728000, 0.0234620000, 0.0328390000, 0.0621247000, 0.1597114000, 0.4793249000, 1.5036045000", \
+                        "0.0204061000, 0.0234869000, 0.0328737000, 0.0620540000, 0.1594867000, 0.4794112000, 1.4980129000", \
+                        "0.0251062000, 0.0280091000, 0.0369603000, 0.0648657000, 0.1601632000, 0.4782964000, 1.5024892000", \
+                        "0.0349741000, 0.0383373000, 0.0469247000, 0.0728376000, 0.1631816000, 0.4781155000, 1.5031505000", \
+                        "0.0504795000, 0.0547223000, 0.0655039000, 0.0903282000, 0.1719642000, 0.4805662000, 1.4974287000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.3813238000, 0.3881033000, 0.4062667000, 0.4465796000, 0.5267912000, 0.6843936000, 1.0382832000", \
+                        "0.3833307000, 0.3902084000, 0.4081976000, 0.4485751000, 0.5284352000, 0.6866759000, 1.0398002000", \
+                        "0.3897890000, 0.3965730000, 0.4148154000, 0.4549935000, 0.5345916000, 0.6926517000, 1.0466294000", \
+                        "0.4108263000, 0.4178054000, 0.4357883000, 0.4760966000, 0.5558218000, 0.7130652000, 1.0677543000", \
+                        "0.4670594000, 0.4739400000, 0.4917197000, 0.5320892000, 0.6117828000, 0.7698807000, 1.1239542000", \
+                        "0.6041318000, 0.6108469000, 0.6288502000, 0.6684924000, 0.7482291000, 0.9060322000, 1.2601723000", \
+                        "0.8876311000, 0.8950318000, 0.9146594000, 0.9596105000, 1.0445348000, 1.2050720000, 1.5598055000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0799526000, 0.0836222000, 0.0935958000, 0.1196012000, 0.1922097000, 0.4164080000, 1.1306661000", \
+                        "0.0847993000, 0.0884987000, 0.0985258000, 0.1245399000, 0.1970194000, 0.4220985000, 1.1367322000", \
+                        "0.0962979000, 0.0999738000, 0.1100090000, 0.1360098000, 0.2085645000, 0.4326670000, 1.1480622000", \
+                        "0.1224688000, 0.1261138000, 0.1360443000, 0.1617455000, 0.2342534000, 0.4584973000, 1.1803181000", \
+                        "0.1670537000, 0.1713336000, 0.1820017000, 0.2090197000, 0.2815883000, 0.5066764000, 1.2233594000", \
+                        "0.2205325000, 0.2260931000, 0.2398993000, 0.2706881000, 0.3446589000, 0.5676691000, 1.2816701000", \
+                        "0.2666100000, 0.2739894000, 0.2928676000, 0.3316845000, 0.4118550000, 0.6346407000, 1.3460347000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0721094000, 0.0757485000, 0.0853991000, 0.1102182000, 0.1636287000, 0.2949277000, 0.6774472000", \
+                        "0.0720850000, 0.0756534000, 0.0856010000, 0.1097430000, 0.1629011000, 0.2942364000, 0.6774201000", \
+                        "0.0720454000, 0.0757922000, 0.0857076000, 0.1095061000, 0.1657032000, 0.2948487000, 0.6779049000", \
+                        "0.0720805000, 0.0756803000, 0.0855245000, 0.1099386000, 0.1628697000, 0.2951759000, 0.6769116000", \
+                        "0.0719738000, 0.0756657000, 0.0857907000, 0.1104909000, 0.1646554000, 0.2944696000, 0.6776833000", \
+                        "0.0710425000, 0.0747836000, 0.0849714000, 0.1097349000, 0.1630538000, 0.2951388000, 0.6774531000", \
+                        "0.0926359000, 0.0962525000, 0.1067757000, 0.1293265000, 0.1788368000, 0.3021770000, 0.6827988000");
+                }
+                related_pin : "D";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
+                    values("0.0203235000, 0.0233212000, 0.0327923000, 0.0619983000, 0.1594258000, 0.4793169000, 1.5078210000", \
+                        "0.0202687000, 0.0233601000, 0.0326964000, 0.0619609000, 0.1595193000, 0.4795909000, 1.5009630000", \
+                        "0.0202888000, 0.0233818000, 0.0327412000, 0.0619775000, 0.1594910000, 0.4779732000, 1.5072819000", \
+                        "0.0206923000, 0.0237199000, 0.0330189000, 0.0622141000, 0.1595768000, 0.4800960000, 1.5008944000", \
+                        "0.0258873000, 0.0287249000, 0.0379360000, 0.0652505000, 0.1599714000, 0.4802740000, 1.5057989000", \
+                        "0.0364676000, 0.0397831000, 0.0485324000, 0.0737051000, 0.1636386000, 0.4781420000, 1.5047640000", \
+                        "0.0533065000, 0.0569287000, 0.0680990000, 0.0941629000, 0.1736471000, 0.4812970000, 1.4984752000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__or4b_1 */
+
+/* removed sky130_fd_sc_hd__or4b_2 */
+
+/* removed sky130_fd_sc_hd__or4b_4 */
+
+/* removed sky130_fd_sc_hd__or4bb_1 */
+
+/* removed sky130_fd_sc_hd__or4bb_2 */
+
+/* removed sky130_fd_sc_hd__or4bb_4 */
+
+/* removed sky130_fd_sc_hd__probe_p_8 */
+
+/* removed sky130_fd_sc_hd__probec_p_8 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_1 */
+
+/* removed sky130_fd_sc_hd__sdfbbn_2 */
+
+/* removed sky130_fd_sc_hd__sdfbbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtn_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfrtp_4 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfsbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_1 */
+
+/* removed sky130_fd_sc_hd__sdfstp_2 */
+
+/* removed sky130_fd_sc_hd__sdfstp_4 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sdfxtp_4 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_1 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_2 */
+
+/* removed sky130_fd_sc_hd__sdlclkp_4 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxbp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_1 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_2 */
+
+/* removed sky130_fd_sc_hd__sedfxtp_4 */
+
+/* removed sky130_fd_sc_hd__xnor2_1 */
+
+    cell ("sky130_fd_sc_hd__xnor2_2") {
+        leakage_power () {
+            value : 0.0117114000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0012317000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0074405000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0104538000;
+            when : "A&!B";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__xnor2";
+        cell_leakage_power : 0.0077093300;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0086790000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0083180000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0090400000;
+        }
+        pin ("B") {
+            capacitance : 0.0082590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0080770000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0084420000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B) | (A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000");
+                    values("0.0416832000, 0.0406495000, 0.0380516000, 0.0311227000, 0.0128520000, -0.034048800, -0.152105000", \
+                        "0.0412475000, 0.0402383000, 0.0376296000, 0.0307194000, 0.0124477000, -0.034456600, -0.152511600", \
+                        "0.0407567000, 0.0397449000, 0.0371067000, 0.0301795000, 0.0119136000, -0.034979700, -0.152982000", \
+                        "0.0403867000, 0.0393446000, 0.0366679000, 0.0296975000, 0.0114377000, -0.035329000, -0.153395200", \
+                        "0.0403057000, 0.0392889000, 0.0364891000, 0.0294013000, 0.0111041000, -0.035682600, -0.153588900", \
+                        "0.0404687000, 0.0392825000, 0.0363146000, 0.0288944000, 0.0117189000, -0.034948600, -0.152722300", \
+                        "0.0444973000, 0.0432732000, 0.0401855000, 0.0326484000, 0.0136517000, -0.033161200, -0.149743400");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000");
+                    values("0.0090853000, 0.0104636000, 0.0138142000, 0.0217932000, 0.0407339000, 0.0873622000, 0.2054364000", \
+                        "0.0086521000, 0.0100236000, 0.0133980000, 0.0213702000, 0.0403238000, 0.0870311000, 0.2040353000", \
+                        "0.0080112000, 0.0093698000, 0.0127061000, 0.0206739000, 0.0397164000, 0.0864433000, 0.2023921000", \
+                        "0.0073230000, 0.0086636000, 0.0119609000, 0.0198972000, 0.0390682000, 0.0860189000, 0.2029474000", \
+                        "0.0076022000, 0.0088215000, 0.0115920000, 0.0195350000, 0.0386951000, 0.0857337000, 0.2030284000", \
+                        "0.0076621000, 0.0089082000, 0.0120523000, 0.0197778000, 0.0385398000, 0.0860207000, 0.2023294000", \
+                        "0.0097530000, 0.0109748000, 0.0139895000, 0.0217196000, 0.0409572000, 0.0882869000, 0.2058311000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000");
+                    values("0.0402115000, 0.0389753000, 0.0358653000, 0.0280557000, 0.0086491000, -0.039225800, -0.157887500", \
+                        "0.0395922000, 0.0383693000, 0.0352897000, 0.0275296000, 0.0081574000, -0.039737600, -0.158417800", \
+                        "0.0387752000, 0.0375801000, 0.0344768000, 0.0267440000, 0.0074943000, -0.040328800, -0.158947900", \
+                        "0.0377868000, 0.0365736000, 0.0335245000, 0.0259456000, 0.0068547000, -0.040773100, -0.159351500", \
+                        "0.0368027000, 0.0356140000, 0.0328122000, 0.0252819000, 0.0063268000, -0.041010500, -0.159489700", \
+                        "0.0364446000, 0.0353252000, 0.0323268000, 0.0247228000, 0.0071493000, -0.039954700, -0.158290300", \
+                        "0.0397997000, 0.0385617000, 0.0354840000, 0.0279245000, 0.0090814000, -0.038125800, -0.154907600");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000");
+                    values("0.0124024000, 0.0137876000, 0.0171445000, 0.0249994000, 0.0437482000, 0.0903444000, 0.2074321000", \
+                        "0.0120005000, 0.0133638000, 0.0167045000, 0.0245894000, 0.0434204000, 0.0899373000, 0.2069668000", \
+                        "0.0113417000, 0.0126858000, 0.0159923000, 0.0238726000, 0.0428177000, 0.0895349000, 0.2067157000", \
+                        "0.0106360000, 0.0119389000, 0.0151883000, 0.0230403000, 0.0421940000, 0.0891777000, 0.2072445000", \
+                        "0.0109636000, 0.0121870000, 0.0149678000, 0.0228561000, 0.0418259000, 0.0888924000, 0.2061174000", \
+                        "0.0117900000, 0.0130255000, 0.0161583000, 0.0239088000, 0.0424090000, 0.0898087000, 0.2057519000", \
+                        "0.0152250000, 0.0166509000, 0.0197186000, 0.0276944000, 0.0468381000, 0.0935781000, 0.2111785000");
+                }
+            }
+            max_capacitance : 0.1217750000;
+            max_transition : 1.4926540000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0389153000, 0.0416781000, 0.0484181000, 0.0640688000, 0.1014081000, 0.1916577000, 0.4145879000", \
+                        "0.0436013000, 0.0464734000, 0.0531768000, 0.0690479000, 0.1063295000, 0.1967256000, 0.4198585000", \
+                        "0.0522621000, 0.0551130000, 0.0618762000, 0.0777773000, 0.1152394000, 0.2056864000, 0.4288654000", \
+                        "0.0670349000, 0.0703548000, 0.0780708000, 0.0953024000, 0.1335069000, 0.2241232000, 0.4475193000", \
+                        "0.0879396000, 0.0925825000, 0.1029986000, 0.1251173000, 0.1714597000, 0.2665833000, 0.4907667000", \
+                        "0.1063393000, 0.1133840000, 0.1289909000, 0.1631151000, 0.2294043000, 0.3503033000, 0.5902641000", \
+                        "0.0967092000, 0.1075718000, 0.1336832000, 0.1878127000, 0.2911848000, 0.4730243000, 0.7816331000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0996199000, 0.1062194000, 0.1226614000, 0.1623016000, 0.2596385000, 0.5011258000, 1.1018688000", \
+                        "0.1039442000, 0.1109132000, 0.1272080000, 0.1670653000, 0.2648364000, 0.5066764000, 1.1082325000", \
+                        "0.1164166000, 0.1230109000, 0.1394998000, 0.1795085000, 0.2777631000, 0.5197671000, 1.1215198000", \
+                        "0.1436621000, 0.1500880000, 0.1664708000, 0.2062709000, 0.3046764000, 0.5467818000, 1.1482083000", \
+                        "0.1977831000, 0.2057869000, 0.2237741000, 0.2654994000, 0.3634831000, 0.6060123000, 1.2083256000", \
+                        "0.2898870000, 0.3005363000, 0.3247641000, 0.3794318000, 0.4961800000, 0.7421772000, 1.3452091000", \
+                        "0.4385464000, 0.4550689000, 0.4928954000, 0.5737256000, 0.7335714000, 1.0393069000, 1.6583461000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0290679000, 0.0322032000, 0.0399536000, 0.0593891000, 0.1077193000, 0.2282403000, 0.5294839000", \
+                        "0.0291126000, 0.0322351000, 0.0401100000, 0.0593833000, 0.1077234000, 0.2282317000, 0.5295555000", \
+                        "0.0294849000, 0.0325473000, 0.0402457000, 0.0593883000, 0.1076549000, 0.2283842000, 0.5295468000", \
+                        "0.0348560000, 0.0378274000, 0.0453850000, 0.0626676000, 0.1088585000, 0.2283656000, 0.5298685000", \
+                        "0.0504137000, 0.0538960000, 0.0618077000, 0.0802861000, 0.1241344000, 0.2338672000, 0.5299067000", \
+                        "0.0838336000, 0.0882809000, 0.0990071000, 0.1237831000, 0.1710680000, 0.2766208000, 0.5454933000", \
+                        "0.1470148000, 0.1541207000, 0.1698879000, 0.2037961000, 0.2684553000, 0.3914556000, 0.6510288000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0739187000, 0.0824290000, 0.1040391000, 0.1581470000, 0.2924337000, 0.6281171000, 1.4643977000", \
+                        "0.0737774000, 0.0825892000, 0.1040716000, 0.1580253000, 0.2929360000, 0.6282810000, 1.4661363000", \
+                        "0.0738510000, 0.0826145000, 0.1042126000, 0.1580234000, 0.2929878000, 0.6305311000, 1.4665325000", \
+                        "0.0740547000, 0.0825886000, 0.1041637000, 0.1581164000, 0.2929090000, 0.6279753000, 1.4648718000", \
+                        "0.0897189000, 0.0972614000, 0.1159726000, 0.1651760000, 0.2943568000, 0.6277663000, 1.4653831000", \
+                        "0.1285473000, 0.1377148000, 0.1592289000, 0.2090759000, 0.3249498000, 0.6345833000, 1.4659826000", \
+                        "0.2115307000, 0.2226188000, 0.2493215000, 0.3093208000, 0.4381593000, 0.7207995000, 1.4806274000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0994968000, 0.1029960000, 0.1111783000, 0.1287770000, 0.1668899000, 0.2563180000, 0.4772815000", \
+                        "0.1041526000, 0.1077547000, 0.1158704000, 0.1334958000, 0.1716984000, 0.2611580000, 0.4819320000", \
+                        "0.1164118000, 0.1199800000, 0.1280930000, 0.1456707000, 0.1838596000, 0.2733628000, 0.4944772000", \
+                        "0.1473835000, 0.1509393000, 0.1589984000, 0.1765161000, 0.2147509000, 0.3041581000, 0.5263817000", \
+                        "0.2123168000, 0.2160239000, 0.2244337000, 0.2423490000, 0.2809624000, 0.3707218000, 0.5923958000", \
+                        "0.3179659000, 0.3225678000, 0.3322822000, 0.3521007000, 0.3927085000, 0.4833515000, 0.7048170000", \
+                        "0.4852115000, 0.4911559000, 0.5038553000, 0.5281577000, 0.5730688000, 0.6655210000, 0.8871534000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0771294000, 0.0807479000, 0.0890324000, 0.1069834000, 0.1473157000, 0.2448966000, 0.4886881000", \
+                        "0.0813184000, 0.0849588000, 0.0932756000, 0.1112933000, 0.1516141000, 0.2493131000, 0.4940531000", \
+                        "0.0901598000, 0.0937610000, 0.1020112000, 0.1199299000, 0.1604604000, 0.2580716000, 0.5015888000", \
+                        "0.1082726000, 0.1119481000, 0.1202589000, 0.1383981000, 0.1792481000, 0.2773871000, 0.5215777000", \
+                        "0.1356447000, 0.1395785000, 0.1482355000, 0.1671544000, 0.2088348000, 0.3079187000, 0.5536533000", \
+                        "0.1651854000, 0.1698266000, 0.1798119000, 0.2002406000, 0.2428977000, 0.3423199000, 0.5866992000", \
+                        "0.1741695000, 0.1804076000, 0.1936986000, 0.2188953000, 0.2656923000, 0.3655516000, 0.6106097000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0238045000, 0.0270765000, 0.0347184000, 0.0532835000, 0.0990851000, 0.2176597000, 0.5175400000", \
+                        "0.0239314000, 0.0270418000, 0.0347803000, 0.0532061000, 0.0990563000, 0.2172719000, 0.5196626000", \
+                        "0.0238026000, 0.0271408000, 0.0346604000, 0.0532738000, 0.0991990000, 0.2173433000, 0.5172927000", \
+                        "0.0239398000, 0.0270787000, 0.0347408000, 0.0533269000, 0.0992115000, 0.2175627000, 0.5159888000", \
+                        "0.0266639000, 0.0300409000, 0.0374285000, 0.0553708000, 0.1003554000, 0.2173191000, 0.5160503000", \
+                        "0.0349668000, 0.0380380000, 0.0448605000, 0.0622511000, 0.1050925000, 0.2198354000, 0.5174082000", \
+                        "0.0492144000, 0.0529659000, 0.0603176000, 0.0767800000, 0.1163224000, 0.2243886000, 0.5201292000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0238377000, 0.0273080000, 0.0358763000, 0.0572386000, 0.1122380000, 0.2524314000, 0.6088633000", \
+                        "0.0238590000, 0.0272691000, 0.0358496000, 0.0573600000, 0.1121690000, 0.2526767000, 0.6072618000", \
+                        "0.0238741000, 0.0273305000, 0.0359106000, 0.0572447000, 0.1122150000, 0.2527431000, 0.6064155000", \
+                        "0.0247985000, 0.0282291000, 0.0366079000, 0.0577908000, 0.1124232000, 0.2531524000, 0.6083372000", \
+                        "0.0278839000, 0.0311808000, 0.0395159000, 0.0601120000, 0.1138571000, 0.2527358000, 0.6061950000", \
+                        "0.0351924000, 0.0384153000, 0.0461727000, 0.0656132000, 0.1166146000, 0.2537713000, 0.6050267000", \
+                        "0.0491720000, 0.0529417000, 0.0611793000, 0.0793922000, 0.1255094000, 0.2561434000, 0.6068355000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0311375000, 0.0337410000, 0.0400550000, 0.0551946000, 0.0915142000, 0.1803408000, 0.4011080000", \
+                        "0.0356750000, 0.0384222000, 0.0450170000, 0.0604757000, 0.0971135000, 0.1861971000, 0.4063417000", \
+                        "0.0436930000, 0.0466574000, 0.0535024000, 0.0693934000, 0.1065191000, 0.1956087000, 0.4165076000", \
+                        "0.0547657000, 0.0585824000, 0.0671149000, 0.0854798000, 0.1241313000, 0.2143309000, 0.4359652000", \
+                        "0.0665121000, 0.0722600000, 0.0850551000, 0.1107477000, 0.1602252000, 0.2565130000, 0.4787233000", \
+                        "0.0673164000, 0.0766348000, 0.0978380000, 0.1378843000, 0.2114553000, 0.3393248000, 0.5793214000", \
+                        "0.0277789000, 0.0435267000, 0.0786210000, 0.1438126000, 0.2604947000, 0.4538432000, 0.7721969000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0769955000, 0.0839916000, 0.1003178000, 0.1402399000, 0.2377903000, 0.4793942000, 1.0805419000", \
+                        "0.0796032000, 0.0865099000, 0.1031331000, 0.1434240000, 0.2416291000, 0.4835190000, 1.0868707000", \
+                        "0.0895695000, 0.0964195000, 0.1130708000, 0.1534978000, 0.2516895000, 0.4940342000, 1.0959753000", \
+                        "0.1172244000, 0.1237609000, 0.1394874000, 0.1796729000, 0.2780838000, 0.5207941000, 1.1234225000", \
+                        "0.1757734000, 0.1842201000, 0.2038219000, 0.2452175000, 0.3418820000, 0.5836361000, 1.1862709000", \
+                        "0.2688047000, 0.2814957000, 0.3109390000, 0.3733518000, 0.4938074000, 0.7362853000, 1.3343782000", \
+                        "0.4217103000, 0.4422508000, 0.4836530000, 0.5751682000, 0.7565203000, 1.0836645000, 1.6833763000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0171807000, 0.0204862000, 0.0286688000, 0.0485452000, 0.0970520000, 0.2170982000, 0.5196427000", \
+                        "0.0174804000, 0.0208255000, 0.0290212000, 0.0492351000, 0.0978377000, 0.2176238000, 0.5174676000", \
+                        "0.0186915000, 0.0218000000, 0.0297068000, 0.0493368000, 0.0978439000, 0.2171421000, 0.5188094000", \
+                        "0.0252327000, 0.0284227000, 0.0359103000, 0.0537233000, 0.0994385000, 0.2175824000, 0.5188571000", \
+                        "0.0415808000, 0.0453281000, 0.0538095000, 0.0726570000, 0.1167075000, 0.2235199000, 0.5173667000", \
+                        "0.0749266000, 0.0801736000, 0.0919438000, 0.1162666000, 0.1643903000, 0.2705809000, 0.5326833000", \
+                        "0.1426702000, 0.1494159000, 0.1655212000, 0.1993965000, 0.2645960000, 0.3877074000, 0.6461646000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0732385000, 0.0821777000, 0.1039581000, 0.1581069000, 0.2925137000, 0.6280106000, 1.4655046000", \
+                        "0.0731134000, 0.0820461000, 0.1039695000, 0.1580908000, 0.2930701000, 0.6275976000, 1.4666838000", \
+                        "0.0725926000, 0.0816164000, 0.1037167000, 0.1580816000, 0.2928150000, 0.6282067000, 1.4696839000", \
+                        "0.0751765000, 0.0831768000, 0.1037633000, 0.1571017000, 0.2925574000, 0.6298857000, 1.4659202000", \
+                        "0.1011966000, 0.1096232000, 0.1276975000, 0.1718553000, 0.2945869000, 0.6281871000, 1.4657234000", \
+                        "0.1470798000, 0.1585958000, 0.1847347000, 0.2378951000, 0.3459189000, 0.6370939000, 1.4640965000", \
+                        "0.2229202000, 0.2404563000, 0.2776141000, 0.3533346000, 0.5004904000, 0.7739285000, 1.4926543000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0977492000, 0.1007585000, 0.1078462000, 0.1238668000, 0.1606663000, 0.2497965000, 0.4719335000", \
+                        "0.1020113000, 0.1050447000, 0.1122467000, 0.1282944000, 0.1652059000, 0.2543406000, 0.4763643000", \
+                        "0.1140854000, 0.1171965000, 0.1243577000, 0.1405338000, 0.1775381000, 0.2666319000, 0.4888511000", \
+                        "0.1431683000, 0.1463624000, 0.1534903000, 0.1699396000, 0.2073062000, 0.2968491000, 0.5195224000", \
+                        "0.2009748000, 0.2043524000, 0.2117878000, 0.2295518000, 0.2685595000, 0.3587310000, 0.5810323000", \
+                        "0.2915635000, 0.2957677000, 0.3051008000, 0.3244716000, 0.3650014000, 0.4572317000, 0.6809531000", \
+                        "0.4390006000, 0.4446236000, 0.4568715000, 0.4812078000, 0.5267127000, 0.6187031000, 0.8425834000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0719531000, 0.0756071000, 0.0838515000, 0.1016625000, 0.1416891000, 0.2392933000, 0.4832348000", \
+                        "0.0756024000, 0.0792183000, 0.0874639000, 0.1052634000, 0.1453296000, 0.2425122000, 0.4858323000", \
+                        "0.0849792000, 0.0885413000, 0.0966448000, 0.1144898000, 0.1549013000, 0.2528589000, 0.4970315000", \
+                        "0.1042340000, 0.1079124000, 0.1162870000, 0.1344735000, 0.1751479000, 0.2734218000, 0.5166799000", \
+                        "0.1298521000, 0.1336889000, 0.1423158000, 0.1611230000, 0.2028786000, 0.3023765000, 0.5468438000", \
+                        "0.1555163000, 0.1600778000, 0.1701114000, 0.1898258000, 0.2313457000, 0.3312614000, 0.5765875000", \
+                        "0.1595263000, 0.1663141000, 0.1791305000, 0.2037979000, 0.2485996000, 0.3470601000, 0.5929255000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0333858000, 0.0365427000, 0.0443344000, 0.0631215000, 0.1096186000, 0.2287753000, 0.5305182000", \
+                        "0.0336382000, 0.0368275000, 0.0443743000, 0.0630977000, 0.1095681000, 0.2285771000, 0.5304517000", \
+                        "0.0336299000, 0.0366740000, 0.0444176000, 0.0631284000, 0.1095678000, 0.2283676000, 0.5295477000", \
+                        "0.0340278000, 0.0371868000, 0.0449569000, 0.0635655000, 0.1097528000, 0.2286897000, 0.5305421000", \
+                        "0.0339833000, 0.0376346000, 0.0458904000, 0.0646458000, 0.1105284000, 0.2290093000, 0.5298756000", \
+                        "0.0376372000, 0.0411215000, 0.0496593000, 0.0673896000, 0.1116174000, 0.2288191000, 0.5298235000", \
+                        "0.0485691000, 0.0527208000, 0.0615338000, 0.0794710000, 0.1209729000, 0.2304614000, 0.5284458000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000");
+                    values("0.0238687000, 0.0272879000, 0.0358536000, 0.0574155000, 0.1122726000, 0.2531621000, 0.6070502000", \
+                        "0.0238686000, 0.0273437000, 0.0358914000, 0.0572527000, 0.1121785000, 0.2528689000, 0.6066060000", \
+                        "0.0238870000, 0.0273969000, 0.0359561000, 0.0573574000, 0.1121832000, 0.2530293000, 0.6073951000", \
+                        "0.0252241000, 0.0287114000, 0.0372481000, 0.0582569000, 0.1126128000, 0.2533637000, 0.6088262000", \
+                        "0.0280665000, 0.0313501000, 0.0394525000, 0.0602696000, 0.1143901000, 0.2530018000, 0.6071555000", \
+                        "0.0357930000, 0.0387603000, 0.0462179000, 0.0650256000, 0.1163694000, 0.2543435000, 0.6062321000", \
+                        "0.0503144000, 0.0538968000, 0.0621883000, 0.0794795000, 0.1240303000, 0.2561799000, 0.6083346000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__xnor2_4") {
+        leakage_power () {
+            value : 0.0124488000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0030378000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0095704000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0114222000;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xnor2";
+        cell_leakage_power : 0.0091197900;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0167660000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0160650000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0174670000;
+        }
+        pin ("B") {
+            capacitance : 0.0159280000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0155930000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0162640000;
+        }
+        pin ("Y") {
+            direction : "output";
+            function : "(!A&!B) | (A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0861683000, 0.0849669000, 0.0817112000, 0.0723498000, 0.0448304000, -0.033528800, -0.250532500", \
+                        "0.0854098000, 0.0842507000, 0.0809507000, 0.0715678000, 0.0440876000, -0.034265800, -0.251284500", \
+                        "0.0844064000, 0.0832269000, 0.0799157000, 0.0705323000, 0.0430365000, -0.035263800, -0.252270800", \
+                        "0.0834855000, 0.0822490000, 0.0789020000, 0.0694165000, 0.0418942000, -0.036253200, -0.252962400", \
+                        "0.0828424000, 0.0815461000, 0.0780734000, 0.0683942000, 0.0408448000, -0.037150900, -0.253720000", \
+                        "0.0829061000, 0.0815432000, 0.0778106000, 0.0672899000, 0.0419586000, -0.035929700, -0.252185000", \
+                        "0.0899691000, 0.0885668000, 0.0846191000, 0.0742724000, 0.0454649000, -0.032752000, -0.246819900");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0136436000, 0.0152459000, 0.0195099000, 0.0306936000, 0.0595276000, 0.1373701000, 0.3520755000", \
+                        "0.0128029000, 0.0143906000, 0.0186987000, 0.0299152000, 0.0587407000, 0.1367737000, 0.3520425000", \
+                        "0.0115224000, 0.0131076000, 0.0173700000, 0.0285636000, 0.0576689000, 0.1357751000, 0.3502504000", \
+                        "0.0101932000, 0.0117577000, 0.0159615000, 0.0271519000, 0.0565577000, 0.1351076000, 0.3504466000", \
+                        "0.0103902000, 0.0116827000, 0.0152402000, 0.0265135000, 0.0560448000, 0.1349531000, 0.3485163000", \
+                        "0.0109148000, 0.0123474000, 0.0162744000, 0.0270687000, 0.0564115000, 0.1352957000, 0.3488588000", \
+                        "0.0145992000, 0.0159890000, 0.0198998000, 0.0304659000, 0.0599496000, 0.1398034000, 0.3558579000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0790930000, 0.0775545000, 0.0733835000, 0.0621171000, 0.0316626000, -0.049392500, -0.268064900", \
+                        "0.0780305000, 0.0765009000, 0.0723421000, 0.0611166000, 0.0307753000, -0.050350100, -0.268843700", \
+                        "0.0765652000, 0.0750929000, 0.0709932000, 0.0597613000, 0.0295752000, -0.051381100, -0.270117500", \
+                        "0.0750556000, 0.0735685000, 0.0695593000, 0.0585215000, 0.0286677000, -0.052265000, -0.271017000", \
+                        "0.0742472000, 0.0728281000, 0.0688480000, 0.0580302000, 0.0285942000, -0.051848600, -0.270807100", \
+                        "0.0734923000, 0.0720580000, 0.0681685000, 0.0574827000, 0.0305907000, -0.049193100, -0.267955300", \
+                        "0.0803848000, 0.0790098000, 0.0750520000, 0.0646781000, 0.0355196000, -0.043896100, -0.260613900");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
+                    values("0.0196642000, 0.0212621000, 0.0255293000, 0.0365849000, 0.0651743000, 0.1427970000, 0.3577892000", \
+                        "0.0187797000, 0.0203829000, 0.0246557000, 0.0357347000, 0.0644194000, 0.1422832000, 0.3570270000", \
+                        "0.0175395000, 0.0191196000, 0.0232904000, 0.0343918000, 0.0633834000, 0.1413930000, 0.3564265000", \
+                        "0.0162728000, 0.0177732000, 0.0219004000, 0.0329743000, 0.0623457000, 0.1409122000, 0.3547493000", \
+                        "0.0167131000, 0.0180354000, 0.0215443000, 0.0326183000, 0.0618786000, 0.1407320000, 0.3548660000", \
+                        "0.0187681000, 0.0202427000, 0.0241446000, 0.0350650000, 0.0642625000, 0.1421638000, 0.3566139000", \
+                        "0.0243999000, 0.0257853000, 0.0296621000, 0.0403712000, 0.0695040000, 0.1487362000, 0.3640341000");
+                }
+            }
+            max_capacitance : 0.2114970000;
+            max_transition : 1.5007420000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0433706000, 0.0453367000, 0.0503733000, 0.0633054000, 0.0969206000, 0.1851898000, 0.4236227000", \
+                        "0.0480967000, 0.0500034000, 0.0551038000, 0.0681853000, 0.1017158000, 0.1900716000, 0.4284222000", \
+                        "0.0565350000, 0.0584672000, 0.0635131000, 0.0767980000, 0.1105450000, 0.1989932000, 0.4374227000", \
+                        "0.0702501000, 0.0725969000, 0.0784502000, 0.0929049000, 0.1275176000, 0.2162940000, 0.4550099000", \
+                        "0.0901600000, 0.0930594000, 0.1009606000, 0.1191904000, 0.1612806000, 0.2559837000, 0.4957786000", \
+                        "0.1054088000, 0.1098723000, 0.1217440000, 0.1499850000, 0.2108479000, 0.3316891000, 0.5890547000", \
+                        "0.0863427000, 0.0937807000, 0.1128108000, 0.1575348000, 0.2537223000, 0.4362713000, 0.7689356000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.1114710000, 0.1159225000, 0.1279386000, 0.1601830000, 0.2462169000, 0.4795539000, 1.1168017000", \
+                        "0.1154140000, 0.1199479000, 0.1317152000, 0.1645107000, 0.2510678000, 0.4850341000, 1.1214271000", \
+                        "0.1267482000, 0.1312834000, 0.1432508000, 0.1760819000, 0.2633923000, 0.4976572000, 1.1340502000", \
+                        "0.1531366000, 0.1577899000, 0.1697835000, 0.2024614000, 0.2899263000, 0.5247492000, 1.1615574000", \
+                        "0.2087516000, 0.2137615000, 0.2271152000, 0.2612723000, 0.3481232000, 0.5830891000, 1.2207824000", \
+                        "0.3047221000, 0.3117797000, 0.3297603000, 0.3731633000, 0.4778287000, 0.7181901000, 1.3567074000", \
+                        "0.4655082000, 0.4759718000, 0.5032751000, 0.5683992000, 0.7123726000, 1.0126332000, 1.6691007000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0328246000, 0.0349202000, 0.0406929000, 0.0566569000, 0.1005987000, 0.2213272000, 0.5536658000", \
+                        "0.0328307000, 0.0349267000, 0.0407527000, 0.0566906000, 0.1006304000, 0.2216905000, 0.5535692000", \
+                        "0.0330285000, 0.0350741000, 0.0407761000, 0.0566482000, 0.1006038000, 0.2215482000, 0.5539212000", \
+                        "0.0381891000, 0.0402402000, 0.0457347000, 0.0605680000, 0.1020319000, 0.2213741000, 0.5537846000", \
+                        "0.0528662000, 0.0551479000, 0.0612054000, 0.0767116000, 0.1171954000, 0.2276661000, 0.5541576000", \
+                        "0.0862318000, 0.0892385000, 0.0974563000, 0.1168106000, 0.1621629000, 0.2701728000, 0.5679035000", \
+                        "0.1504814000, 0.1547636000, 0.1666395000, 0.1947200000, 0.2557399000, 0.3821312000, 0.6713600000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0806455000, 0.0866377000, 0.1022302000, 0.1455467000, 0.2639252000, 0.5877244000, 1.4780006000", \
+                        "0.0807608000, 0.0862568000, 0.1022006000, 0.1454700000, 0.2639924000, 0.5879292000, 1.4735243000", \
+                        "0.0806518000, 0.0866005000, 0.1022020000, 0.1457652000, 0.2639654000, 0.5875345000, 1.4723487000", \
+                        "0.0806905000, 0.0864962000, 0.1023047000, 0.1456960000, 0.2641573000, 0.5870808000, 1.4719675000", \
+                        "0.0948051000, 0.1000885000, 0.1136087000, 0.1530348000, 0.2656347000, 0.5877778000, 1.4730354000", \
+                        "0.1321308000, 0.1379456000, 0.1535767000, 0.1946746000, 0.2986135000, 0.5955604000, 1.4732890000", \
+                        "0.2150811000, 0.2229177000, 0.2413604000, 0.2890561000, 0.4027265000, 0.6834220000, 1.4891730000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.1062858000, 0.1087184000, 0.1148508000, 0.1294900000, 0.1631937000, 0.2463063000, 0.4692751000", \
+                        "0.1109650000, 0.1133853000, 0.1195172000, 0.1341725000, 0.1679648000, 0.2511905000, 0.4735897000", \
+                        "0.1233158000, 0.1257255000, 0.1318603000, 0.1464399000, 0.1802085000, 0.2634250000, 0.4866414000", \
+                        "0.1538985000, 0.1563087000, 0.1623731000, 0.1768919000, 0.2106528000, 0.2940814000, 0.5174504000", \
+                        "0.2209495000, 0.2234359000, 0.2297303000, 0.2446790000, 0.2786351000, 0.3622533000, 0.5868593000", \
+                        "0.3322874000, 0.3353366000, 0.3428404000, 0.3594038000, 0.3956097000, 0.4807889000, 0.7044242000", \
+                        "0.5116579000, 0.5157257000, 0.5255807000, 0.5466497000, 0.5880311000, 0.6758343000, 0.8996423000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0828554000, 0.0854638000, 0.0919658000, 0.1074610000, 0.1439198000, 0.2384544000, 0.4981587000", \
+                        "0.0869906000, 0.0895855000, 0.0961219000, 0.1116350000, 0.1481469000, 0.2430497000, 0.5034999000", \
+                        "0.0952302000, 0.0978347000, 0.1043053000, 0.1197494000, 0.1565794000, 0.2514040000, 0.5131093000", \
+                        "0.1121669000, 0.1147845000, 0.1213999000, 0.1371206000, 0.1743873000, 0.2698650000, 0.5306749000", \
+                        "0.1377052000, 0.1404602000, 0.1473918000, 0.1638586000, 0.2022901000, 0.2991414000, 0.5592872000", \
+                        "0.1641376000, 0.1674327000, 0.1751902000, 0.1928799000, 0.2325532000, 0.3301521000, 0.5909532000", \
+                        "0.1655742000, 0.1698450000, 0.1801487000, 0.2022838000, 0.2465093000, 0.3450240000, 0.6062227000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0245147000, 0.0266235000, 0.0323319000, 0.0473995000, 0.0872301000, 0.1980620000, 0.5066865000", \
+                        "0.0244099000, 0.0265732000, 0.0324562000, 0.0475182000, 0.0873148000, 0.1979621000, 0.5072415000", \
+                        "0.0246390000, 0.0268236000, 0.0323972000, 0.0475006000, 0.0873023000, 0.1980191000, 0.5064518000", \
+                        "0.0245954000, 0.0266876000, 0.0324038000, 0.0476320000, 0.0873316000, 0.1980978000, 0.5073702000", \
+                        "0.0269713000, 0.0291588000, 0.0347540000, 0.0493969000, 0.0884532000, 0.1983438000, 0.5081293000", \
+                        "0.0356032000, 0.0374691000, 0.0428560000, 0.0567494000, 0.0939170000, 0.2016021000, 0.5080946000", \
+                        "0.0504165000, 0.0527444000, 0.0582930000, 0.0724478000, 0.1063927000, 0.2066192000, 0.5105575000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0255694000, 0.0278147000, 0.0340677000, 0.0511225000, 0.0984484000, 0.2318277000, 0.6032659000", \
+                        "0.0255030000, 0.0277907000, 0.0341078000, 0.0510815000, 0.0985051000, 0.2320978000, 0.6027592000", \
+                        "0.0255634000, 0.0278500000, 0.0341277000, 0.0511075000, 0.0984393000, 0.2320118000, 0.6038733000", \
+                        "0.0264438000, 0.0288015000, 0.0349743000, 0.0516880000, 0.0986900000, 0.2313666000, 0.6020711000", \
+                        "0.0293351000, 0.0314647000, 0.0376875000, 0.0541248000, 0.1005765000, 0.2320812000, 0.6002693000", \
+                        "0.0362512000, 0.0382865000, 0.0445000000, 0.0597736000, 0.1034032000, 0.2336652000, 0.6010125000", \
+                        "0.0508186000, 0.0528307000, 0.0590053000, 0.0737918000, 0.1132466000, 0.2365962000, 0.6050034000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0352815000, 0.0370315000, 0.0415947000, 0.0533460000, 0.0840623000, 0.1665917000, 0.3890193000", \
+                        "0.0395347000, 0.0413298000, 0.0460695000, 0.0582550000, 0.0894908000, 0.1715187000, 0.3944696000", \
+                        "0.0475697000, 0.0495175000, 0.0545225000, 0.0670635000, 0.0989002000, 0.1816361000, 0.4043040000", \
+                        "0.0586230000, 0.0610559000, 0.0673226000, 0.0820550000, 0.1159612000, 0.1995792000, 0.4234630000", \
+                        "0.0691877000, 0.0729020000, 0.0821645000, 0.1035775000, 0.1475503000, 0.2401087000, 0.4651209000", \
+                        "0.0664912000, 0.0725607000, 0.0868812000, 0.1208933000, 0.1890794000, 0.3155613000, 0.5622409000", \
+                        "0.0155959000, 0.0255836000, 0.0518217000, 0.1062293000, 0.2156762000, 0.4094078000, 0.7434722000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0894431000, 0.0940604000, 0.1063377000, 0.1388589000, 0.2255568000, 0.4589984000, 1.0956753000", \
+                        "0.0911656000, 0.0960730000, 0.1082078000, 0.1414389000, 0.2284199000, 0.4623537000, 1.0987684000", \
+                        "0.1003240000, 0.1050921000, 0.1172614000, 0.1504534000, 0.2379830000, 0.4727090000, 1.1098718000", \
+                        "0.1275764000, 0.1322033000, 0.1443194000, 0.1768991000, 0.2638104000, 0.4990175000, 1.1371351000", \
+                        "0.1903227000, 0.1958274000, 0.2098645000, 0.2437265000, 0.3290452000, 0.5633177000, 1.2016934000", \
+                        "0.2923067000, 0.3004558000, 0.3212257000, 0.3719020000, 0.4815066000, 0.7168229000, 1.3526478000", \
+                        "0.4629533000, 0.4741891000, 0.5028059000, 0.5788611000, 0.7409546000, 1.0652395000, 1.7065545000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0176550000, 0.0198276000, 0.0255669000, 0.0413932000, 0.0838727000, 0.1976204000, 0.5072198000", \
+                        "0.0179207000, 0.0200812000, 0.0259553000, 0.0418130000, 0.0840755000, 0.1979208000, 0.5064345000", \
+                        "0.0191497000, 0.0212100000, 0.0267788000, 0.0422002000, 0.0844718000, 0.1975018000, 0.5076811000", \
+                        "0.0255271000, 0.0275647000, 0.0331186000, 0.0477009000, 0.0864259000, 0.1980653000, 0.5083056000", \
+                        "0.0419680000, 0.0442507000, 0.0506386000, 0.0661861000, 0.1044096000, 0.2055870000, 0.5078955000", \
+                        "0.0759757000, 0.0790331000, 0.0876781000, 0.1078272000, 0.1524845000, 0.2544421000, 0.5260616000", \
+                        "0.1440202000, 0.1483476000, 0.1601621000, 0.1883906000, 0.2486804000, 0.3709742000, 0.6412829000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0803624000, 0.0862636000, 0.1022039000, 0.1455623000, 0.2642236000, 0.5871529000, 1.4710115000", \
+                        "0.0803251000, 0.0862608000, 0.1020876000, 0.1456593000, 0.2638403000, 0.5873961000, 1.4722475000", \
+                        "0.0799587000, 0.0859307000, 0.1017819000, 0.1455501000, 0.2638417000, 0.5876576000, 1.4710231000", \
+                        "0.0810427000, 0.0863967000, 0.1016107000, 0.1447076000, 0.2639333000, 0.5871530000, 1.4732598000", \
+                        "0.1068121000, 0.1118868000, 0.1242415000, 0.1596634000, 0.2662308000, 0.5874368000, 1.4728216000", \
+                        "0.1534365000, 0.1598113000, 0.1787846000, 0.2235031000, 0.3208799000, 0.5991684000, 1.4729828000", \
+                        "0.2295785000, 0.2403665000, 0.2672158000, 0.3342095000, 0.4682147000, 0.7345262000, 1.5007421000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0935093000, 0.0954990000, 0.1006497000, 0.1136166000, 0.1460953000, 0.2324437000, 0.4693996000", \
+                        "0.0981714000, 0.1001499000, 0.1053114000, 0.1183277000, 0.1510089000, 0.2374563000, 0.4744277000", \
+                        "0.1104030000, 0.1123714000, 0.1176958000, 0.1307968000, 0.1635261000, 0.2499519000, 0.4866218000", \
+                        "0.1407252000, 0.1427933000, 0.1482483000, 0.1615412000, 0.1945963000, 0.2812332000, 0.5181434000", \
+                        "0.2013146000, 0.2035931000, 0.2094098000, 0.2236051000, 0.2579722000, 0.3450789000, 0.5816173000", \
+                        "0.2978895000, 0.3007057000, 0.3077162000, 0.3240106000, 0.3605816000, 0.4493251000, 0.6868556000", \
+                        "0.4558866000, 0.4596746000, 0.4690985000, 0.4898670000, 0.5321134000, 0.6235362000, 0.8612472000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0778196000, 0.0804114000, 0.0869172000, 0.1022356000, 0.1384243000, 0.2329697000, 0.4928489000", \
+                        "0.0812731000, 0.0838694000, 0.0903779000, 0.1057578000, 0.1420294000, 0.2368998000, 0.4956549000", \
+                        "0.0902659000, 0.0928219000, 0.0993841000, 0.1147507000, 0.1514599000, 0.2461453000, 0.5060897000", \
+                        "0.1095344000, 0.1121835000, 0.1187825000, 0.1345597000, 0.1717429000, 0.2672413000, 0.5276065000", \
+                        "0.1345677000, 0.1372654000, 0.1440891000, 0.1602968000, 0.1989527000, 0.2959328000, 0.5555164000", \
+                        "0.1585782000, 0.1617941000, 0.1694113000, 0.1866177000, 0.2256317000, 0.3222964000, 0.5842075000", \
+                        "0.1567505000, 0.1609748000, 0.1708803000, 0.1928089000, 0.2346894000, 0.3315348000, 0.5939198000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0368622000, 0.0390765000, 0.0449064000, 0.0605744000, 0.1029867000, 0.2216907000, 0.5540532000", \
+                        "0.0369148000, 0.0391043000, 0.0449080000, 0.0605773000, 0.1029674000, 0.2219741000, 0.5535201000", \
+                        "0.0367973000, 0.0390669000, 0.0449984000, 0.0605389000, 0.1029331000, 0.2219649000, 0.5543047000", \
+                        "0.0370990000, 0.0394040000, 0.0451916000, 0.0608244000, 0.1029317000, 0.2219647000, 0.5543093000", \
+                        "0.0361728000, 0.0385302000, 0.0446525000, 0.0612725000, 0.1032341000, 0.2220998000, 0.5544427000", \
+                        "0.0391234000, 0.0415246000, 0.0474518000, 0.0630629000, 0.1040902000, 0.2215390000, 0.5529634000", \
+                        "0.0494114000, 0.0520519000, 0.0591301000, 0.0756065000, 0.1142857000, 0.2233734000, 0.5509738000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
+                    values("0.0255269000, 0.0278232000, 0.0341731000, 0.0511804000, 0.0986566000, 0.2316991000, 0.6024007000", \
+                        "0.0255228000, 0.0278273000, 0.0341737000, 0.0512187000, 0.0985469000, 0.2318790000, 0.6050904000", \
+                        "0.0255345000, 0.0279013000, 0.0341683000, 0.0511861000, 0.0984896000, 0.2319595000, 0.6034798000", \
+                        "0.0270024000, 0.0293665000, 0.0355487000, 0.0522590000, 0.0989446000, 0.2314975000, 0.6026815000", \
+                        "0.0293326000, 0.0314843000, 0.0376244000, 0.0542786000, 0.1013152000, 0.2329248000, 0.6020465000", \
+                        "0.0371289000, 0.0393486000, 0.0445492000, 0.0594313000, 0.1032342000, 0.2348178000, 0.6018213000", \
+                        "0.0519052000, 0.0542855000, 0.0598419000, 0.0740121000, 0.1125662000, 0.2364119000, 0.6056086000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xnor3_1 */
+
+/* removed sky130_fd_sc_hd__xnor3_2 */
+
+/* removed sky130_fd_sc_hd__xnor3_4 */
+
+/* removed sky130_fd_sc_hd__xor2_1 */
+
+    cell ("sky130_fd_sc_hd__xor2_2") {
+        leakage_power () {
+            value : 0.0048373000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0090099000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0049460000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0035835000;
+            when : "A&!B";
+        }
+        area : 16.265600000;
+        cell_footprint : "sky130_fd_sc_hd__xor2";
+        cell_leakage_power : 0.0055941920;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0089800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0085840000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0093760000;
+        }
+        pin ("B") {
+            capacitance : 0.0081650000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0078370000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0084930000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&!B) | (!A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("-0.004662100, -0.005887700, -0.008965500, -0.016735900, -0.036513600, -0.086570300, -0.213492400", \
+                        "-0.005076400, -0.006296000, -0.009353900, -0.017123000, -0.036854300, -0.086996900, -0.213939600", \
+                        "-0.005573400, -0.006764800, -0.009812900, -0.017536000, -0.037200600, -0.087318900, -0.214263500", \
+                        "-0.006086500, -0.007273700, -0.010250900, -0.017895400, -0.037531000, -0.087562600, -0.214505900", \
+                        "-0.006150200, -0.007354900, -0.010445400, -0.018147800, -0.037660700, -0.087555500, -0.214433800", \
+                        "-0.005658700, -0.006885400, -0.009986500, -0.017858500, -0.037893600, -0.088025200, -0.214788400", \
+                        "-0.003892000, -0.005201400, -0.008370400, -0.016481400, -0.036733800, -0.087261400, -0.214735100");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("0.0369292000, 0.0381656000, 0.0413140000, 0.0491942000, 0.0689991000, 0.1187768000, 0.2445055000", \
+                        "0.0365398000, 0.0377870000, 0.0409828000, 0.0489316000, 0.0688532000, 0.1186584000, 0.2442397000", \
+                        "0.0361215000, 0.0373753000, 0.0405773000, 0.0486252000, 0.0685953000, 0.1184569000, 0.2443046000", \
+                        "0.0358413000, 0.0370973000, 0.0402531000, 0.0482564000, 0.0682185000, 0.1182749000, 0.2440825000", \
+                        "0.0355508000, 0.0368054000, 0.0399221000, 0.0478569000, 0.0678184000, 0.1178351000, 0.2435529000", \
+                        "0.0355410000, 0.0367405000, 0.0399061000, 0.0479024000, 0.0678099000, 0.1178255000, 0.2432769000", \
+                        "0.0352335000, 0.0364207000, 0.0394499000, 0.0471029000, 0.0679413000, 0.1179691000, 0.2435949000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("-0.001225600, -0.002450400, -0.005515900, -0.013299800, -0.033041100, -0.083177300, -0.210251600", \
+                        "-0.001528400, -0.002731500, -0.005788500, -0.013531400, -0.033213200, -0.083368600, -0.210375000", \
+                        "-0.001939500, -0.003108100, -0.006129500, -0.013804100, -0.033493700, -0.083536300, -0.210567000", \
+                        "-0.002457400, -0.003670300, -0.006591000, -0.014176900, -0.033770000, -0.083764500, -0.210692000", \
+                        "-0.002284100, -0.003469200, -0.006565000, -0.014197300, -0.033776900, -0.083796300, -0.210691000", \
+                        "-0.000898100, -0.002108200, -0.005717400, -0.013688500, -0.033704400, -0.083756400, -0.210784100", \
+                        "0.0017924000, 0.0004754000, -0.002757900, -0.011136400, -0.031267500, -0.082080500, -0.210166100");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000");
+                    values("0.0274399000, 0.0288075000, 0.0321880000, 0.0403616000, 0.0604082000, 0.1104334000, 0.2368603000", \
+                        "0.0269872000, 0.0283851000, 0.0317982000, 0.0400037000, 0.0603026000, 0.1104994000, 0.2368246000", \
+                        "0.0266097000, 0.0279355000, 0.0312839000, 0.0395135000, 0.0599307000, 0.1103915000, 0.2366890000", \
+                        "0.0263455000, 0.0276542000, 0.0308905000, 0.0390774000, 0.0593604000, 0.1100257000, 0.2366081000", \
+                        "0.0260555000, 0.0273572000, 0.0305662000, 0.0385536000, 0.0587118000, 0.1092827000, 0.2361458000", \
+                        "0.0259435000, 0.0271979000, 0.0303973000, 0.0385457000, 0.0585611000, 0.1089672000, 0.2354595000", \
+                        "0.0262366000, 0.0273811000, 0.0302657000, 0.0378938000, 0.0586015000, 0.1084169000, 0.2355467000");
+                }
+            }
+            max_capacitance : 0.1300150000;
+            max_transition : 1.4987380000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.1384977000, 0.1422068000, 0.1502977000, 0.1661566000, 0.1964545000, 0.2572546000, 0.3935969000", \
+                        "0.1424172000, 0.1461692000, 0.1541685000, 0.1701493000, 0.2004523000, 0.2613210000, 0.3976153000", \
+                        "0.1540817000, 0.1577757000, 0.1659206000, 0.1818899000, 0.2121926000, 0.2731040000, 0.4094225000", \
+                        "0.1809945000, 0.1847089000, 0.1927287000, 0.2088414000, 0.2392330000, 0.3001880000, 0.4362988000", \
+                        "0.2372084000, 0.2410560000, 0.2493079000, 0.2654707000, 0.2965450000, 0.3578703000, 0.4942545000", \
+                        "0.3325887000, 0.3369353000, 0.3463400000, 0.3649617000, 0.3994033000, 0.4648464000, 0.6041764000", \
+                        "0.4898087000, 0.4950906000, 0.5068504000, 0.5295729000, 0.5705907000, 0.6425233000, 0.7862694000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0708429000, 0.0774858000, 0.0939566000, 0.1346119000, 0.2333286000, 0.4791645000, 1.0950048000", \
+                        "0.0756947000, 0.0822814000, 0.0989232000, 0.1395524000, 0.2378364000, 0.4835485000, 1.1019025000", \
+                        "0.0867937000, 0.0933608000, 0.1095408000, 0.1499780000, 0.2492272000, 0.4942581000, 1.1093417000", \
+                        "0.1065740000, 0.1129424000, 0.1289719000, 0.1689532000, 0.2677890000, 0.5134025000, 1.1323527000", \
+                        "0.1328139000, 0.1389481000, 0.1542258000, 0.1939757000, 0.2923455000, 0.5393939000, 1.1584920000", \
+                        "0.1600978000, 0.1664035000, 0.1811765000, 0.2204848000, 0.3184447000, 0.5637100000, 1.1815759000", \
+                        "0.1671551000, 0.1745894000, 0.1913832000, 0.2300386000, 0.3268911000, 0.5726919000, 1.1884465000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0232702000, 0.0257073000, 0.0318215000, 0.0444459000, 0.0731155000, 0.1395496000, 0.3126162000", \
+                        "0.0232763000, 0.0259348000, 0.0317299000, 0.0444188000, 0.0730869000, 0.1398639000, 0.3121319000", \
+                        "0.0233470000, 0.0258045000, 0.0317852000, 0.0443448000, 0.0729728000, 0.1397891000, 0.3128331000", \
+                        "0.0235786000, 0.0261886000, 0.0317882000, 0.0444738000, 0.0730364000, 0.1399871000, 0.3127691000", \
+                        "0.0253195000, 0.0280221000, 0.0335514000, 0.0459919000, 0.0742742000, 0.1400061000, 0.3126039000", \
+                        "0.0308286000, 0.0336105000, 0.0402202000, 0.0530794000, 0.0818041000, 0.1468926000, 0.3160481000", \
+                        "0.0424813000, 0.0461582000, 0.0527709000, 0.0675221000, 0.0978595000, 0.1616311000, 0.3240657000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0433950000, 0.0521716000, 0.0742378000, 0.1284433000, 0.2624563000, 0.6002645000, 1.4511636000", \
+                        "0.0434337000, 0.0522449000, 0.0740179000, 0.1283847000, 0.2628413000, 0.6011170000, 1.4515309000", \
+                        "0.0433964000, 0.0521159000, 0.0741651000, 0.1281615000, 0.2628724000, 0.5996897000, 1.4526607000", \
+                        "0.0434933000, 0.0520284000, 0.0738791000, 0.1281932000, 0.2622725000, 0.5993517000, 1.4537517000", \
+                        "0.0437520000, 0.0522671000, 0.0737491000, 0.1280984000, 0.2623953000, 0.6008260000, 1.4501028000", \
+                        "0.0471760000, 0.0549205000, 0.0753277000, 0.1279875000, 0.2627280000, 0.5983435000, 1.4513487000", \
+                        "0.0568262000, 0.0640667000, 0.0821018000, 0.1304363000, 0.2625872000, 0.6016371000, 1.4457520000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0366878000, 0.0394202000, 0.0460515000, 0.0614511000, 0.0983492000, 0.1882181000, 0.4122795000", \
+                        "0.0409317000, 0.0437046000, 0.0503680000, 0.0658174000, 0.1026503000, 0.1925650000, 0.4172927000", \
+                        "0.0503424000, 0.0530575000, 0.0595900000, 0.0751747000, 0.1120444000, 0.2020318000, 0.4265575000", \
+                        "0.0674054000, 0.0709212000, 0.0787132000, 0.0956543000, 0.1330796000, 0.2234468000, 0.4482092000", \
+                        "0.0908163000, 0.0956347000, 0.1065715000, 0.1298773000, 0.1765074000, 0.2719941000, 0.4975408000", \
+                        "0.1118816000, 0.1193171000, 0.1361500000, 0.1723709000, 0.2420603000, 0.3677965000, 0.6106943000", \
+                        "0.1079090000, 0.1191817000, 0.1464129000, 0.2032867000, 0.3126409000, 0.5044731000, 0.8291883000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.1013748000, 0.1077552000, 0.1240475000, 0.1636831000, 0.2619730000, 0.5085546000, 1.1298122000", \
+                        "0.1055375000, 0.1120018000, 0.1284449000, 0.1684071000, 0.2670783000, 0.5140612000, 1.1362680000", \
+                        "0.1173207000, 0.1237444000, 0.1400384000, 0.1803647000, 0.2795946000, 0.5269080000, 1.1487459000", \
+                        "0.1453186000, 0.1517258000, 0.1677739000, 0.2077863000, 0.3071755000, 0.5548991000, 1.1767954000", \
+                        "0.1999506000, 0.2077888000, 0.2257879000, 0.2674549000, 0.3665707000, 0.6144783000, 1.2378885000", \
+                        "0.2910742000, 0.3021466000, 0.3268688000, 0.3820665000, 0.4996659000, 0.7511051000, 1.3740229000", \
+                        "0.4336232000, 0.4504984000, 0.4898813000, 0.5742122000, 0.7397000000, 1.0549978000, 1.6919731000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0278557000, 0.0307555000, 0.0381278000, 0.0569801000, 0.1046576000, 0.2258045000, 0.5323001000", \
+                        "0.0275980000, 0.0305700000, 0.0380380000, 0.0568992000, 0.1046078000, 0.2260061000, 0.5331962000", \
+                        "0.0278874000, 0.0307257000, 0.0379815000, 0.0565398000, 0.1045299000, 0.2257749000, 0.5324279000", \
+                        "0.0352853000, 0.0378809000, 0.0446928000, 0.0610429000, 0.1058141000, 0.2258656000, 0.5328579000", \
+                        "0.0533967000, 0.0566260000, 0.0646769000, 0.0824657000, 0.1237218000, 0.2317967000, 0.5327737000", \
+                        "0.0879987000, 0.0927476000, 0.1038514000, 0.1281722000, 0.1786074000, 0.2820623000, 0.5505975000", \
+                        "0.1521567000, 0.1596079000, 0.1759489000, 0.2111251000, 0.2808864000, 0.4101538000, 0.6719281000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0658635000, 0.0744751000, 0.0961230000, 0.1501198000, 0.2848755000, 0.6248285000, 1.4843966000", \
+                        "0.0658935000, 0.0743274000, 0.0961270000, 0.1501292000, 0.2849601000, 0.6239809000, 1.4863532000", \
+                        "0.0658033000, 0.0744992000, 0.0959603000, 0.1498550000, 0.2852739000, 0.6251155000, 1.4846022000", \
+                        "0.0666618000, 0.0749003000, 0.0962001000, 0.1501097000, 0.2848835000, 0.6246919000, 1.4820652000", \
+                        "0.0807572000, 0.0882044000, 0.1072274000, 0.1565418000, 0.2860165000, 0.6249157000, 1.4860295000", \
+                        "0.1189094000, 0.1277407000, 0.1492433000, 0.1994202000, 0.3158029000, 0.6305258000, 1.4816749000", \
+                        "0.2013897000, 0.2133187000, 0.2401065000, 0.3014739000, 0.4314330000, 0.7206652000, 1.4987376000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.1135354000, 0.1172345000, 0.1253212000, 0.1411321000, 0.1709996000, 0.2317773000, 0.3679881000", \
+                        "0.1158619000, 0.1196099000, 0.1277814000, 0.1435497000, 0.1736785000, 0.2345190000, 0.3707583000", \
+                        "0.1261537000, 0.1298374000, 0.1377989000, 0.1536590000, 0.1836019000, 0.2442664000, 0.3807297000", \
+                        "0.1536260000, 0.1573158000, 0.1652684000, 0.1810274000, 0.2114569000, 0.2723175000, 0.4085383000", \
+                        "0.2157204000, 0.2195236000, 0.2277852000, 0.2437790000, 0.2747045000, 0.3364387000, 0.4730395000", \
+                        "0.3175672000, 0.3221342000, 0.3319043000, 0.3505945000, 0.3832005000, 0.4476124000, 0.5871739000", \
+                        "0.4813654000, 0.4871496000, 0.4995248000, 0.5224838000, 0.5624081000, 0.6304612000, 0.7708766000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0898785000, 0.0960548000, 0.1114666000, 0.1491248000, 0.2437286000, 0.4865190000, 1.1045026000", \
+                        "0.0940707000, 0.1003422000, 0.1156960000, 0.1538906000, 0.2489380000, 0.4917062000, 1.1096301000", \
+                        "0.1013511000, 0.1077969000, 0.1235103000, 0.1623957000, 0.2589187000, 0.5028688000, 1.1212922000", \
+                        "0.1139012000, 0.1203263000, 0.1361622000, 0.1757829000, 0.2740495000, 0.5188473000, 1.1400489000", \
+                        "0.1293636000, 0.1358092000, 0.1523199000, 0.1933448000, 0.2917342000, 0.5383232000, 1.1579030000", \
+                        "0.1431522000, 0.1496354000, 0.1657491000, 0.2056076000, 0.3055157000, 0.5534739000, 1.1742622000", \
+                        "0.1330891000, 0.1409090000, 0.1587939000, 0.1981393000, 0.2963700000, 0.5450383000, 1.1688642000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0233165000, 0.0258682000, 0.0318581000, 0.0447566000, 0.0734567000, 0.1402240000, 0.3125750000", \
+                        "0.0235705000, 0.0258730000, 0.0316446000, 0.0448949000, 0.0733738000, 0.1400845000, 0.3128873000", \
+                        "0.0233875000, 0.0260026000, 0.0317997000, 0.0448137000, 0.0734731000, 0.1399741000, 0.3129170000", \
+                        "0.0234414000, 0.0259759000, 0.0318132000, 0.0446572000, 0.0731613000, 0.1399281000, 0.3129010000", \
+                        "0.0260998000, 0.0286890000, 0.0343501000, 0.0473948000, 0.0753344000, 0.1411505000, 0.3131180000", \
+                        "0.0352046000, 0.0377193000, 0.0433195000, 0.0548519000, 0.0821210000, 0.1472213000, 0.3172045000", \
+                        "0.0494604000, 0.0520416000, 0.0593126000, 0.0724877000, 0.0970250000, 0.1579033000, 0.3226391000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0649654000, 0.0736882000, 0.0956477000, 0.1498763000, 0.2857273000, 0.6272573000, 1.4811267000", \
+                        "0.0649800000, 0.0737642000, 0.0955446000, 0.1499280000, 0.2850589000, 0.6269287000, 1.4808509000", \
+                        "0.0647131000, 0.0735981000, 0.0955189000, 0.1498205000, 0.2854102000, 0.6272066000, 1.4849604000", \
+                        "0.0619793000, 0.0710637000, 0.0936559000, 0.1495553000, 0.2853681000, 0.6246950000, 1.4852367000", \
+                        "0.0537970000, 0.0628799000, 0.0855840000, 0.1431683000, 0.2805938000, 0.6247683000, 1.4816494000", \
+                        "0.0522774000, 0.0603811000, 0.0817334000, 0.1359679000, 0.2739539000, 0.6165972000, 1.4789325000", \
+                        "0.0611450000, 0.0688738000, 0.0878866000, 0.1363977000, 0.2708009000, 0.6127246000, 1.4715204000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0294322000, 0.0321949000, 0.0388289000, 0.0543131000, 0.0911406000, 0.1809899000, 0.4050461000", \
+                        "0.0332804000, 0.0360554000, 0.0427348000, 0.0583451000, 0.0951256000, 0.1850991000, 0.4098038000", \
+                        "0.0437864000, 0.0463586000, 0.0527086000, 0.0681852000, 0.1049871000, 0.1949351000, 0.4192733000", \
+                        "0.0613941000, 0.0647955000, 0.0732418000, 0.0917326000, 0.1283687000, 0.2182853000, 0.4425062000", \
+                        "0.0817803000, 0.0872906000, 0.0995910000, 0.1265836000, 0.1785243000, 0.2738434000, 0.4968396000", \
+                        "0.0972607000, 0.1056981000, 0.1242752000, 0.1656531000, 0.2428085000, 0.3835595000, 0.6258345000", \
+                        "0.0858433000, 0.0967255000, 0.1271787000, 0.1888504000, 0.3078823000, 0.5211013000, 0.8797424000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0746095000, 0.0815395000, 0.0980231000, 0.1379717000, 0.2351741000, 0.4801485000, 1.0952894000", \
+                        "0.0785530000, 0.0853667000, 0.1020841000, 0.1422198000, 0.2408163000, 0.4869321000, 1.1021585000", \
+                        "0.0906585000, 0.0972720000, 0.1136060000, 0.1541478000, 0.2529330000, 0.4992180000, 1.1181956000", \
+                        "0.1181814000, 0.1249159000, 0.1410777000, 0.1807511000, 0.2794417000, 0.5262002000, 1.1458124000", \
+                        "0.1659615000, 0.1745215000, 0.1946022000, 0.2395122000, 0.3387854000, 0.5855649000, 1.2051118000", \
+                        "0.2389627000, 0.2525016000, 0.2826532000, 0.3453134000, 0.4691851000, 0.7211671000, 1.3406238000", \
+                        "0.3475268000, 0.3697420000, 0.4182347000, 0.5170517000, 0.6978846000, 1.0215699000, 1.6593122000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0281889000, 0.0310405000, 0.0383098000, 0.0571027000, 0.1046458000, 0.2255703000, 0.5326776000", \
+                        "0.0271840000, 0.0303379000, 0.0379941000, 0.0568930000, 0.1046141000, 0.2258325000, 0.5325913000", \
+                        "0.0284967000, 0.0312032000, 0.0380897000, 0.0562595000, 0.1044868000, 0.2257337000, 0.5326409000", \
+                        "0.0391303000, 0.0422909000, 0.0497402000, 0.0647899000, 0.1071060000, 0.2257027000, 0.5332900000", \
+                        "0.0596949000, 0.0642601000, 0.0741989000, 0.0950827000, 0.1373584000, 0.2368719000, 0.5319141000", \
+                        "0.0972975000, 0.1037658000, 0.1186631000, 0.1490270000, 0.2072445000, 0.3125950000, 0.5606867000", \
+                        "0.1617579000, 0.1741475000, 0.1973022000, 0.2454596000, 0.3285548000, 0.4767016000, 0.7385274000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000");
+                    values("0.0450999000, 0.0534501000, 0.0749979000, 0.1285084000, 0.2628362000, 0.5998981000, 1.4500487000", \
+                        "0.0453270000, 0.0537701000, 0.0747860000, 0.1283688000, 0.2630452000, 0.5999876000, 1.4533503000", \
+                        "0.0454338000, 0.0539026000, 0.0750903000, 0.1284993000, 0.2630374000, 0.6012968000, 1.4521058000", \
+                        "0.0476749000, 0.0555512000, 0.0760392000, 0.1286077000, 0.2625937000, 0.6001993000, 1.4533110000", \
+                        "0.0644221000, 0.0724955000, 0.0917503000, 0.1382157000, 0.2645450000, 0.6000186000, 1.4541084000", \
+                        "0.1037109000, 0.1126797000, 0.1346154000, 0.1850346000, 0.3011596000, 0.6073854000, 1.4495093000", \
+                        "0.1862411000, 0.1978767000, 0.2272521000, 0.2880284000, 0.4203579000, 0.7001883000, 1.4648243000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__xor2_4") {
+        leakage_power () {
+            value : 0.0071544000;
+            when : "!A&B";
+        }
+        leakage_power () {
+            value : 0.0107585000;
+            when : "!A&!B";
+        }
+        leakage_power () {
+            value : 0.0056040000;
+            when : "A&B";
+        }
+        leakage_power () {
+            value : 0.0060047000;
+            when : "A&!B";
+        }
+        area : 27.526400000;
+        cell_footprint : "sky130_fd_sc_hd__xor2";
+        cell_leakage_power : 0.0073803710;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0173590000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0166090000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0181100000;
+        }
+        pin ("B") {
+            capacitance : 0.0150830000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0144120000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0157550000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A&!B) | (!A&B)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("-0.009353200, -0.010762300, -0.014632000, -0.025274800, -0.054785000, -0.136565300, -0.362923600", \
+                        "-0.010240800, -0.011609600, -0.015454600, -0.026091800, -0.055569400, -0.137404700, -0.363764100", \
+                        "-0.011272300, -0.012630100, -0.016426900, -0.026952900, -0.056280500, -0.138080900, -0.364624900", \
+                        "-0.012300700, -0.013629200, -0.017353500, -0.027797400, -0.057036300, -0.138586100, -0.364914600", \
+                        "-0.012574600, -0.013950200, -0.017842500, -0.028217400, -0.057313200, -0.138687800, -0.364837300", \
+                        "-0.011353300, -0.012758900, -0.016668300, -0.027355600, -0.057695800, -0.139744700, -0.365479200", \
+                        "-0.008667900, -0.010139100, -0.014189800, -0.025209600, -0.055645000, -0.138132000, -0.365525200");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("0.0738198000, 0.0753531000, 0.0792946000, 0.0901744000, 0.1199423000, 0.2012191000, 0.4251799000", \
+                        "0.0731748000, 0.0746199000, 0.0786184000, 0.0896336000, 0.1194524000, 0.2010247000, 0.4249036000", \
+                        "0.0722850000, 0.0738445000, 0.0778100000, 0.0889312000, 0.1190668000, 0.2008785000, 0.4248206000", \
+                        "0.0718295000, 0.0732238000, 0.0771736000, 0.0881723000, 0.1182779000, 0.2002234000, 0.4244880000", \
+                        "0.0711848000, 0.0725912000, 0.0765118000, 0.0873854000, 0.1172710000, 0.1993973000, 0.4238938000", \
+                        "0.0710156000, 0.0724653000, 0.0764309000, 0.0874079000, 0.1173448000, 0.1992413000, 0.4235285000", \
+                        "0.0701391000, 0.0715192000, 0.0753463000, 0.0858004000, 0.1175061000, 0.1994338000, 0.4239562000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("-0.002064100, -0.003456100, -0.007309900, -0.017992200, -0.047502500, -0.129515200, -0.356010400", \
+                        "-0.002647800, -0.004026400, -0.007846600, -0.018449000, -0.047976600, -0.129872100, -0.356420700", \
+                        "-0.003471100, -0.004833300, -0.008601100, -0.019061000, -0.048440200, -0.130265800, -0.356612300", \
+                        "-0.004352900, -0.005654600, -0.009397200, -0.019845000, -0.049035100, -0.130568200, -0.356970700", \
+                        "-0.004318000, -0.005718400, -0.009493400, -0.020080200, -0.049320500, -0.130688300, -0.356844400", \
+                        "-0.002391200, -0.003845500, -0.007817000, -0.018645900, -0.049286200, -0.131171300, -0.357154100", \
+                        "0.0029354000, 0.0014294000, -0.002768600, -0.014040800, -0.044844200, -0.128155300, -0.356244200");
+                }
+                related_pin : "B";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
+                    values("0.0519886000, 0.0535976000, 0.0578817000, 0.0690903000, 0.0990387000, 0.1810936000, 0.4072389000", \
+                        "0.0511053000, 0.0526723000, 0.0570279000, 0.0683969000, 0.0987314000, 0.1806366000, 0.4051989000", \
+                        "0.0501969000, 0.0517430000, 0.0559635000, 0.0673814000, 0.0980315000, 0.1802728000, 0.4069269000", \
+                        "0.0496505000, 0.0511488000, 0.0552254000, 0.0664763000, 0.0969992000, 0.1794975000, 0.4065757000", \
+                        "0.0489505000, 0.0504705000, 0.0544899000, 0.0654526000, 0.0956693000, 0.1789110000, 0.4056357000", \
+                        "0.0490106000, 0.0504402000, 0.0544267000, 0.0654591000, 0.0957292000, 0.1779419000, 0.4044345000", \
+                        "0.0493743000, 0.0506929000, 0.0543723000, 0.0649597000, 0.0956659000, 0.1780672000, 0.4040065000");
+                }
+            }
+            max_capacitance : 0.2197360000;
+            max_transition : 1.4976190000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1498213000, 0.1521022000, 0.1579420000, 0.1706484000, 0.1970509000, 0.2525050000, 0.3819992000", \
+                        "0.1534217000, 0.1557036000, 0.1614396000, 0.1743502000, 0.2006676000, 0.2561700000, 0.3856208000", \
+                        "0.1647692000, 0.1671180000, 0.1728084000, 0.1857164000, 0.2121356000, 0.2676604000, 0.3971745000", \
+                        "0.1917592000, 0.1940444000, 0.1996949000, 0.2124735000, 0.2389546000, 0.2946570000, 0.4242198000", \
+                        "0.2489901000, 0.2513319000, 0.2570763000, 0.2699971000, 0.2970482000, 0.3529857000, 0.4825390000", \
+                        "0.3493355000, 0.3519830000, 0.3585081000, 0.3732342000, 0.4035063000, 0.4634976000, 0.5967257000", \
+                        "0.5210429000, 0.5242347000, 0.5321462000, 0.5498254000, 0.5856142000, 0.6531658000, 0.7920983000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0824702000, 0.0871205000, 0.0999131000, 0.1338755000, 0.2240030000, 0.4655699000, 1.1281149000", \
+                        "0.0874779000, 0.0920609000, 0.1048119000, 0.1390157000, 0.2289731000, 0.4702864000, 1.1320492000", \
+                        "0.0981387000, 0.1029224000, 0.1155759000, 0.1494399000, 0.2399153000, 0.4819025000, 1.1451019000", \
+                        "0.1172658000, 0.1218137000, 0.1340927000, 0.1675947000, 0.2578120000, 0.5002633000, 1.1628895000", \
+                        "0.1412810000, 0.1457323000, 0.1579043000, 0.1911819000, 0.2805902000, 0.5237397000, 1.1871472000", \
+                        "0.1650427000, 0.1694110000, 0.1813255000, 0.2141437000, 0.3030956000, 0.5453783000, 1.2113351000", \
+                        "0.1650627000, 0.1701666000, 0.1825782000, 0.2148724000, 0.3027608000, 0.5447091000, 1.2088036000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0258631000, 0.0272958000, 0.0313648000, 0.0411783000, 0.0647598000, 0.1230203000, 0.2829630000", \
+                        "0.0256963000, 0.0271844000, 0.0315704000, 0.0411118000, 0.0647809000, 0.1230484000, 0.2835780000", \
+                        "0.0258039000, 0.0273211000, 0.0312231000, 0.0410294000, 0.0645500000, 0.1230651000, 0.2836416000", \
+                        "0.0260212000, 0.0275553000, 0.0313922000, 0.0413212000, 0.0649676000, 0.1230437000, 0.2837963000", \
+                        "0.0275022000, 0.0292852000, 0.0327963000, 0.0426531000, 0.0658314000, 0.1234046000, 0.2838002000", \
+                        "0.0331190000, 0.0349436000, 0.0391283000, 0.0493380000, 0.0727733000, 0.1308584000, 0.2875061000", \
+                        "0.0455791000, 0.0474508000, 0.0522486000, 0.0630046000, 0.0882908000, 0.1446539000, 0.2969336000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0545477000, 0.0604694000, 0.0769636000, 0.1211413000, 0.2415684000, 0.5726916000, 1.4837939000", \
+                        "0.0545630000, 0.0605890000, 0.0768845000, 0.1213245000, 0.2417088000, 0.5729234000, 1.4837653000", \
+                        "0.0545522000, 0.0605333000, 0.0766708000, 0.1213620000, 0.2420048000, 0.5720606000, 1.4826771000", \
+                        "0.0544345000, 0.0603335000, 0.0767580000, 0.1211477000, 0.2416003000, 0.5729405000, 1.4834963000", \
+                        "0.0544386000, 0.0602949000, 0.0761584000, 0.1210499000, 0.2410216000, 0.5727535000, 1.4836791000", \
+                        "0.0571354000, 0.0624336000, 0.0778807000, 0.1210058000, 0.2418170000, 0.5696867000, 1.4809503000", \
+                        "0.0658208000, 0.0709332000, 0.0845831000, 0.1240170000, 0.2422272000, 0.5753099000, 1.4770219000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0436636000, 0.0453758000, 0.0500607000, 0.0622142000, 0.0935156000, 0.1764752000, 0.4019771000", \
+                        "0.0474098000, 0.0492156000, 0.0538442000, 0.0660680000, 0.0973631000, 0.1804029000, 0.4067191000", \
+                        "0.0558684000, 0.0576227000, 0.0623161000, 0.0745588000, 0.1060547000, 0.1891348000, 0.4148759000", \
+                        "0.0716920000, 0.0738656000, 0.0795040000, 0.0928811000, 0.1253473000, 0.2089834000, 0.4350223000", \
+                        "0.0934183000, 0.0964121000, 0.1038103000, 0.1220940000, 0.1633954000, 0.2538585000, 0.4806054000", \
+                        "0.1102166000, 0.1148162000, 0.1264197000, 0.1548898000, 0.2165235000, 0.3371814000, 0.5852526000", \
+                        "0.0965449000, 0.1035638000, 0.1216761000, 0.1659232000, 0.2629996000, 0.4488046000, 0.7811597000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1152663000, 0.1197115000, 0.1313149000, 0.1631730000, 0.2484311000, 0.4815269000, 1.1207800000", \
+                        "0.1186809000, 0.1230035000, 0.1350982000, 0.1670509000, 0.2528935000, 0.4858588000, 1.1268465000", \
+                        "0.1299064000, 0.1346329000, 0.1461559000, 0.1787279000, 0.2649009000, 0.4987368000, 1.1391225000", \
+                        "0.1576167000, 0.1618373000, 0.1735779000, 0.2056609000, 0.2920834000, 0.5261697000, 1.1680041000", \
+                        "0.2135563000, 0.2185918000, 0.2316387000, 0.2650153000, 0.3510895000, 0.5853523000, 1.2264606000", \
+                        "0.3105179000, 0.3172884000, 0.3343643000, 0.3780825000, 0.4819104000, 0.7215010000, 1.3631631000", \
+                        "0.4673313000, 0.4784170000, 0.5059216000, 0.5718557000, 0.7176837000, 1.0207096000, 1.6803030000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0306255000, 0.0326024000, 0.0378873000, 0.0527912000, 0.0940997000, 0.2090309000, 0.5265505000", \
+                        "0.0305594000, 0.0324557000, 0.0378828000, 0.0527709000, 0.0940672000, 0.2090063000, 0.5275455000", \
+                        "0.0306991000, 0.0326035000, 0.0377471000, 0.0525482000, 0.0939461000, 0.2089878000, 0.5271354000", \
+                        "0.0372694000, 0.0391281000, 0.0442891000, 0.0573073000, 0.0956952000, 0.2088595000, 0.5270412000", \
+                        "0.0547171000, 0.0568965000, 0.0624743000, 0.0775606000, 0.1147396000, 0.2168991000, 0.5271663000", \
+                        "0.0898452000, 0.0929725000, 0.1005826000, 0.1199536000, 0.1638825000, 0.2662955000, 0.5465849000", \
+                        "0.1543681000, 0.1592050000, 0.1713063000, 0.1991683000, 0.2610707000, 0.3866281000, 0.6630076000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0759070000, 0.0815424000, 0.0969157000, 0.1392374000, 0.2551032000, 0.5748853000, 1.4477172000", \
+                        "0.0758997000, 0.0814693000, 0.0970385000, 0.1394350000, 0.2552114000, 0.5728129000, 1.4494465000", \
+                        "0.0759521000, 0.0815833000, 0.0969367000, 0.1392081000, 0.2553012000, 0.5729696000, 1.4523986000", \
+                        "0.0763891000, 0.0818078000, 0.0972177000, 0.1394148000, 0.2551341000, 0.5731693000, 1.4486934000", \
+                        "0.0891667000, 0.0940859000, 0.1075588000, 0.1461725000, 0.2565225000, 0.5730347000, 1.4510028000", \
+                        "0.1258456000, 0.1314789000, 0.1469706000, 0.1871109000, 0.2883875000, 0.5812781000, 1.4505315000", \
+                        "0.2082668000, 0.2155849000, 0.2345399000, 0.2825965000, 0.3955398000, 0.6695067000, 1.4652712000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1234675000, 0.1257397000, 0.1314267000, 0.1441660000, 0.1704285000, 0.2255801000, 0.3551103000", \
+                        "0.1257263000, 0.1280011000, 0.1336729000, 0.1464812000, 0.1726047000, 0.2281072000, 0.3576422000", \
+                        "0.1357807000, 0.1380280000, 0.1436779000, 0.1561082000, 0.1826469000, 0.2380935000, 0.3677224000", \
+                        "0.1633535000, 0.1655912000, 0.1712241000, 0.1839759000, 0.2104805000, 0.2661422000, 0.3956312000", \
+                        "0.2281192000, 0.2304337000, 0.2361473000, 0.2490715000, 0.2760102000, 0.3319250000, 0.4617683000", \
+                        "0.3382702000, 0.3410712000, 0.3480520000, 0.3630874000, 0.3926597000, 0.4516459000, 0.5842897000", \
+                        "0.5182355000, 0.5217422000, 0.5303887000, 0.5492988000, 0.5854496000, 0.6497775000, 0.7852521000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.1048461000, 0.1090026000, 0.1202696000, 0.1508533000, 0.2326286000, 0.4605297000, 1.0983631000", \
+                        "0.1089455000, 0.1132897000, 0.1248770000, 0.1553579000, 0.2377169000, 0.4659305000, 1.1020401000", \
+                        "0.1158189000, 0.1200469000, 0.1314675000, 0.1626685000, 0.2467387000, 0.4762303000, 1.1137002000", \
+                        "0.1271718000, 0.1314447000, 0.1432418000, 0.1750644000, 0.2602975000, 0.4919463000, 1.1289183000", \
+                        "0.1421417000, 0.1466329000, 0.1587245000, 0.1908896000, 0.2762768000, 0.5097093000, 1.1477884000", \
+                        "0.1528475000, 0.1572159000, 0.1692436000, 0.2012987000, 0.2877989000, 0.5225921000, 1.1631662000", \
+                        "0.1370997000, 0.1420376000, 0.1546755000, 0.1862847000, 0.2713418000, 0.5062086000, 1.1492168000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0258575000, 0.0273784000, 0.0314467000, 0.0412008000, 0.0649258000, 0.1233208000, 0.2832658000", \
+                        "0.0258729000, 0.0274055000, 0.0312688000, 0.0410916000, 0.0651922000, 0.1232537000, 0.2836712000", \
+                        "0.0259981000, 0.0276253000, 0.0314951000, 0.0412600000, 0.0650397000, 0.1233299000, 0.2833123000", \
+                        "0.0259508000, 0.0274180000, 0.0316281000, 0.0412997000, 0.0649301000, 0.1232317000, 0.2834941000", \
+                        "0.0284681000, 0.0300106000, 0.0338473000, 0.0433398000, 0.0664746000, 0.1241942000, 0.2833802000", \
+                        "0.0388366000, 0.0399122000, 0.0435851000, 0.0523870000, 0.0742222000, 0.1301786000, 0.2887548000", \
+                        "0.0540890000, 0.0561388000, 0.0601321000, 0.0708778000, 0.0922304000, 0.1432362000, 0.2948055000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0752745000, 0.0809621000, 0.0964575000, 0.1392060000, 0.2550944000, 0.5730705000, 1.4496204000", \
+                        "0.0752050000, 0.0809003000, 0.0964027000, 0.1392268000, 0.2552087000, 0.5730071000, 1.4516470000", \
+                        "0.0749725000, 0.0807146000, 0.0962014000, 0.1390923000, 0.2551570000, 0.5730953000, 1.4500535000", \
+                        "0.0730962000, 0.0790643000, 0.0953033000, 0.1388982000, 0.2553379000, 0.5732365000, 1.4477179000", \
+                        "0.0634505000, 0.0694468000, 0.0857219000, 0.1310455000, 0.2496870000, 0.5735373000, 1.4495534000", \
+                        "0.0600312000, 0.0657071000, 0.0809499000, 0.1233164000, 0.2413889000, 0.5642955000, 1.4474065000", \
+                        "0.0678604000, 0.0728150000, 0.0866056000, 0.1252394000, 0.2386312000, 0.5594238000, 1.4371977000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0335696000, 0.0353220000, 0.0400256000, 0.0521365000, 0.0835456000, 0.1666051000, 0.3921810000", \
+                        "0.0372863000, 0.0390635000, 0.0437525000, 0.0559946000, 0.0874337000, 0.1704923000, 0.3960374000", \
+                        "0.0471907000, 0.0488378000, 0.0533741000, 0.0655429000, 0.0970316000, 0.1802304000, 0.4057602000", \
+                        "0.0654116000, 0.0676545000, 0.0737674000, 0.0881423000, 0.1203320000, 0.2030385000, 0.4290612000", \
+                        "0.0853982000, 0.0889033000, 0.0976499000, 0.1192638000, 0.1662422000, 0.2579159000, 0.4832924000", \
+                        "0.0984199000, 0.1037521000, 0.1173286000, 0.1492809000, 0.2212170000, 0.3586011000, 0.6101371000", \
+                        "0.0782870000, 0.0860682000, 0.1062972000, 0.1560700000, 0.2647008000, 0.4752755000, 0.8508662000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0850781000, 0.0899409000, 0.1027952000, 0.1360149000, 0.2242358000, 0.4678875000, 1.1311460000", \
+                        "0.0886134000, 0.0933029000, 0.1062009000, 0.1398852000, 0.2295681000, 0.4708945000, 1.1332277000", \
+                        "0.0999498000, 0.1045024000, 0.1171481000, 0.1511322000, 0.2411198000, 0.4838029000, 1.1488448000", \
+                        "0.1271868000, 0.1316210000, 0.1438976000, 0.1771318000, 0.2671072000, 0.5104442000, 1.1746953000", \
+                        "0.1760873000, 0.1820284000, 0.1968326000, 0.2342847000, 0.3243756000, 0.5695979000, 1.2362262000", \
+                        "0.2554318000, 0.2639361000, 0.2866622000, 0.3366414000, 0.4498133000, 0.7008774000, 1.3679664000", \
+                        "0.3808998000, 0.3945671000, 0.4288706000, 0.5088539000, 0.6710317000, 0.9935092000, 1.6783878000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0309668000, 0.0327628000, 0.0380972000, 0.0529457000, 0.0941547000, 0.2089584000, 0.5270855000", \
+                        "0.0302730000, 0.0322728000, 0.0377722000, 0.0527265000, 0.0940481000, 0.2088934000, 0.5268767000", \
+                        "0.0309543000, 0.0327341000, 0.0378132000, 0.0522565000, 0.0938198000, 0.2088570000, 0.5272688000", \
+                        "0.0413029000, 0.0433314000, 0.0487315000, 0.0615735000, 0.0973744000, 0.2087784000, 0.5270357000", \
+                        "0.0626560000, 0.0653913000, 0.0731504000, 0.0897430000, 0.1288506000, 0.2224151000, 0.5268013000", \
+                        "0.1012147000, 0.1055305000, 0.1163309000, 0.1414359000, 0.1938118000, 0.2997774000, 0.5583131000", \
+                        "0.1664303000, 0.1732680000, 0.1904969000, 0.2303589000, 0.3080212000, 0.4561075000, 0.7360311000");
+                }
+                related_pin : "B";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
+                    values("0.0556082000, 0.0614255000, 0.0774347000, 0.1211863000, 0.2412494000, 0.5733722000, 1.4860994000", \
+                        "0.0554051000, 0.0614012000, 0.0774506000, 0.1207148000, 0.2412002000, 0.5710328000, 1.4839330000", \
+                        "0.0557944000, 0.0615850000, 0.0772878000, 0.1212796000, 0.2414769000, 0.5705666000, 1.4904959000", \
+                        "0.0571563000, 0.0627295000, 0.0781564000, 0.1216595000, 0.2415536000, 0.5706252000, 1.4912858000", \
+                        "0.0738758000, 0.0792944000, 0.0933395000, 0.1314809000, 0.2439562000, 0.5744571000, 1.4866004000", \
+                        "0.1113906000, 0.1170693000, 0.1336293000, 0.1749030000, 0.2802974000, 0.5800641000, 1.4839238000", \
+                        "0.1937913000, 0.2011665000, 0.2219989000, 0.2710132000, 0.3889577000, 0.6707701000, 1.4976191000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+/* removed sky130_fd_sc_hd__xor3_1 */
+
+/* removed sky130_fd_sc_hd__xor3_2 */
+
+/* removed sky130_fd_sc_hd__xor3_4 */
+
+}
diff --git a/spi/lvs/morphle_ycell.spice b/spi/lvs/morphle_ycell.spice
new file mode 100644
index 0000000..7a1cc6e
--- /dev/null
+++ b/spi/lvs/morphle_ycell.spice
@@ -0,0 +1,515 @@
+* NGSPICE file created from ycell.ext - technology: sky130A
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view
+.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
+.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__inv_8 abstract view
+.subckt sky130_fd_sc_hd__inv_8 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
+.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
+.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_4 abstract view
+.subckt sky130_fd_sc_hd__o22a_4 A1 A2 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
+.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view
+.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
+.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
+.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
+.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
+.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a32o_4 abstract view
+.subckt sky130_fd_sc_hd__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_4 abstract view
+.subckt sky130_fd_sc_hd__dfxtp_4 CLK D VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_4 abstract view
+.subckt sky130_fd_sc_hd__or3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_6 abstract view
+.subckt sky130_fd_sc_hd__buf_6 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a211o_4 abstract view
+.subckt sky130_fd_sc_hd__a211o_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_4 abstract view
+.subckt sky130_fd_sc_hd__or2_4 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
+.subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor4_2 abstract view
+.subckt sky130_fd_sc_hd__nor4_2 A B C D VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
+.subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view
+.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and2_2 abstract view
+.subckt sky130_fd_sc_hd__and2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_2 abstract view
+.subckt sky130_fd_sc_hd__and3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_4 abstract view
+.subckt sky130_fd_sc_hd__o21a_4 A1 A2 B1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_4 abstract view
+.subckt sky130_fd_sc_hd__nor2_4 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand3_2 abstract view
+.subckt sky130_fd_sc_hd__nand3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_4 abstract view
+.subckt sky130_fd_sc_hd__and3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_2 abstract view
+.subckt sky130_fd_sc_hd__nor3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+.subckt ycell cbitin cbitout confclk dempty din[0] din[1] dout[0] dout[1] hempty lempty
++ lin[0] lin[1] lout[0] lout[1] rempty reset rin[0] rin[1] rout[0] rout[1] uempty
++ uin[0] uin[1] uout[0] uout[1] vempty VPWR VGND
+XFILLER_9_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_131_ lout[1] VGND VGND VPWR VPWR _132_/C sky130_fd_sc_hd__inv_8
+X_114_ _183_/Q _091_/X VGND VGND VPWR VPWR _114_/X sky130_fd_sc_hd__or2_2
+XFILLER_6_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_130_ _147_/B rout[1] rin[1] _127_/X VGND VGND VPWR VPWR lout[1] sky130_fd_sc_hd__o22a_4
+X_113_ _091_/C _096_/B VGND VGND VPWR VPWR _113_/X sky130_fd_sc_hd__or2_2
+XFILLER_18_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_112_ _112_/A _111_/Y VGND VGND VPWR VPWR uout[0] sky130_fd_sc_hd__nand2_2
+XFILLER_18_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_111_ din[0] _111_/B VGND VGND VPWR VPWR _111_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_110_ _095_/A dout[0] VGND VGND VPWR VPWR _112_/A sky130_fd_sc_hd__nand2_2
+XFILLER_15_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_169_ _080_/B _180_/D VGND VGND VPWR VPWR _169_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_168_ _168_/A _168_/B VGND VGND VPWR VPWR _180_/A sky130_fd_sc_hd__nand2_2
+X_099_ _135_/Y _175_/B _098_/A _172_/A _098_/Y VGND VGND VPWR VPWR dout[1] sky130_fd_sc_hd__a32o_4
+XFILLER_1_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_13_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_184_ confclk _183_/Q VGND VGND VPWR VPWR cbitout sky130_fd_sc_hd__dfxtp_4
+X_098_ _098_/A VGND VGND VPWR VPWR _098_/Y sky130_fd_sc_hd__inv_8
+X_167_ _167_/A lout[1] lout[0] VGND VGND VPWR VPWR _168_/B sky130_fd_sc_hd__or3_4
+XPHY_2 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_183_ confclk _182_/Q VGND VGND VPWR VPWR _183_/Q sky130_fd_sc_hd__dfxtp_4
+X_166_ _166_/A _166_/B VGND VGND VPWR VPWR lout[0] sky130_fd_sc_hd__nand2_2
+X_097_ _097_/A VGND VGND VPWR VPWR _098_/A sky130_fd_sc_hd__buf_6
+X_149_ _166_/A _166_/B _088_/B _148_/Y VGND VGND VPWR VPWR _149_/X sky130_fd_sc_hd__a211o_4
+XPHY_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_182_ confclk cbitin VGND VGND VPWR VPWR _182_/Q sky130_fd_sc_hd__dfxtp_4
+X_165_ _167_/A lin[1] VGND VGND VPWR VPWR _168_/A sky130_fd_sc_hd__nand2_2
+X_096_ cbitout _096_/B VGND VGND VPWR VPWR _097_/A sky130_fd_sc_hd__or2_4
+X_148_ _183_/Q _182_/Q VGND VGND VPWR VPWR _148_/Y sky130_fd_sc_hd__nor2_2
+X_079_ _079_/A _079_/B VGND VGND VPWR VPWR _080_/C sky130_fd_sc_hd__or2_2
+XFILLER_15_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_181_ reset hempty _181_/C VGND VGND VPWR VPWR _181_/X sky130_fd_sc_hd__or3_2
+X_164_ _181_/X _163_/Y VGND VGND VPWR VPWR _080_/A sky130_fd_sc_hd__nor2_2
+X_095_ _095_/A VGND VGND VPWR VPWR _111_/B sky130_fd_sc_hd__inv_8
+XFILLER_19_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_147_ rin[0] _147_/B VGND VGND VPWR VPWR _166_/B sky130_fd_sc_hd__nand2_2
+XFILLER_18_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_13_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_180_ _180_/A _180_/B _179_/Y _180_/D VGND VGND VPWR VPWR _181_/C sky130_fd_sc_hd__nor4_2
+X_163_ _180_/A _080_/A VGND VGND VPWR VPWR _163_/Y sky130_fd_sc_hd__nor2_2
+X_094_ _094_/A VGND VGND VPWR VPWR _095_/A sky130_fd_sc_hd__buf_2
+XFILLER_1_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_146_ _127_/X rout[0] VGND VGND VPWR VPWR _166_/A sky130_fd_sc_hd__nand2_2
+XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_129_ _079_/A _080_/A _089_/A _180_/A _089_/Y VGND VGND VPWR VPWR rout[1] sky130_fd_sc_hd__a32o_4
+XFILLER_12_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_162_ _181_/X _161_/Y VGND VGND VPWR VPWR _079_/A sky130_fd_sc_hd__nor2_2
+X_093_ dempty vempty VGND VGND VPWR VPWR _094_/A sky130_fd_sc_hd__or2_2
+XFILLER_10_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_145_ _144_/X VGND VGND VPWR VPWR rout[0] sky130_fd_sc_hd__buf_1
+XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_128_ _127_/X VGND VGND VPWR VPWR _147_/B sky130_fd_sc_hd__inv_8
+XFILLER_12_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_161_ _079_/A _161_/B VGND VGND VPWR VPWR _161_/Y sky130_fd_sc_hd__nor2_2
+X_092_ _089_/Y _091_/X VGND VGND VPWR VPWR vempty sky130_fd_sc_hd__or2_2
+XFILLER_10_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_144_ _140_/X _144_/B VGND VGND VPWR VPWR _144_/X sky130_fd_sc_hd__and2_2
+XFILLER_10_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_127_ _126_/X VGND VGND VPWR VPWR _127_/X sky130_fd_sc_hd__buf_6
+XFILLER_15_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_160_ _153_/Y _101_/Y VGND VGND VPWR VPWR _161_/B sky130_fd_sc_hd__nor2_2
+X_091_ _091_/A _083_/Y _091_/C VGND VGND VPWR VPWR _091_/X sky130_fd_sc_hd__and3_2
+XFILLER_10_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_143_ _089_/Y _141_/X _143_/C VGND VGND VPWR VPWR _144_/B sky130_fd_sc_hd__or3_2
+XFILLER_19_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_126_ rempty hempty VGND VGND VPWR VPWR _126_/X sky130_fd_sc_hd__or2_4
+XFILLER_16_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_109_ _108_/X VGND VGND VPWR VPWR dout[0] sky130_fd_sc_hd__buf_1
+XFILLER_8_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_090_ _085_/X VGND VGND VPWR VPWR _091_/C sky130_fd_sc_hd__inv_8
+XFILLER_19_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_142_ _080_/A _080_/B _079_/B VGND VGND VPWR VPWR _143_/C sky130_fd_sc_hd__o21a_4
+XFILLER_19_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_125_ _091_/X _098_/Y VGND VGND VPWR VPWR hempty sky130_fd_sc_hd__or2_4
+X_108_ _104_/X _107_/X VGND VGND VPWR VPWR _108_/X sky130_fd_sc_hd__and2_2
+XFILLER_4_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_141_ _079_/A _080_/B VGND VGND VPWR VPWR _141_/X sky130_fd_sc_hd__and2_2
+XFILLER_2_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_124_ _091_/A _182_/Q _085_/X VGND VGND VPWR VPWR _132_/B sky130_fd_sc_hd__and3_2
+XFILLER_7_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_107_ _098_/Y _107_/B _107_/C VGND VGND VPWR VPWR _107_/X sky130_fd_sc_hd__or3_2
+XFILLER_1_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_140_ _089_/A _180_/D VGND VGND VPWR VPWR _140_/X sky130_fd_sc_hd__or2_2
+X_123_ _085_/X _123_/B VGND VGND VPWR VPWR _123_/Y sky130_fd_sc_hd__nor2_2
+X_106_ _105_/B _175_/B _151_/A VGND VGND VPWR VPWR _107_/C sky130_fd_sc_hd__o21a_4
+XFILLER_4_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_122_ _183_/Q _083_/Y VGND VGND VPWR VPWR _123_/B sky130_fd_sc_hd__nor2_2
+XFILLER_16_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_105_ _135_/Y _105_/B VGND VGND VPWR VPWR _107_/B sky130_fd_sc_hd__and2_2
+XFILLER_5_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_70 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_121_ _172_/B VGND VGND VPWR VPWR _121_/Y sky130_fd_sc_hd__inv_8
+X_104_ _098_/A _172_/C VGND VGND VPWR VPWR _104_/X sky130_fd_sc_hd__or2_2
+XFILLER_8_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_60 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_71 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_120_ _173_/X _119_/Y VGND VGND VPWR VPWR _105_/B sky130_fd_sc_hd__nor2_2
+XFILLER_11_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_103_ uin[0] _102_/Y VGND VGND VPWR VPWR _172_/C sky130_fd_sc_hd__and2_2
+XFILLER_5_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_50 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_61 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_72 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_179_ _080_/A _080_/B VGND VGND VPWR VPWR _179_/Y sky130_fd_sc_hd__nor2_2
+X_102_ uempty VGND VGND VPWR VPWR _102_/Y sky130_fd_sc_hd__inv_8
+XFILLER_8_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_17_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_40 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_51 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_62 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_178_ _176_/Y _177_/X VGND VGND VPWR VPWR _172_/B sky130_fd_sc_hd__nor2_4
+X_101_ _096_/B _086_/X uout[1] VGND VGND VPWR VPWR _101_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_8_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_41 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_52 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_63 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_177_ _132_/X _177_/B _149_/X VGND VGND VPWR VPWR _177_/X sky130_fd_sc_hd__and3_4
+X_100_ _111_/B dout[1] din[1] _095_/A VGND VGND VPWR VPWR uout[1] sky130_fd_sc_hd__o22a_4
+XFILLER_14_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_42 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_53 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_64 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_176_ _172_/B _176_/B VGND VGND VPWR VPWR _176_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_159_ _159_/A _159_/B VGND VGND VPWR VPWR _172_/A sky130_fd_sc_hd__or2_2
+XFILLER_8_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_43 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_54 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_65 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_175_ _105_/B _175_/B _177_/B VGND VGND VPWR VPWR _176_/B sky130_fd_sc_hd__nor3_2
+X_158_ _102_/Y uout[1] uout[0] VGND VGND VPWR VPWR _159_/B sky130_fd_sc_hd__nor3_2
+X_089_ _089_/A VGND VGND VPWR VPWR _089_/Y sky130_fd_sc_hd__inv_8
+XFILLER_17_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_44 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_55 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_66 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_174_ _135_/Y _151_/A VGND VGND VPWR VPWR _177_/B sky130_fd_sc_hd__or2_2
+XFILLER_14_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_157_ _102_/Y uin[1] VGND VGND VPWR VPWR _159_/A sky130_fd_sc_hd__and2_2
+X_088_ _083_/Y _088_/B VGND VGND VPWR VPWR _089_/A sky130_fd_sc_hd__nand2_2
+XFILLER_9_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_45 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_56 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_67 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_173_ _091_/C _083_/Y reset _172_/Y VGND VGND VPWR VPWR _173_/X sky130_fd_sc_hd__a211o_4
+XFILLER_11_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_156_ _181_/X _155_/Y VGND VGND VPWR VPWR _079_/B sky130_fd_sc_hd__nor2_2
+X_087_ _091_/A _085_/X VGND VGND VPWR VPWR _088_/B sky130_fd_sc_hd__nor2_2
+X_139_ lin[0] _167_/A VGND VGND VPWR VPWR _180_/D sky130_fd_sc_hd__and2_2
+XFILLER_5_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_46 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_57 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_68 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_172_ _172_/A _172_/B _172_/C _171_/Y VGND VGND VPWR VPWR _172_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_3_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_155_ _079_/B _155_/B VGND VGND VPWR VPWR _155_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_086_ _085_/X _182_/Q VGND VGND VPWR VPWR _086_/X sky130_fd_sc_hd__or2_2
+X_138_ lempty VGND VGND VPWR VPWR _167_/A sky130_fd_sc_hd__inv_8
+XFILLER_0_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_47 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_58 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_69 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_171_ _105_/B _175_/B VGND VGND VPWR VPWR _171_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_154_ _153_/Y _117_/C VGND VGND VPWR VPWR _155_/B sky130_fd_sc_hd__nor2_2
+X_085_ cbitout VGND VGND VPWR VPWR _085_/X sky130_fd_sc_hd__buf_6
+XFILLER_19_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_137_ _173_/X _136_/Y VGND VGND VPWR VPWR _175_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_48 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_59 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_170_ _181_/X _169_/Y VGND VGND VPWR VPWR _080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_3_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_084_ _091_/A _083_/Y VGND VGND VPWR VPWR _096_/B sky130_fd_sc_hd__or2_4
+X_153_ _180_/B VGND VGND VPWR VPWR _153_/Y sky130_fd_sc_hd__inv_8
+X_136_ _172_/A _175_/B VGND VGND VPWR VPWR _136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_119_ _105_/B _172_/C VGND VGND VPWR VPWR _119_/Y sky130_fd_sc_hd__nor2_2
+XPHY_49 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_152_ _173_/X _152_/B VGND VGND VPWR VPWR _151_/A sky130_fd_sc_hd__nor2_2
+X_083_ _182_/Q VGND VGND VPWR VPWR _083_/Y sky130_fd_sc_hd__inv_8
+XFILLER_3_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_135_ _173_/X _134_/Y VGND VGND VPWR VPWR _135_/Y sky130_fd_sc_hd__nor2_4
+X_118_ _081_/Y _117_/X VGND VGND VPWR VPWR _180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_6_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_151_ _151_/A _150_/Y VGND VGND VPWR VPWR _152_/B sky130_fd_sc_hd__nor2_2
+XFILLER_10_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_082_ _183_/Q VGND VGND VPWR VPWR _091_/A sky130_fd_sc_hd__inv_8
+XFILLER_0_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_134_ _135_/Y _133_/Y VGND VGND VPWR VPWR _134_/Y sky130_fd_sc_hd__nor2_2
+X_117_ _080_/C _101_/Y _117_/C VGND VGND VPWR VPWR _117_/X sky130_fd_sc_hd__and3_2
+XFILLER_15_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_150_ _121_/Y _149_/X VGND VGND VPWR VPWR _150_/Y sky130_fd_sc_hd__nor2_2
+X_081_ _180_/B _081_/B VGND VGND VPWR VPWR _081_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_133_ _121_/Y _132_/X VGND VGND VPWR VPWR _133_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_116_ uout[0] _116_/B VGND VGND VPWR VPWR _117_/C sky130_fd_sc_hd__nand2_2
+XFILLER_6_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_65 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_080_ _080_/A _080_/B _080_/C VGND VGND VPWR VPWR _081_/B sky130_fd_sc_hd__nor3_2
+XFILLER_5_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_132_ _123_/Y _132_/B _132_/C VGND VGND VPWR VPWR _132_/X sky130_fd_sc_hd__or3_4
+XFILLER_0_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_115_ _113_/X _114_/X VGND VGND VPWR VPWR _116_/B sky130_fd_sc_hd__nand2_2
+XFILLER_15_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+.ends
+
diff --git a/verilog/gl/morphle_ycell.v b/verilog/gl/morphle_ycell.v
new file mode 100644
index 0000000..420c961
--- /dev/null
+++ b/verilog/gl/morphle_ycell.v
@@ -0,0 +1,2714 @@
+/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
+
+module ycell(cbitin, cbitout, confclk, dempty, hempty, lempty, rempty, reset, uempty, vempty, VPWR, VGND, din, dout, lin, lout, rin, rout, uin, uout);
+  input VGND;
+  input VPWR;
+  wire _000_;
+  wire _001_;
+  wire _002_;
+  wire _003_;
+  wire _004_;
+  wire _005_;
+  wire _006_;
+  wire _007_;
+  wire _008_;
+  wire _009_;
+  wire _010_;
+  wire _011_;
+  wire _012_;
+  wire _013_;
+  wire _014_;
+  wire _015_;
+  wire _016_;
+  wire _017_;
+  wire _018_;
+  wire _019_;
+  wire _020_;
+  wire _021_;
+  wire _022_;
+  wire _023_;
+  wire _024_;
+  wire _025_;
+  wire _026_;
+  wire _027_;
+  wire _028_;
+  wire _029_;
+  wire _030_;
+  wire _031_;
+  wire _032_;
+  wire _033_;
+  wire _034_;
+  wire _035_;
+  wire _036_;
+  wire _037_;
+  wire _038_;
+  wire _039_;
+  wire _040_;
+  wire _041_;
+  wire _042_;
+  wire _043_;
+  wire _044_;
+  wire _045_;
+  wire _046_;
+  wire _047_;
+  wire _048_;
+  wire _049_;
+  wire _050_;
+  wire _051_;
+  wire _052_;
+  wire _053_;
+  wire _054_;
+  wire _055_;
+  wire _056_;
+  wire _057_;
+  wire _058_;
+  wire _059_;
+  wire _060_;
+  wire _061_;
+  wire _062_;
+  wire _063_;
+  wire _064_;
+  wire _065_;
+  wire _066_;
+  wire _067_;
+  wire _068_;
+  wire _069_;
+  wire _070_;
+  wire _071_;
+  wire _072_;
+  wire _073_;
+  wire _074_;
+  wire _075_;
+  wire _076_;
+  wire _077_;
+  wire _078_;
+  input cbitin;
+  output cbitout;
+  wire \cfg.cnfg[0] ;
+  wire \cfg.cnfg[1] ;
+  input confclk;
+  input dempty;
+  input [1:0] din;
+  output [1:0] dout;
+  output hempty;
+  wire \hfsm.clear ;
+  wire \hfsm.in[1] ;
+  wire \hfsm.lin[0] ;
+  wire \hfsm.lin[1] ;
+  wire \hfsm.lmatch[0] ;
+  wire \hfsm.lmatch[1] ;
+  wire \hfsm.nlmempty ;
+  input lempty;
+  input [1:0] lin;
+  output [1:0] lout;
+  input rempty;
+  input reset;
+  input [1:0] rin;
+  output [1:0] rout;
+  input uempty;
+  input [1:0] uin;
+  output [1:0] uout;
+  output vempty;
+  wire \vfsm.clear ;
+  wire \vfsm.in[1] ;
+  wire \vfsm.lin[0] ;
+  wire \vfsm.lin[1] ;
+  wire \vfsm.lmatch[0] ;
+  wire \vfsm.lmatch[1] ;
+  wire \vfsm.nlmempty ;
+  sky130_fd_sc_hd__decap_12 FILLER_0_106 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_0_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_0_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_44 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_0_56 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_63 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_75 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_0_87 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_0_94 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_100 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_10_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_46 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_10_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_10_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_10_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_11_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_11_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_12 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_25 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_11_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_11_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_72 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_11_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_12_101 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_12_113 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_12_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_37 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_69 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_12_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_13_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_13_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_22 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_71 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_8 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_13_88 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_14_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_14_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_14_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_14_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_14_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_68 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_14_9 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_15_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_19 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_15_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_15_94 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_16_107 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_16_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_16_56 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_16_78 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_16_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_17_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_17_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_17_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_17_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_17_66 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_17_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_18_102 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_18_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_18_114 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_18_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_18_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_18_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_18_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_18_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_108 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_19_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_19_59 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_19_6 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_19_77 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_19_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_104 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_1_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_1_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_1_59 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_1_67 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_80 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_1_92 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_105 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_2_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_2_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_2_44 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_2_48 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_2_54 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_2_67 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_80 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_2_93 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_109 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_3_117 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_3_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_3_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_3_66 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_3_72 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_85 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_3_97 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_110 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_4_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_4 FILLER_4_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_4_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_47 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_4_60 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_73 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_4_85 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_4_91 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_4_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_5_101 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_5_113 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_5_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_5_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_5_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_75 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_5_88 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_6_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_6_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_52 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_65 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_73 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_81 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_6_89 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_6_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_110 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_7_118 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_7_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_7_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_71 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_84 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_7_97 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_111 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_8_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_1 FILLER_8_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_51 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_64 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_8_78 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__fill_2 FILLER_8_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_8_98 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_12 FILLER_9_104 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 FILLER_9_116 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_14 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_9_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_40 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_53 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_6 FILLER_9_62 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_76 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_8 FILLER_9_90 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_0 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_1 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_10 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_11 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_12 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_13 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_14 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_15 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_16 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_17 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_18 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_19 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_2 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_20 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_21 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_22 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_23 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_24 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_25 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_26 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_27 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_28 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_29 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_3 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_30 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_31 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_32 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_33 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_34 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_35 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_36 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_37 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_38 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_39 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_4 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_40 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_41 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_42 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_43 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_44 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_45 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_46 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_47 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_48 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_49 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_5 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_50 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_51 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_52 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_53 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_54 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_55 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_56 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_57 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_58 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_59 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_6 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_60 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_61 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_62 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_63 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_64 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_65 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_66 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_67 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_68 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_69 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_7 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_70 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_71 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_72 (
+    .VGND(VGND),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_8 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__decap_3 PHY_9 (
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__or2_2 _079_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_041_)
+  );
+  sky130_fd_sc_hd__nor3_2 _080_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .C(_041_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_042_)
+  );
+  sky130_fd_sc_hd__nor2_2 _081_ (
+    .A(\hfsm.nlmempty ),
+    .B(_042_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_043_)
+  );
+  sky130_fd_sc_hd__inv_8 _082_ (
+    .A(\cfg.cnfg[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_044_)
+  );
+  sky130_fd_sc_hd__inv_8 _083_ (
+    .A(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_045_)
+  );
+  sky130_fd_sc_hd__or2_4 _084_ (
+    .A(_044_),
+    .B(_045_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_046_)
+  );
+  sky130_fd_sc_hd__buf_6 _085_ (
+    .A(cbitout),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_047_)
+  );
+  sky130_fd_sc_hd__or2_2 _086_ (
+    .A(_047_),
+    .B(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_048_)
+  );
+  sky130_fd_sc_hd__nor2_2 _087_ (
+    .A(_044_),
+    .B(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_049_)
+  );
+  sky130_fd_sc_hd__nand2_2 _088_ (
+    .A(_045_),
+    .B(_049_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_050_)
+  );
+  sky130_fd_sc_hd__inv_8 _089_ (
+    .A(_050_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_051_)
+  );
+  sky130_fd_sc_hd__inv_8 _090_ (
+    .A(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_052_)
+  );
+  sky130_fd_sc_hd__and3_2 _091_ (
+    .A(_044_),
+    .B(_045_),
+    .C(_052_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_053_)
+  );
+  sky130_fd_sc_hd__or2_2 _092_ (
+    .A(_051_),
+    .B(_053_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(vempty)
+  );
+  sky130_fd_sc_hd__or2_2 _093_ (
+    .A(dempty),
+    .B(vempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_054_)
+  );
+  sky130_fd_sc_hd__buf_2 _094_ (
+    .A(_054_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_055_)
+  );
+  sky130_fd_sc_hd__inv_8 _095_ (
+    .A(_055_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_056_)
+  );
+  sky130_fd_sc_hd__or2_4 _096_ (
+    .A(cbitout),
+    .B(_046_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_057_)
+  );
+  sky130_fd_sc_hd__buf_6 _097_ (
+    .A(_057_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_058_)
+  );
+  sky130_fd_sc_hd__inv_8 _098_ (
+    .A(_058_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_059_)
+  );
+  sky130_fd_sc_hd__a32o_4 _099_ (
+    .A1(\vfsm.lmatch[1] ),
+    .A2(\vfsm.lin[1] ),
+    .A3(_058_),
+    .B1(\vfsm.in[1] ),
+    .B2(_059_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(dout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _100_ (
+    .A1(_056_),
+    .A2(dout[1]),
+    .B1(din[1]),
+    .B2(_055_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(uout[1])
+  );
+  sky130_fd_sc_hd__nand3_2 _101_ (
+    .A(_046_),
+    .B(_048_),
+    .C(uout[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_060_)
+  );
+  sky130_fd_sc_hd__inv_8 _102_ (
+    .A(uempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_061_)
+  );
+  sky130_fd_sc_hd__and2_2 _103_ (
+    .A(uin[0]),
+    .B(_061_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_062_)
+  );
+  sky130_fd_sc_hd__or2_2 _104_ (
+    .A(_058_),
+    .B(_062_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_063_)
+  );
+  sky130_fd_sc_hd__and2_2 _105_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_064_)
+  );
+  sky130_fd_sc_hd__o21a_4 _106_ (
+    .A1(\vfsm.lin[0] ),
+    .A2(\vfsm.lin[1] ),
+    .B1(\vfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_065_)
+  );
+  sky130_fd_sc_hd__or3_2 _107_ (
+    .A(_059_),
+    .B(_064_),
+    .C(_065_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_066_)
+  );
+  sky130_fd_sc_hd__and2_2 _108_ (
+    .A(_063_),
+    .B(_066_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_067_)
+  );
+  sky130_fd_sc_hd__buf_1 _109_ (
+    .A(_067_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(dout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _110_ (
+    .A(_055_),
+    .B(dout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_068_)
+  );
+  sky130_fd_sc_hd__nand2_2 _111_ (
+    .A(din[0]),
+    .B(_056_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_069_)
+  );
+  sky130_fd_sc_hd__nand2_2 _112_ (
+    .A(_068_),
+    .B(_069_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(uout[0])
+  );
+  sky130_fd_sc_hd__or2_2 _113_ (
+    .A(_052_),
+    .B(_046_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_070_)
+  );
+  sky130_fd_sc_hd__or2_2 _114_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_053_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_071_)
+  );
+  sky130_fd_sc_hd__nand2_2 _115_ (
+    .A(_070_),
+    .B(_071_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_072_)
+  );
+  sky130_fd_sc_hd__nand2_2 _116_ (
+    .A(uout[0]),
+    .B(_072_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_073_)
+  );
+  sky130_fd_sc_hd__and3_2 _117_ (
+    .A(_041_),
+    .B(_060_),
+    .C(_073_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_074_)
+  );
+  sky130_fd_sc_hd__nor2_2 _118_ (
+    .A(_043_),
+    .B(_074_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _119_ (
+    .A(\vfsm.lin[0] ),
+    .B(_062_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_075_)
+  );
+  sky130_fd_sc_hd__nor2_2 _120_ (
+    .A(\vfsm.clear ),
+    .B(_075_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _121_ (
+    .A(\vfsm.nlmempty ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_076_)
+  );
+  sky130_fd_sc_hd__nor2_2 _122_ (
+    .A(\cfg.cnfg[1] ),
+    .B(_045_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_077_)
+  );
+  sky130_fd_sc_hd__nor2_2 _123_ (
+    .A(_047_),
+    .B(_077_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_078_)
+  );
+  sky130_fd_sc_hd__and3_2 _124_ (
+    .A(_044_),
+    .B(\cfg.cnfg[0] ),
+    .C(_047_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_000_)
+  );
+  sky130_fd_sc_hd__or2_4 _125_ (
+    .A(_053_),
+    .B(_059_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(hempty)
+  );
+  sky130_fd_sc_hd__or2_4 _126_ (
+    .A(rempty),
+    .B(hempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_001_)
+  );
+  sky130_fd_sc_hd__buf_6 _127_ (
+    .A(_001_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_002_)
+  );
+  sky130_fd_sc_hd__inv_8 _128_ (
+    .A(_002_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_003_)
+  );
+  sky130_fd_sc_hd__a32o_4 _129_ (
+    .A1(\hfsm.lmatch[1] ),
+    .A2(\hfsm.lin[1] ),
+    .A3(_050_),
+    .B1(\hfsm.in[1] ),
+    .B2(_051_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(rout[1])
+  );
+  sky130_fd_sc_hd__o22a_4 _130_ (
+    .A1(_003_),
+    .A2(rout[1]),
+    .B1(rin[1]),
+    .B2(_002_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(lout[1])
+  );
+  sky130_fd_sc_hd__inv_8 _131_ (
+    .A(lout[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_004_)
+  );
+  sky130_fd_sc_hd__or3_4 _132_ (
+    .A(_078_),
+    .B(_000_),
+    .C(_004_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_005_)
+  );
+  sky130_fd_sc_hd__nor2_2 _133_ (
+    .A(_076_),
+    .B(_005_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_006_)
+  );
+  sky130_fd_sc_hd__nor2_2 _134_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(_006_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_007_)
+  );
+  sky130_fd_sc_hd__nor2_4 _135_ (
+    .A(\vfsm.clear ),
+    .B(_007_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _136_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_008_)
+  );
+  sky130_fd_sc_hd__nor2_2 _137_ (
+    .A(\vfsm.clear ),
+    .B(_008_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__inv_8 _138_ (
+    .A(lempty),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_009_)
+  );
+  sky130_fd_sc_hd__and2_2 _139_ (
+    .A(lin[0]),
+    .B(_009_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_010_)
+  );
+  sky130_fd_sc_hd__or2_2 _140_ (
+    .A(_050_),
+    .B(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_011_)
+  );
+  sky130_fd_sc_hd__and2_2 _141_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(\hfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_012_)
+  );
+  sky130_fd_sc_hd__o21a_4 _142_ (
+    .A1(\hfsm.lin[1] ),
+    .A2(\hfsm.lin[0] ),
+    .B1(\hfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_013_)
+  );
+  sky130_fd_sc_hd__or3_2 _143_ (
+    .A(_051_),
+    .B(_012_),
+    .C(_013_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_014_)
+  );
+  sky130_fd_sc_hd__and2_2 _144_ (
+    .A(_011_),
+    .B(_014_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_015_)
+  );
+  sky130_fd_sc_hd__buf_1 _145_ (
+    .A(_015_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(rout[0])
+  );
+  sky130_fd_sc_hd__nand2_2 _146_ (
+    .A(_002_),
+    .B(rout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_016_)
+  );
+  sky130_fd_sc_hd__nand2_2 _147_ (
+    .A(rin[0]),
+    .B(_003_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_017_)
+  );
+  sky130_fd_sc_hd__nor2_2 _148_ (
+    .A(\cfg.cnfg[1] ),
+    .B(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_018_)
+  );
+  sky130_fd_sc_hd__a211o_4 _149_ (
+    .A1(_016_),
+    .A2(_017_),
+    .B1(_049_),
+    .C1(_018_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_019_)
+  );
+  sky130_fd_sc_hd__nor2_2 _150_ (
+    .A(_076_),
+    .B(_019_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_020_)
+  );
+  sky130_fd_sc_hd__nor2_2 _151_ (
+    .A(\vfsm.lmatch[0] ),
+    .B(_020_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_021_)
+  );
+  sky130_fd_sc_hd__nor2_2 _152_ (
+    .A(\vfsm.clear ),
+    .B(_021_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__inv_8 _153_ (
+    .A(\hfsm.nlmempty ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_022_)
+  );
+  sky130_fd_sc_hd__nor2_2 _154_ (
+    .A(_022_),
+    .B(_073_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_023_)
+  );
+  sky130_fd_sc_hd__nor2_2 _155_ (
+    .A(\hfsm.lmatch[0] ),
+    .B(_023_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_024_)
+  );
+  sky130_fd_sc_hd__nor2_2 _156_ (
+    .A(\hfsm.clear ),
+    .B(_024_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lmatch[0] )
+  );
+  sky130_fd_sc_hd__and2_2 _157_ (
+    .A(_061_),
+    .B(uin[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_025_)
+  );
+  sky130_fd_sc_hd__nor3_2 _158_ (
+    .A(_061_),
+    .B(uout[1]),
+    .C(uout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_026_)
+  );
+  sky130_fd_sc_hd__or2_2 _159_ (
+    .A(_025_),
+    .B(_026_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\vfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _160_ (
+    .A(_022_),
+    .B(_060_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_027_)
+  );
+  sky130_fd_sc_hd__nor2_2 _161_ (
+    .A(\hfsm.lmatch[1] ),
+    .B(_027_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_028_)
+  );
+  sky130_fd_sc_hd__nor2_2 _162_ (
+    .A(\hfsm.clear ),
+    .B(_028_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lmatch[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _163_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_029_)
+  );
+  sky130_fd_sc_hd__nor2_2 _164_ (
+    .A(\hfsm.clear ),
+    .B(_029_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lin[1] )
+  );
+  sky130_fd_sc_hd__nand2_2 _165_ (
+    .A(_009_),
+    .B(lin[1]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_030_)
+  );
+  sky130_fd_sc_hd__nand2_2 _166_ (
+    .A(_016_),
+    .B(_017_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(lout[0])
+  );
+  sky130_fd_sc_hd__or3_4 _167_ (
+    .A(_009_),
+    .B(lout[1]),
+    .C(lout[0]),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_031_)
+  );
+  sky130_fd_sc_hd__nand2_2 _168_ (
+    .A(_030_),
+    .B(_031_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.in[1] )
+  );
+  sky130_fd_sc_hd__nor2_2 _169_ (
+    .A(\hfsm.lin[0] ),
+    .B(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_032_)
+  );
+  sky130_fd_sc_hd__nor2_2 _170_ (
+    .A(\hfsm.clear ),
+    .B(_032_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\hfsm.lin[0] )
+  );
+  sky130_fd_sc_hd__nor2_2 _171_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_033_)
+  );
+  sky130_fd_sc_hd__nor4_2 _172_ (
+    .A(\vfsm.in[1] ),
+    .B(\vfsm.nlmempty ),
+    .C(_062_),
+    .D(_033_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_034_)
+  );
+  sky130_fd_sc_hd__a211o_4 _173_ (
+    .A1(_052_),
+    .A2(_045_),
+    .B1(reset),
+    .C1(_034_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\vfsm.clear )
+  );
+  sky130_fd_sc_hd__or2_2 _174_ (
+    .A(\vfsm.lmatch[1] ),
+    .B(\vfsm.lmatch[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_035_)
+  );
+  sky130_fd_sc_hd__nor3_2 _175_ (
+    .A(\vfsm.lin[0] ),
+    .B(\vfsm.lin[1] ),
+    .C(_035_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_036_)
+  );
+  sky130_fd_sc_hd__nor2_2 _176_ (
+    .A(\vfsm.nlmempty ),
+    .B(_036_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_037_)
+  );
+  sky130_fd_sc_hd__and3_4 _177_ (
+    .A(_005_),
+    .B(_035_),
+    .C(_019_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(_038_)
+  );
+  sky130_fd_sc_hd__nor2_4 _178_ (
+    .A(_037_),
+    .B(_038_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(\vfsm.nlmempty )
+  );
+  sky130_fd_sc_hd__nor2_2 _179_ (
+    .A(\hfsm.lin[1] ),
+    .B(\hfsm.lin[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_039_)
+  );
+  sky130_fd_sc_hd__nor4_2 _180_ (
+    .A(\hfsm.in[1] ),
+    .B(\hfsm.nlmempty ),
+    .C(_039_),
+    .D(_010_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .Y(_040_)
+  );
+  sky130_fd_sc_hd__or3_2 _181_ (
+    .A(reset),
+    .B(hempty),
+    .C(_040_),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR),
+    .X(\hfsm.clear )
+  );
+  sky130_fd_sc_hd__dfxtp_4 _182_ (
+    .CLK(confclk),
+    .D(cbitin),
+    .Q(\cfg.cnfg[0] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__dfxtp_4 _183_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[0] ),
+    .Q(\cfg.cnfg[1] ),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+  sky130_fd_sc_hd__dfxtp_4 _184_ (
+    .CLK(confclk),
+    .D(\cfg.cnfg[1] ),
+    .Q(cbitout),
+    .VGND(VGND),
+    .VNB(VGND),
+    .VPB(VPWR),
+    .VPWR(VPWR)
+  );
+endmodule